KR20010007527A - Method of silicide formation in a semiconductor device and processor readable storage medium using the same - Google Patents

Method of silicide formation in a semiconductor device and processor readable storage medium using the same Download PDF

Info

Publication number
KR20010007527A
KR20010007527A KR1020000035262A KR20000035262A KR20010007527A KR 20010007527 A KR20010007527 A KR 20010007527A KR 1020000035262 A KR1020000035262 A KR 1020000035262A KR 20000035262 A KR20000035262 A KR 20000035262A KR 20010007527 A KR20010007527 A KR 20010007527A
Authority
KR
South Korea
Prior art keywords
layer
metal
silicon
chamber
substrate
Prior art date
Application number
KR1020000035262A
Other languages
Korean (ko)
Inventor
스리니바스라마누자프람에이
메츠거브라이언
왕슐린
우프레데릭씨
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010007527A publication Critical patent/KR20010007527A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals

Abstract

PURPOSE: A method for forming a silicide within a semiconductor device and a processor reading storage medium using the same are provided to form a silicide layer contacted with a silicon substrate. CONSTITUTION: A silicon substrate is provided into a chamber.(502) An interlayer metal silicide layer is formed on the silicon substrate.(504) A precursor including a metal is provided to form a metal layer on the silicon substrate.(506) A precursor including a silicon is provided to form a metal silicide on the silicon substrate.(508) A proper precursor is provided to form the metal silicide contacted with the silicon substrate.(510) A conductive layer is formed on the interlayer metal silicide layer.(512)

Description

반도체 장치내에 실리사이드를 형성하는 방법 및 이를 이용한 프로세서 판독가능 저장매체{METHOD OF SILICIDE FORMATION IN A SEMICONDUCTOR DEVICE AND PROCESSOR READABLE STORAGE MEDIUM USING THE SAME}FIELD OF THE INVENTION A method of forming silicide in a semiconductor device, and a processor-readable storage medium using the same.

본 발명은 반도체 장치내에 실리사이드를 형성하는 방법에 관한 것으로서, 보다 상세하게는, 실리콘 기판과 접하는 실리사이드층을 형성하는 방법에 관한 것이다.The present invention relates to a method of forming a silicide in a semiconductor device, and more particularly, to a method of forming a silicide layer in contact with a silicon substrate.

집적회로의 제조시, 금속이 배리어층밑의 기저영역으로 확산되는 것을 막기위해 그리고/또는 결과적으로 형성되는 층의 부착성을 향상시키기 위해 금속 배리어막으로서 중간 또는 천이층이 흔히 사용된다. 이러한 기저영역은 트랜지스터 게이트, 커패시터 절연체, 반도체 기판, 금속 라인, 및 집적회로에서 나타내는 다른 많은 구조를 포함한다.In the manufacture of integrated circuits, intermediate or transition layers are often used as metal barrier films to prevent metal from diffusing into the underlying region under the barrier layer and / or to improve the adhesion of the resulting layer. Such base regions include transistor gates, capacitor insulators, semiconductor substrates, metal lines, and many other structures represented in integrated circuits.

예를 들어, 트랜지스터의 게이트용으로 전극이 형성되고 있을 때, 게이트 물질과 금속 간에 게이트 전극과 접촉하는 확산 배리어가 흔히 형성된다. 확산 배리어는 폴리실리콘으로 구성될 수도 있는 게이트 물질로 금속이 확산되는 것을 방지한다. 이러한 금속 확산은 트랜지스터의 특성을 변경시키고, 또는 동작되지 않게 만들기에 바람직하지 못한다. 예를 들어, 티타늄/질화 티타늄 (Ti/TiN) 의 조합이 확산 배리어로서 흔히 사용된다.For example, when an electrode is being formed for the gate of a transistor, a diffusion barrier is often formed that contacts the gate electrode between the gate material and the metal. The diffusion barrier prevents the diffusion of metal into the gate material, which may be composed of polysilicon. Such metal diffusion is undesirable to alter the characteristics of the transistor or render it inoperable. For example, a combination of titanium / titanium nitride (Ti / TiN) is commonly used as the diffusion barrier.

이러한 배리어 스택은 트랜지스터의 소스 및 드레인과의 접촉을 제공하기 위해 텅스텐 금속화 공정에 또한 사용된다. 배이러 스택은 텅스텐 플러그 및 밑면의 실리콘 (Si)기판 간에 바람직하지 못한 금속 확산을 방지한다. 예를 들어, Ti 층은 Si 기판의 접촉 영역위에 전형적으로 증착되고, 이후 Ti 층이 중간 티타늄 실리사이드 (TiSix) 층으로 전환되며, Si 와의 보다 낮은 저항 접촉을 제공한다. 예를 들어, 550 ~ 700℃ 간의 온도에서 플라즈마 향상된 화학 기상 증착 (PECVD) 을 이용하여 Ti 증착이 수행되면, Ti 막과 밑면의 실리콘 기판간의 반응이 발생한다. 이에 따라 실리콘 기판위에 티타늄 실리사이드 (TiSix) 가 형성된다. 대체하여, Ti 막이 물리적 기상 증착 (PVD) 을 이용하여 증착되면, 접촉부의 밑면에서 TiSix 층은 이후의 막 처리에 앞서 개별적인 급속 열 (RTP) 공정으로 형성될 수도 있다. 이후 TiN 층은 TiSix 층위에 형성되고, 이후 텅스텐 (W) 플러그가 형성된다. 배리어층으로 되는 것에 더하여, TiN 층은 2가지 기능을 한다. 1) W 증착동안 6플루오르화 텅스텐에 의해 TiSix 의 화학적 공격을 방지하고, 2) W 플러그의 부착성을 향상시키도록 글루층으로서 작용한다.Such barrier stacks are also used in tungsten metallization processes to provide contact with the source and drain of transistors. The baler stack prevents undesirable metal diffusion between the tungsten plug and the underlying silicon (Si) substrate. For example, a Ti layer is typically deposited over the contact area of the Si substrate, after which the Ti layer is converted to an intermediate titanium silicide (TiSix) layer, providing lower resistive contact with Si. For example, when Ti deposition is performed using plasma enhanced chemical vapor deposition (PECVD) at a temperature between 550-700 ° C., a reaction between the Ti film and the underlying silicon substrate occurs. As a result, titanium silicide (TiSix) is formed on the silicon substrate. Alternatively, if the Ti film is deposited using physical vapor deposition (PVD), the TiSix layer at the bottom of the contact may be formed in a separate rapid thermal (RTP) process prior to subsequent film processing. A TiN layer is then formed over the TiSix layer, followed by a tungsten (W) plug. In addition to being a barrier layer, the TiN layer serves two functions. It acts as a glue layer to 1) prevent chemical attack of TiSix by tungsten hexafluoride during W deposition, and 2) improve adhesion of the W plug.

현재, 집적회로 장치는 약 0.25미크론 (㎛) 의 크기 범위라는 특성을 갖는다. 차세대 반도체 장치의 크기가 서브 0.25미크론 및 서브-0.18 미크론 범위로 진행됨에 따라, 장치는 동일한 기판위에서 비교적 보다 좁은 접합 또는 트렌치를 가질 것이다. 특히, 중간 TiSix 층이 형성되려면 Si 기판의 일부가 Si 소스로서 희생되어야 한다. 이용가능한 Si 의 두께가 감소하면, Si 소모로 인해 기판의 전기적 특성이 열화될 것이며 기판위에 형성된 장치는 기준 이하의 제품 또는 사용불가능한 제품으로 될 것이다.Currently, integrated circuit devices have a characteristic size range of about 0.25 microns (μm). As the size of next-generation semiconductor devices progress in the sub 0.25 micron and sub-0.18 micron ranges, the devices will have relatively narrower junctions or trenches on the same substrate. In particular, part of the Si substrate must be sacrificed as the Si source for the intermediate TiSix layer to be formed. If the thickness of available Si decreases, the electrical properties of the substrate will degrade due to Si consumption and the devices formed on the substrate will be substandard or unusable.

따라서, 기판 품질 또는 장치 특성을 포함하지 않고 실리사이드층을 형성하는 대체 방법이 당해 기술에 필요하다.Accordingly, there is a need in the art for alternative methods of forming silicide layers without involving substrate quality or device characteristics.

본 발명은 실리콘 기판과 접하는 실리사이드층을 형성하는 방법을 제공한다. 이 방법은 Si기판위에 금속 함유층을 형성하는 단계, 및 실리콘 기판과 상이한 실리콘 함유 소스에 금속 함유층을 노출시키는 단계를 포함한다. 금속 함유층은 실리콘 함유 소스와 반응하여 실리콘 함유 소스로부터 주로 발생하는 실리콘을 갖는 금속 실리사이드층이 형성된다.The present invention provides a method of forming a silicide layer in contact with a silicon substrate. The method includes forming a metal containing layer on a Si substrate, and exposing the metal containing layer to a silicon containing source different from the silicon substrate. The metal containing layer reacts with the silicon containing source to form a metal silicide layer having silicon mainly generated from the silicon containing source.

도 1 은 본 발명의 실시예를 실행하는데 사용될 수 있는 장치의 개략적인 도.1 is a schematic diagram of an apparatus that may be used to practice an embodiment of the invention.

도 2 는 본 발명의 일실시예에 따른 처리의 상이한 단계에서의 기판 구조의 개략적인 단면도.2 is a schematic cross-sectional view of a substrate structure at different stages of processing in accordance with one embodiment of the present invention.

도 3a ~ c 는 본 발명의 다른 일실시예에 따른 처리의 상이한 단계에서의 기판 구조의 개략적인 단면도.3A-C are schematic cross-sectional views of a substrate structure at different stages of processing in accordance with another embodiment of the present invention.

도 4a ~ h 는 본 발명의 또다른 일실시예에 따른 처리의 상이한 단계에서의 기판 구조의 개략적인 단면도.4A-H are schematic cross-sectional views of a substrate structure at different stages of processing in accordance with another embodiment of the present invention.

도 5 는 본 발명의 실시예에 따른 실리사이드 형성을 포함하는 공정 시퀀스를 도시하는 도.5 illustrates a process sequence including silicide formation in accordance with an embodiment of the present invention.

도면의 주요 부분에 대한 부호설명Explanation of Signs of Major Parts of Drawings

100 챔버 106 전원100 chamber 106 power

110 제어기 유닛 120 샤워헤드110 Controller Unit 120 Showerhead

130 가스 패널 150 페디스털130 gas panel 150 pedestal

170 히터 소자 172 온도 센서170 heater element 172 temperature sensor

190 웨이퍼 200 기판190 wafers 200 substrates

본 발명은 일반적으로 향상된 장치 안전성을 갖는 실리사이드 접촉부를 형성하는 방법을 제공한다. 본 발명에 따라, 다중층 금속화 구조에서 중간층일 수도 있는, 실리콘 (Si) 을 포함하는 층은 기저층으로부터 실리콘 (Si) 을 소비하지 않고 기판 또는 실리콘 함유층위에 형성된다. 특히, 이 방법은, 밑면의 실리콘 함유층 또는 기판이 아닌 대체 Si 계 소스를 이용하여 기판 인터페이스위에 Si 및 내화성 금속을 포함하는 실리사이드층을 형성하는 단계를 포함한다. 일실시예에서, 티타늄 실리사이드 (TiSix) 는 실리콘 기판위에 형성된다. 실리사이드 형성을 위한 종래의 기술과는 대조적으로, 본 발명은 기판으로부터 상당한 양의 Si 를 소모하지 않고 TiSix 를 형성할 수 있다. 본 발명은 집적회로 제조의 다양한 단계동안 실리사이드 형성, 트랜지스터의 소스 또는 드레인, 또는 폴리실리콘 게이트 전극에 대한 접촉부 형성에 적용가능하다.The present invention generally provides a method of forming silicide contacts with improved device safety. According to the invention, a layer comprising silicon (Si), which may be an intermediate layer in a multilayer metallization structure, is formed on a substrate or silicon containing layer without consuming silicon (Si) from the base layer. In particular, the method includes forming a silicide layer comprising Si and a refractory metal on the substrate interface using an alternative Si-based source other than the underlying silicon containing layer or substrate. In one embodiment, titanium silicide (TiSix) is formed on a silicon substrate. In contrast to the prior art for silicide formation, the present invention can form TiSix without consuming a significant amount of Si from the substrate. The present invention is applicable to silicide formation, source or drain of transistors, or contact formation for polysilicon gate electrodes during various stages of integrated circuit fabrication.

웨이퍼 처리 시스템Wafer processing system

도 1 은 본 발명의 실시예를 실행하는데 사용될 수 있는 개략적인 웨이퍼 처리 시스템 (10) 이다. 시스템 (10) 은 처리 챔버 (100), 가스 패널 (130), 전원 (106) 과 같은 다른 하드웨어 구성요소와 함께 제어 유닛 (110), 및 진공 펌프 (102) 를 포함한다. 처리 챔버 (100) 의 일예는, 화학 기상 증착 (CVD) 챔버이며, 참고로 1998년 12월 14일 "High Temperature Chemical Vapor Deposition Chamber"라는 명칭으로 출원된 출원번호 제 09/211,998 호에 설명되어 있다. 시스템 (10) 의 일부 주요 특성은 아래에 간략히 설명된다.1 is a schematic wafer processing system 10 that may be used to practice an embodiment of the present invention. System 10 includes a control unit 110, and a vacuum pump 102 along with other hardware components, such as processing chamber 100, gas panel 130, power source 106. One example of the processing chamber 100 is a chemical vapor deposition (CVD) chamber, described in Application No. 09 / 211,998 filed December 14, 1998 under the name “High Temperature Chemical Vapor Deposition Chamber”. . Some key features of the system 10 are briefly described below.

챔버 (100)Chamber (100)

처리 챔버 (100) 는 일반적으로 처리 챔버 (100) 내의 반도체 웨이퍼 (190) 와 같은 기판을 지지하는데 사용되는 지지 페디스털 (150) 을 포함한다. 이 페디스털 (150) 은 특히 (도시되지 않은) 배치 메카니즘을 이용하여 챔버 (100) 내에서 수직 방향으로 이동할 수 있다. 특정한 공정에 의존하여, 웨이퍼 기판 (190) 은 처리되기 전에 어느 정도 필요한 온도로 가열되어야 한다. 도시된 챔버 (100) 에서, 웨이퍼 지지 페디스털 (150) 은 매입된 히터 (170) 에 의해 가열된다. 예를 들어, 페디스털 (150) 은 AC 전원 (106) 으로부터 히터 소자 (170) 에 전류를 인가함으로써 저항성 가열될 수도 있다. 이후, 웨이퍼 (190) 는, 페디스털 (150) 에 의해 가열되고, 예를 들어 450 ~ 750℃ 의 공정 온도 범위에서 유지될 수 있다. 열전대와 같은 온도 센서 (172) 는, 종래 방식에서 페디스털 (150) 의 온도를 감시하도록 웨이퍼 지지 페디스털 (150) 내에 또한 매입된다. 예를 들어, 측정된 온도는 특정 공정 응용에 적절한 필요한 온도로 웨이퍼 온도가 제어 또는 유지될 수 있는 것처럼 가열 소자 (170) 용 전원 (106) 을 제어하도록 피드백 루프에 사용될 수도 있다.The processing chamber 100 generally includes a support pedestal 150 used to support a substrate, such as a semiconductor wafer 190 within the processing chamber 100. This pedestal 150 can be moved in the vertical direction in the chamber 100, in particular using a placement mechanism (not shown). Depending on the particular process, the wafer substrate 190 must be heated to some required temperature before being processed. In the chamber 100 shown, the wafer support pedestal 150 is heated by an embedded heater 170. For example, pedestal 150 may be resistively heated by applying a current to heater element 170 from AC power source 106. Thereafter, the wafer 190 may be heated by the pedestal 150 and maintained at a process temperature range of, for example, 450 to 750 ° C. A temperature sensor 172, such as a thermocouple, is also embedded in the wafer support pedestal 150 to monitor the temperature of the pedestal 150 in a conventional manner. For example, the measured temperature may be used in the feedback loop to control the power supply 106 for the heating element 170 as the wafer temperature may be controlled or maintained at the required temperature appropriate for the particular process application.

가스 패널 (130) 을 통한 가스 유량의 적절한 제어 및 조절은 (도시되지 않은) 질량 유량 제어기 및 컴퓨터와 같은 제어기 유닛 (110) 에 의해 수행된다. 샤워헤드 (120) 는 가스 패널 (130) 로부터의 공정 가스가 챔버 (100) 내로 균일하게 분포 및 도입되게 한다. 도시된 바와같이, 제어 유닛 (110) 은 중앙 처리 유닛 (CPU; 112), 및 관련된 제어 소프트웨어 (116) 를 저장하기 위한 메모리를 포함하는 지지 회로 (114) 를 포함한다. 이 제어 유닛 (110) 은 웨이퍼 전송, 가스 유량 제어, 온도 제어, 챔버 배출과 같은 웨이퍼 처리에 필요한 수많은 단계의 자동 제어를 담당한다. 제어 유닛 (110) 과 시스템 (10) 의 다양한 구성요소 간의 양방향 통신은 신호 버스 (118) 로 집약되어 칭하는 수많은 신호 케이블을 통해 행해지며, 그 일부가 도 1 에 도시되어 있다.Proper control and regulation of the gas flow rate through the gas panel 130 is performed by a controller unit 110 such as a mass flow controller (not shown) and a computer. The showerhead 120 allows the process gas from the gas panel 130 to be uniformly distributed and introduced into the chamber 100. As shown, the control unit 110 includes a support circuit 114 that includes a central processing unit (CPU) 112, and a memory for storing associated control software 116. This control unit 110 is responsible for the automatic control of numerous steps required for wafer processing such as wafer transfer, gas flow control, temperature control and chamber discharge. Bidirectional communication between the control unit 110 and various components of the system 10 is through a number of signal cables, collectively referred to as a signal bus 118, a portion of which is shown in FIG. 1.

진공 펌프 (102) 는 공정 챔버 (100) 를 배출하고 챔버 (100) 내의 가스 유량 및 압력을 유지하는데 사용된다. 공정 가스가 챔버 (100) 내로 도입되는 샤워헤드 (120) 는 웨이퍼 지지 페디스털 (150) 위에 위치한다. 일부 응용에 있어서, 샤워헤드 (120) 에는 2개의 개별적인 경로 즉 가스 라인이 설치되며, 이것은 2개의 가스가 챔버 (100) 내로 섞이지 않고 개별적으로 도입되게 한다. 이중 가스 (dual gas) 샤워헤드 (120) 의 상세한 내용은, 참고로 1998년 6월 16일 제출된 "Dual Gas Faceplate for Showerhead in a Semiconductor Wafer Processing System" 이라는 명칭의 미국 특허출원번호 제 09/098,969 호에 공개되어 있다. 이 샤워헤드 (120) 는 질량 유량 제어기를 통해 공정 시퀀스의 상이한 단계에서 사용되는 다양한 가스를 제어 및 공급하는 가스 패널 (130) 에 연결된다. 웨이퍼 처리동안, 퍼지 가스 공급기 (104) 는 예를 들어, 불활성 가스인 퍼지 가스를 페디스털 (150) 의 밑면 주위에 제공하여 불필요한 증착이 페디스털 (150) 위에 형성되는 것을 최소화한다.Vacuum pump 102 is used to evacuate process chamber 100 and maintain gas flow rate and pressure within chamber 100. The showerhead 120 into which the process gas is introduced into the chamber 100 is located above the wafer support pedestal 150. In some applications, the showerhead 120 is provided with two separate paths, or gas lines, which allow the two gases to be introduced separately without mixing into the chamber 100. For details of the dual gas showerhead 120, see US patent application Ser. No. 09 / 098,969 filed "Dual Gas Faceplate for Showerhead in a Semiconductor Wafer Processing System," filed June 16, 1998. It is published in the issue. This showerhead 120 is connected via a mass flow controller to a gas panel 130 that controls and supplies various gases used at different stages of the process sequence. During wafer processing, the purge gas supplier 104 provides a purge gas that is, for example, an inert gas around the bottom of the pedestal 150 to minimize the unnecessary deposition formed on the pedestal 150.

실리사이드 형성Silicide formation

도 2a ~2c 는 본 발명의 일실시예를 도시한다. 일반적으로, 기판 (200) 은 막 처리가 수행되는 소재로 언급되며, 기판 구조 (250) 는 기판 (200) 위에 형성된 다른 물질층과 함께 기판 (200) 을 나타내는데 일반적으로 사용된다. 다음에 따르는 설명에서 사용되는 바와 같이, 도 2a ~ 2c 의 기판 (200) 은 일반적으로 실리콘 함유층 또는 예를 들어, 폴리실리콘층 (즉, 폴리실리콘 게이트 전극) 또는 실리콘 웨이퍼를 포함하는 기판으로 언급된다.2A-2C illustrate one embodiment of the present invention. In general, the substrate 200 is referred to as the material on which the film treatment is performed, and the substrate structure 250 is generally used to represent the substrate 200 along with another layer of material formed over the substrate 200. As used in the following description, the substrate 200 of FIGS. 2A-2C is generally referred to as a silicon containing layer or a substrate including, for example, a polysilicon layer (ie, a polysilicon gate electrode) or a silicon wafer. .

도 2a 는, 예를 들어, 실리콘 기판 (200) 위에 이미 형성된 금속막 (204) (막 및 층이라는 용어가 번갈아 사용된다) 을 갖는 기판 구조 (250) 의 단면도를 도시한다. 이 도에서, 물질층 (202) 은, 기판 (200) 의 인터페이스 (200I) 로 연장되는 개구 (202H) 또는 접촉 홀을 제공하기 위해 종래에 형성되고 패턴화된 산화물 (즉, SiO2) 과 같은 절연층일 수도 있다. 금속막 (204) 은 일반적으로 티타늄 (Ti), 탄탈 (Ta), 또는 텅스텐 (W) 과 같은 내화성 금속일 수도 있다. 일실시예에서, 금속막 (204) 은 Ti 막이며, 플라즈마 향상된 화학 기상 증착 (PECVD) 또는 물리적 기상 증착 (PVD) 과 같은 종래의 Ti 증착 공정에 의해 기판 구조 (250) 위에 증착될 수도 있다. 특히, Ti 막 (204) 은 약 25 내지 200Å 범위, 바람직하게는 약 50 내지 약 100Å 범위의 두께로 증착된다. 일실시예에서, 예를 들어, Ti 막 (204) 의 두께는 약 100Å 이다. 그러나, 이 두께는 특정한 응용에 의존하여 변경될 수도 있고, 기하학적으로 장치가 감소될 때 약 100Å 미만일 수도 있다.2A shows a cross-sectional view of a substrate structure 250 having, for example, a metal film 204 (the terms film and layer are used alternately) already formed on a silicon substrate 200. In this figure, the material layer 202 is conventionally formed and patterned oxide (ie, SiO 2 ) to provide an opening 202H or contact holes that extend to the interface 200I of the substrate 200. It may be an insulating layer. The metal film 204 may generally be a refractory metal such as titanium (Ti), tantalum (Ta), or tungsten (W). In one embodiment, the metal film 204 is a Ti film and may be deposited over the substrate structure 250 by conventional Ti deposition processes such as plasma enhanced chemical vapor deposition (PECVD) or physical vapor deposition (PVD). In particular, the Ti film 204 is deposited to a thickness in the range of about 25 to 200 mm 3, preferably in the range of about 50 to about 100 mm 3. In one embodiment, for example, the thickness of the Ti film 204 is about 100 mm 3. However, this thickness may vary depending on the particular application and may be less than about 100 microns when the device is geometrically reduced.

증착된 Ti 막 (204) 은 인터페이스 (200I) 에서 기판 (200) 의 일부를 포함하는 일부 (204I) 를 또한 포함한다. 도 2a 에 도시된 바와 같이, 증착된 Ti 막 (204) 의 비등각적인 성질 때문에, 접촉 홀 (202H) 의 측벽 (202S) 은 어떠한 Ti 에 의해서도 도포되지 않는다. 본 발명은 등각 증착된 Ti 막 (204) 으로 또한 실행될 수 있다. Ti 막 증착 방법이 본 발명의 실행에 있어서 중요한 것은 아니지만, Ti 막 (204) 의 특성, 즉, 표면 거칠기는 종속하는 공정 단계에서 사용되는 공정 조건의 선택에 영향을 끼칠 수도 있다.The deposited Ti film 204 also includes a portion 204I that includes a portion of the substrate 200 at the interface 200I. As shown in FIG. 2A, due to the isometric nature of the deposited Ti film 204, the sidewalls 202S of the contact holes 202H are not applied by any Ti. The invention can also be practiced with conformal deposited Ti film 204. Although the Ti film deposition method is not critical to the practice of the present invention, the properties of the Ti film 204, ie the surface roughness, may influence the selection of the process conditions used in the dependent process steps.

Ti 막 (204) 이 형성된 후에, 실리사이드 형성단계는 Ti 막 (204) 의 일부를 TiSix 로 변환하도록 수행된다. 특히, 본 발명의 바람직한 실시예에서, 인터페이스 (200I) 에서 일부 (204I) 를 포함하는 Ti 막 (204) 은, Si 함유 소스 또는 여러가지 중에서 실란 (SiH4), 디실란 (Si2H6), 프리커서 가스를 포함하는 가스 환경에 노출된다. 실리사이드 형성단계는 Ti 또는 TiN 증착용으로 사용되는 바와 같이, 약 20 내지 약 3000sccm 범위의 실리콘 함유 프리커서 유량, 약 0.5 내지 약 20torr 범위, 및 약 500 내지 약 750℃ 온도 범위로 증착 챔버에서 특히 수행될 수 있다. 여러가지 중에서 아르곤 (Ar), 질소 (N2), 헬륨 (He) 과 같은 불활성 가스는 단독으로 또는 실리콘 함유 프리커서와 함께 조합하여 사용될 수도 있다. 예를 들어, 프리커서 가스 (SiH6) 용으로, 바람직한 파라미터는 약 3000sccm 미만의 유량, 바람직하게는 약 100 내지 약 2000sccm, 보다 바람직하게는 약 500sccm 의 유량, 그리고 약 0.5 내지 20torr, 바람직하게는 약 5torr 의 압력을 포함한다. 불활성 가스 유량은 실리콘 함유 프리커서 가스용으로 필요한 분압에 따라 변경될 수도 있다. 약 500 내지 약 750℃ 범위, 바람직하게는 약 650℃ 의 온도가 사용될 수도 있다. 일반적으로, 온도가 높아질수록 반응 속도가 빨라지지만, 열 수지를 고려해야 하기에 보다 낮은 공정 온도가 필요할 수도 있다.After the Ti film 204 is formed, a silicide forming step is performed to convert a portion of the Ti film 204 into TiSix. In particular, in a preferred embodiment of the present invention, the Ti film 204 comprising a portion 204I at the interface 200I is a silane (SiH 4 ), disilane (Si 2 H 6 ), Are exposed to a gaseous environment comprising precursor gas. The silicide forming step is particularly performed in the deposition chamber at a silicon-containing precursor flow rate in the range of about 20 to about 3000 sccm, in the range of about 0.5 to about 20 torr, and in the temperature range of about 500 to about 750 ° C., as used for Ti or TiN deposition. Can be. Among other things, inert gases such as argon (Ar), nitrogen (N 2 ), helium (He) may be used alone or in combination with silicon-containing precursors. For example, for precursor gas (SiH 6 ), preferred parameters are flow rates of less than about 3000 sccm, preferably from about 100 to about 2000 sccm, more preferably from about 500 sccm, and from about 0.5 to 20 torr, preferably Pressure of about 5 torr. The inert gas flow rate may vary depending on the partial pressure required for the silicon-containing precursor gas. Temperatures in the range of about 500 to about 750 ° C., preferably about 650 ° C., may be used. In general, the higher the temperature, the faster the reaction rate, but a lower process temperature may be necessary to account for thermal balance.

공정 온도에 의존하여, TiSix 형성은 한 개 이상의 단계에서 발생할 것이다. 약 600℃ 보다 높은 온도에서, TiSix 층 (205) 은, 도 2b 에 도시된 바와같이, 실리콘 함유 프리커서 가스 및 Ti 층 (204) 의 일부 (204I) 간의 반응으로부터 단일 단계로 형성된다. 이 실시예에서, 실리콘 함유 프리커서 가스가 반응 챔버 내로 도입될 때, Ti 막 (204) 및 실리콘 함유 가스간에 열 반응이 발생하여, TiSix 이 형성된다. 공정 파라미터는 Ti 막 (204) 의 일부 (204I) 및 실리콘 함유 프리커서 가스 간의 반응을 향상시키도록 선택되는 한편, Ti 막 (204I) 및 밑면의 실리콘 함유층 또는 기판간에 가능한 반응을 최소화한다. 예를 들어, 실리콘 함유 프리커서 가스의 분압 또는 보다 높은 비율, 또는 보다 낮은 기판 온도를 포함하는 가스 환경은 Ti 막 (204) 과 실리콘 함유 가스 간의 반응을 선호하는 경향이 있다. 이처럼, TiSix 층 (205) 은 실리콘 함유 프리커서 가스로부터 주로 발생하는 실리콘을 포함한다.Depending on the process temperature, TiSix formation will occur in one or more steps. At temperatures above about 600 ° C., the TiSix layer 205 is formed in a single step from the reaction between the silicon-containing precursor gas and the portion 204I of the Ti layer 204, as shown in FIG. 2B. In this embodiment, when the silicon-containing precursor gas is introduced into the reaction chamber, a thermal reaction occurs between the Ti film 204 and the silicon-containing gas, whereby TiSix is formed. Process parameters are selected to enhance the reaction between the portion 204I of the Ti film 204 and the silicon-containing precursor gas, while minimizing possible reactions between the Ti film 204I and the silicon-containing layer or substrate at the bottom. For example, gas environments that include partial pressures or higher proportions of silicon-containing precursor gas, or lower substrate temperatures, tend to favor reactions between the Ti film 204 and silicon-containing gas. As such, the TiSix layer 205 includes silicon that arises primarily from the silicon containing precursor gas.

TiSix 층 (205) 의 두께는 일반적으로 Ti 층 (204I) 의 두께의 약 2.5 배이다. 물론, TiSix는 절연층 (202) 위에 위치하는 TiSix 층 (207) 에 의해 도시된 바와 같이, Ti 막 (204) 의 다른 부분위에 또한 형성된다. 그러나, 본 발명은, 종래 기술의 방법을 사용하는 실리사이드 형성단계에서 열하될 수도 있는 실리콘계 물질과 접하는 실리사이드층 형성에 관련된다. 본 발명의 방법에 따라, 인터페이스 (200I) 에서 Si 함유 프리커서 가스에 의해 Ti 일부 (204I) 로 도입되는 Si 양은 Ti 일부 (204I) 가 TiSix 로 변환되기에 충분해야 한다. 절연층 (202) 위에 배치된 Ti 막 (204) 이 도 2b 에서 TiSix 층 (207) 으로 완전히 변환된 것으로 도시되어 있지만, 본 발명의 실시예를 실행하는데 요구되지는 않는다. 따라서 형성된 TiSix 층 (205) 은 다층 금속화 스택에서 중간층으로 서사용되기 위해 원하는 물리적 및 전기적 특성을 갖는다. 이처럼, TiSix 층 (205) 은 기저 기판 (200) 으로부터 실리콘을 고갈시키지 않고 형성된다.The thickness of the TiSix layer 205 is generally about 2.5 times the thickness of the Ti layer 204I. Of course, TiSix is also formed on other portions of the Ti film 204, as shown by the TiSix layer 207 located over the insulating layer 202. However, the present invention relates to the formation of a silicide layer in contact with a silicon-based material that may be degraded in the silicide formation step using prior art methods. According to the method of the present invention, the amount of Si introduced into the Ti portion 204I by the Si containing precursor gas at the interface 200I should be sufficient for the Ti portion 204I to be converted to TiSix. Although the Ti film 204 disposed over the insulating layer 202 is shown fully converted to the TiSix layer 207 in FIG. 2B, it is not required to practice the embodiment of the present invention. The TiSix layer 205 thus formed has the desired physical and electrical properties for use as an intermediate layer in a multilayer metallization stack. As such, the TiSix layer 205 is formed without depleting silicon from the base substrate 200.

또다른 실시예에서, 중간 TiSix 층 (205) 은 플라즈마 공정을 이용하여 형성될 수도 있다. 예를 들어, 플라즈마는, 선택적으로 한 개 이상의 불활성 가스와 함께, 실리콘 함유 프리커서 가스로부터 발생할 수도 있다. 플라즈마는, 예를 들어 여러가지 중에서 무선 주파수 (RF), 극초단파를 포함하는 다양한 전력원으로부터 다양하게 이용가능한 플라즈마 처리 챔버를 사용하여 발생할 수도 있다. 이 실시예에서, 공정 온도 범위는 약 300 내지 약 500℃, 전체 압력은 약 0.5 내지 20torr 일 수도 있다. 실리콘 함유 프리커서 가스의 유량은, 약 3000sccm 미만, 바람직하게는 약 100 내지 약 2000sccm, 보다 바람직하게는 약 100sccm 가 사용될 수도 있다. 플라즈마 공정은 열반응에서 사용되는 온도보다 낮은 온도에서 수행될 수도 있기에, Ti 막 (204) 및 밑면의 실리콘 기판 (200) 간의 반응이 미리 억제될 수 있다는 것이 예상된다.In another embodiment, the intermediate TiSix layer 205 may be formed using a plasma process. For example, the plasma may be generated from a silicon containing precursor gas, optionally with one or more inert gases. Plasma may be generated using a plasma processing chamber that is variously available from a variety of power sources including, for example, radio frequency (RF), microwaves, among others. In this embodiment, the process temperature range may be about 300 to about 500 ° C., and the total pressure may be about 0.5 to 20 torr. The flow rate of the silicon-containing precursor gas may be less than about 3000 sccm, preferably about 100 to about 2000 sccm, more preferably about 100 sccm. Since the plasma process may be performed at a temperature lower than the temperature used in the thermal reaction, it is expected that the reaction between the Ti film 204 and the underlying silicon substrate 200 can be suppressed in advance.

중간 TiSix 층 (205) 의 형성 이후에, 도 2c 에 도시된 바와 같이, 배리어층, 즉, 내화성 금속 질화막 (206) 과 같은 전도층의 증착 처리가 계속될 수도 있다. 예를 들어, 질화 티타늄 (TiN) 막 (206) 은 도 1 의 챔버 (100)에서 4염화 티타늄 (TiCl4) 과 암모니아 (NH3) 간의 반응을 이용하는 CVD 에 의해 형성될 수도 있다. 도시된 바와 같이, 헬륨 (He) 및 질소 (N2) 와 같은 불활성 가스는 샤워헤드 (120) 의 한 경로 (가스 라인) 를 통해 TiCl4와 함께 챔버 (100) 내로 도입된다. N2와 함께 NH3는 샤워헤드 (120) 의 제 2 경로를 통해 챔버 (100) 내로 도입된다. 약 2000sccm 의 밑면 불활성 가스 퍼지 유량이 챔버 (100) 의 밑면에 제공된 가스 공급기 (104) 및 개별적인 가스 라인을 통해 또한 확립된다. 특히, 약 500 내지 5000sccm 간의 불활성 가스 유량과 함께 약 5 내지 약 40sccm 간의 TiCl4기상 유량, 약 500 내지 약 5000sccm 간의 N2유량에서 반응이 수행될 수 있다. 약 3 내지 약 30 torr 간의 전체 압력 및 약 450℃ 보다 높은 페디스털 온도 (즉, 약 600 내지 700℃ 간의 온도) 가 사용될 수도 있다. 이러한 공정 조건 하에서, TiN 막 (206) 은 약 3.5:1 (TiN 증착이 발생하는 개구 (202H) 의 깊이 (d) 및 폭 (w) 간의 비율로 정의되는종횡비) 의 단계 커버리지를 나타낸다.After formation of the intermediate TiSix layer 205, the deposition process of the barrier layer, that is, the conductive layer, such as the refractory metal nitride film 206, may be continued, as shown in FIG. 2C. For example, the titanium nitride (TiN) film 206 may be formed by CVD using a reaction between titanium tetrachloride (TiCl 4 ) and ammonia (NH 3 ) in the chamber 100 of FIG. 1. As shown, an inert gas, such as helium (He) and nitrogen (N 2 ), is introduced into the chamber 100 with TiCl 4 through one path (gas line) of the showerhead 120. NH 3 along with N 2 is introduced into the chamber 100 through a second path of the showerhead 120. A bottom inert gas purge flow rate of about 2000 sccm is also established through the gas supply 104 and individual gas lines provided at the bottom of the chamber 100. In particular, the reaction may be carried out at a TiCl 4 gas phase flow between about 5 to about 40 sccm and an N 2 flow rate between about 500 to about 5000 sccm with an inert gas flow rate between about 500 to 5000 sccm. A total pressure between about 3 to about 30 torr and a pedestal temperature higher than about 450 ° C. (ie, a temperature between about 600 to 700 ° C.) may be used. Under these process conditions, the TiN film 206 exhibits step coverage of about 3.5: 1 (the aspect ratio defined by the ratio between the depth (d) and width (w) of the opening 202H where TiN deposition occurs).

일반적으로, 다른 내화성 금속 실리사이드 (즉, TaSix 또는 WSix) 가 중간 실리사이드층으로서 사용된다면, 배리어층 (206) 은 질화 탄탈 또는 질화 텅스텐과 같은 상응하는 질화 금속이 바람직하다. 당해 기술에 알려진 기술을 이용하여, 접촉 개구 (202H) 에서 실리콘 기판 (200) 에 금속 접속을 제공하기 위해 IC 제조 시퀀스의 (도시되지 않은) 후속 금속 증착 단계에서 알루미늄 또는 텅스텐과 같은 추가 금속층이 형성될 수도 있다.In general, if another refractory metal silicide (ie, TaSix or WSix) is used as the intermediate silicide layer, the barrier layer 206 is preferably a corresponding metal nitride such as tantalum nitride or tungsten nitride. Using techniques known in the art, additional metal layers, such as aluminum or tungsten, are formed in subsequent metal deposition steps (not shown) of the IC fabrication sequence to provide metal connections to the silicon substrate 200 at the contact openings 202H. May be

상기한 바와 같이, 공정 온도에 의존하여, 예를 들어 실리콘 함유 프리커서 가스가 약 600℃ 미만의 기판 온도에서 도입된다면 실리사이드 형성은 2단계 프로시저로 또한 달성될 수도 있다. 이 실시예는 도 3a ~ 3c 에 도시된다.As noted above, depending on the process temperature, silicide formation may also be achieved in a two step procedure if, for example, a silicon-containing precursor gas is introduced at a substrate temperature of less than about 600 ° C. This embodiment is shown in Figures 3A-3C.

도 3a 는 도 2a 에 도시된 구조와 동일한 기판 구조를 도시하며, 여기서 Ti 막 (204) 은 절연층 (202) 이다. Ti 막 (204) 의 일부 (204I) 는 인터페이스 (200I) 에서 실리콘 기판 (200) 과 접한다. 이 실시예에 따라, 이후 Si 층 (302) 은 Si 함유 프리커서를 이용한 화학 기상 증착에 의해 도 3a 의 구조에 걸쳐 형성된다. 예를 들어, Si 함유 가스의 열분해 (즉, 500℃ 에서의 SiH4) 를 이용하여,등각 Si 층 (302) 은, 도 3b 에 도시된 바와 같이, 접촉 홀 (202H) 의 측별 (202S) 뿐만 아니라 일부 (204I) 를 포함하여 Ti 막 (204) 에 걸쳐 증착될 수 있다.FIG. 3A shows the same substrate structure as the structure shown in FIG. 2A, where the Ti film 204 is an insulating layer 202. A portion 204I of the Ti film 204 abuts the silicon substrate 200 at the interface 200I. According to this embodiment, the Si layer 302 is then formed over the structure of FIG. 3A by chemical vapor deposition using a Si containing precursor. For example, using pyrolysis of Si-containing gas (ie, SiH 4 at 500 ° C.), the conformal Si layer 302 is formed by the side separation 202S of the contact hole 202H, as shown in FIG. 3B. As well as a portion 204I may be deposited over the Ti film 204.

Si 층 (302) 은 약 3000sccm 미만의, 바람직하게는 약 100 내지 약 2000sccm 의, 보다 바람직하게는 약 500sccm 의 SiH4유량으로부터 증착될 수도 있다. 약 0.5 내지 약 20torr 간의, 바람직하게는 약 5 torr 의 SiH4분압이 사용될 수도 있다. 한 개 이상의 불활성 가스 (즉, 다른 것들중에서 Ar, N2또는 He) 는 SiH4가스와 함께 또한 사용될 수 있다. Si 층 (302) 은 Ti 막 일부 (204I) 의 두께보다 약 2배의 두께로 보통 증착된다. 예를 들어, 약 25 내지 약 200Å 두께의 Ti 막에서, Si 층 (302) 은 약 50 내지 약 400Å 두께로 증착된다. 이러한 약 2 : 1 의 Si : Ti 비율은 후속 반응에서 Ti 막 일부 (204I) 가 TiSix 로 완전히 변환되는 것을 보장하는 경향이 있다.Si layer 302 may be deposited from a SiH 4 flow rate of less than about 3000 sccm, preferably from about 100 to about 2000 sccm, more preferably from about 500 sccm. SiH 4 partial pressures between about 0.5 to about 20 torr, preferably about 5 torr, may be used. One or more inert gases (ie Ar, N 2 or He, among others) may also be used with the SiH 4 gas. The Si layer 302 is usually deposited to about twice the thickness of the Ti film portion 204I. For example, in a Ti film about 25 to about 200 microns thick, Si layer 302 is deposited to about 50 to about 400 microns thick. This Si: Ti ratio of about 2: 1 tends to ensure that the Ti film portion 204I is completely converted to TiSix in subsequent reactions.

본 발명을 실행하는데 있어서, Ti 막 일부 (204I) 와 밑면의 Si 기판 (200) 과의 반응을 최소화하는 한편, Ti 막 일부 (204I) 와의 후속 반응을 향상시키기 위해, Si 층 (302) 이 비정질인 것이 바람직하다. 이처럼, 공정 파라미터 프리커서 가스는 비정질 Si 를 형성하도록 선택되는 것이 바람직하다. 예를 들어, SiH4프리커서 가스를 사용하여, 당해 기술에 공지된 공정 파라미터를 이용하여 비정질 Si 층 (302) 을 증착하는데 약 300 내지 약 600℃ 간의 온도가 사용될 수도 있다. 실리콘 함유 프리커서 가스로서 Si2H6가 사용된다면, 약 200 내지 약 400℃ 간의 온도가 사용될 수도 있다. 대체하여, Si 층 (302) 은 당해 기술에 공지된 실리콘 함유 프리커서 가스 및 공정 파라미터를 이용하는 플라즈마 반응으로부터 또한 증착될 수도 있다. 또한, 여러가지 중에서 RF, 원격 플라즈마, ECR 과 같은 상이한 플라즈마 소스가 본 발명을 실행하는데 사용될 수도 있다.In practicing the present invention, the Si layer 302 is amorphous in order to minimize the reaction of the Ti film portion 204I with the underlying Si substrate 200 while enhancing the subsequent reaction with the Ti film portion 204I. Is preferably. As such, the process parameter precursor gas is preferably selected to form amorphous Si. For example, a temperature between about 300 ° C. and about 600 ° C. may be used to deposit the amorphous Si layer 302 using a SiH 4 precursor gas using process parameters known in the art. If Si 2 H 6 is used as the silicon-containing precursor gas, a temperature between about 200 and about 400 ° C. may be used. Alternatively, Si layer 302 may also be deposited from a plasma reaction using silicon containing precursor gas and process parameters known in the art. Also, among other things, different plasma sources, such as RF, remote plasma, ECR, may be used to practice the present invention.

도 3c 에 도시된 바와 같이, 후속 단계는 Si 층 (302) 과 Ti 막 (204) 간의 반응을 개시하는데 수행되고, 이에따라 TiSix 층 (305) 이 형성된다. 예를 들어, 이 반응 단계는 도 3b 의 기판 구조를 약 600℃ 이상의 온도로 가열함으로써 수행될 수 있다. 본 발명에 따라, Ti 막 (204) 의 모든 일부 (204I) 는 밑면의 실리콘 기판 (200) 의 인터페이스 (200I) 에 접하는 TiSix 층 (305) 으로 변환되는 것이 바람직하다. Ti 막 (204) 의 두께 및 특정한 Ti 증착 공정에 의존하여, 접촉 홀 (202H) 의 외부에서 층 (202) 과 접하는 Ti 막 (204) 의 다른 일부에서 Ti 로부터 TiSix 로의 완전한 변환이 발생할 수도 안할 수도 있다. 상기한 바와 같이, 본 발명은 Si 함유층과 접하는 실리사이드층을 형성하는 방법에 관련된 것이다. 이처럼, 공정 파라미터는 Si 함유층과 접하는 Ti 막 (204) 의 일부, 즉 일부 (204I) 의 완전한 변환을 위해 2단계 프로시저로 조절된다. 상기한 바와 같이, Si 층 (302) 은 비정질인 것이 바람직하며, Si 층 (302) 및 Ti 막 일부 (204I) 간의 반응율이 Ti 막 일부 (204I) 및 Si 기판 (200) 간에 비교하여 보다 높을 것이다. Si 기판 (200) 이 (단결정 실리콘 대신) 폴리실리콘을 포함한다면, Ti 막 일부 (204I) 가 Si 층 (302) 과 반응하는 것이 바람직하다는 것을 또한 예상할 수 있다. 이처럼, 실리사이드층 (305) 은 밑면의 Si 기판 (200) 으로부터 Si 를 고갈시키지 않고 형성될 수 있다.As shown in FIG. 3C, a subsequent step is performed to initiate a reaction between the Si layer 302 and the Ti film 204, whereby a TiSix layer 305 is formed. For example, this reaction step can be performed by heating the substrate structure of FIG. 3B to a temperature of about 600 ° C. or higher. In accordance with the present invention, all portions 204I of the Ti film 204 are preferably converted to TiSix layer 305 in contact with the interface 200I of the underlying silicon substrate 200. Depending on the thickness of the Ti film 204 and the particular Ti deposition process, a complete conversion from Ti to TiSix may or may not occur in another portion of the Ti film 204 that contacts the layer 202 outside of the contact hole 202H. have. As mentioned above, this invention relates to the method of forming the silicide layer which contact | connects a Si containing layer. As such, the process parameters are adjusted with a two-step procedure for complete conversion of the portion of the Ti film 204, ie, the portion 204I, in contact with the Si containing layer. As noted above, the Si layer 302 is preferably amorphous, and the reaction rate between the Si layer 302 and the Ti film portion 204I will be higher as compared between the Ti film portion 204I and the Si substrate 200. . If the Si substrate 200 comprises polysilicon (instead of single crystal silicon), it can also be expected that a portion of the Ti film 204I reacts with the Si layer 302. As such, the silicide layer 305 can be formed from the bottom side Si substrate 200 without depleting Si.

도 2c 와 함께 이전에 설명된 공정을 이용하여 (도시되지 않은) 전도층, 즉, TiSix 층 (305) 위에서 TiN 과 같은 배리어층 형성과 함께 후속 처리가 계속될 수도 있다. 금속 접속을 접촉 개구 (202H) 에서 Si 기판 (200) 에 제공하기 위해 추가 금속화 단계가 수행될 수도 있다. 도 3c 에 도시된 바와같이, Si 층 (302) 의 일부는 접촉 개구 (202H) 의 측벽 (202S) 에서 반응하지 않으나, 금속 접속의 성능에 확연히 영향을 주지 않을 것이다.Subsequent processing may continue with the formation of a barrier layer, such as TiN, on a conductive layer (not shown), ie, TiSix layer 305, using the process previously described with FIG. 2C. An additional metallization step may be performed to provide a metal connection to the Si substrate 200 at the contact opening 202H. As shown in FIG. 3C, part of the Si layer 302 will not react at the sidewall 202S of the contact opening 202H, but will not significantly affect the performance of the metal connection.

도 4a ~ 4h 는 본 발명의 대체 실시예를 도시하며, 여기서 TiSix (또는 내화성 금속 실리사이드와 같은 금속 실리사이드) 는 화학흡착 Ti 함유 종류 및 Si 함유 프리커서 간의 반응에 의해 형성된다. 이 실시예에서, 실리콘 기판 (200) 으로 연장되는 접촉 홀 (202H) 을 형성하기 위해 절연층 (202) 을 패터닝한 후에, 측벽 (202S) 을 따라 그리고 접촉 홀 (202H) 의 밑면 (202B) 및 실리콘 기판 (200) 에서 절연층 (202) 의 표면을 포함하여, Ti 함유 종류가 기판 구조위로 화학흡착 또는 흡수된다. 이것은, 예를 들어, TiCl4와 같은 Ti 함유 프리커서에 기판 구조를 노출시킴으로써 달성될 수 있다. 예를 들어, 약 50 내지 약 1000 mg/min , 바람직하게는 약 50 mg/min 의 TiCl4유량, 및 약 0.5 내지 약 20 torr 의 압력이 사용될 수도 있다. 여러가지 중에서, 질소, 아르곤, 및 헬륨과 같은 불활성 가스는 TiCl4를 챔버내로 도입하기 위한 캐리어 가스로서 사용된다. 실리콘 기판 (200) 의 온도는 약 450℃ 에서 유지되는 것이 바람직하지만, 약 400 내지 약 700℃ 의 온도 범위가 또한 가능하다.4A-4H illustrate alternative embodiments of the invention wherein TiSix (or metal silicides such as refractory metal silicides) is formed by reaction between chemisorption Ti containing species and Si containing precursors. In this embodiment, after patterning the insulating layer 202 to form the contact holes 202H extending to the silicon substrate 200, along the sidewalls 202S and the underside 202B of the contact holes 202H and Including the surface of the insulating layer 202 in the silicon substrate 200, Ti-containing species are chemisorbed or absorbed onto the substrate structure. This can be accomplished, for example, by exposing the substrate structure to a Ti containing precursor such as TiCl 4 . For example, a TiCl 4 flow rate of about 50 to about 1000 mg / min, preferably about 50 mg / min, and a pressure of about 0.5 to about 20 torr may be used. Among other things, inert gases such as nitrogen, argon, and helium are used as the carrier gas for introducing TiCl 4 into the chamber. While the temperature of the silicon substrate 200 is preferably maintained at about 450 ° C., a temperature range of about 400 ° C. to about 700 ° C. is also possible.

실리콘 기판 (200) 의 표면이 TiCl4, 또는 보다 일반적으로 TiCly 분포와 같은 Ti 함유 종류의 단층으로 포화되도록 충분히 긴 시간동안 기판 구조는 TiCl4흐름에 노출되고, 여기서 y 는 0 내지 4 이다. TiCl, TiCl2, TiCl3는 또한 서브 클로라이드로 언급된다. 필요하다면, 염소롸 함께 반응하거나 추출될 수 있는 수소와 같은 반응성 가스가, TiCl4와 함께, 서브 클로라이드 티타늄의 흡수를 향상시키도록 기판에 도입될 수도 있다. H2유량은 약 500 내지 약 5000sccm 에서 유지되고, 바람직하게는 약 1000sccm 이다. 서브 클로라이드 형성은 보다 높은 기판 온도를 사용함으로써 또한 선호된다. 따라서, TiCly 를 포함하는 얇은 화학흡착층 (402) 은, 도 4a 에 도시된 바와 같이, 실리콘 기판 (200) 의 노출부 (인터페이스부 (202I)) 를 상당히 도포하도록 형성된다.The substrate structure is exposed to the TiCl 4 flow for a long enough time such that the surface of the silicon substrate 200 is saturated with a monolayer of TiCl 4 , or more generally Ti containing species such as TiCly distribution, where y is 0-4. TiCl, TiCl 2 , TiCl 3 are also referred to as subchlorides. If necessary, a reactive gas such as hydrogen, which can react or be extracted with chlorine 롸, may be introduced into the substrate together with TiCl 4 to enhance the absorption of the subchloride titanium. The H 2 flow rate is maintained at about 500 to about 5000 sccm, preferably about 1000 sccm. Subchloride formation is also preferred by using higher substrate temperatures. Accordingly, the thin chemisorption layer 402 including TiCly is formed to substantially apply the exposed portion (interface portion 202I) of the silicon substrate 200, as shown in FIG. 4A.

(도시되지 않은) 퍼지 단계는 약 3000sccm 유량 및 약 5 Torr 의 압력으로 Ar 를 사용하여 수행된다. 퍼지는 충분히 긴 시간, 즉 약 10 초동안 잔여 가스 상 Ti 함유 프리커서 (즉, 어떠한 표면에서도 화학흡착되지 않는 TiCl4) 를 제거하도록 수행된다. 여러가지 중에서 He 또는 N2와 같은 다른 불활성 가스도 적절하다. 일반적으로, 약 1000 내지 약 10000 sccm 의 유량 및 약 2 내지 약 20 torr 의 압력이 사용될 수도 있다. 특정한 챔버 체적 및 조건에 의존하여, 퍼지 시간이 변경될 수도 있다. 비교적 짧은 시간 주기로 적절한 퍼지를 얻기에 충분히 높은 퍼지 가스 유량을 선택하는 것이 필요하다. 일실시예에서, 약 10초의 퍼지 시간이 이용된다.The purge step (not shown) is performed using Ar at a flow rate of about 3000 sccm and a pressure of about 5 Torr. The purge is performed to remove the residual gas phase Ti containing precursor (ie TiCl 4 which is not chemisorbed on any surface) for a sufficiently long time, ie about 10 seconds. Among other things, other inert gases such as He or N 2 are also suitable. Generally, a flow rate of about 1000 to about 10000 sccm and a pressure of about 2 to about 20 torr may be used. Depending on the specific chamber volume and conditions, the purge time may vary. It is necessary to select a purge gas flow rate high enough to obtain a suitable purge in a relatively short time period. In one embodiment, a purge time of about 10 seconds is used.

퍼지 단계 이후에, TiCly 의 화학흡착층 (402) 을 갖는 기판 구조 (450) 는 도 4b 에 도시된 바와 같이 Si 함유 환경 (420) 에 노출된다. Si 함유 환경 (420) 은 여러가지 중에서 SiH4, Si2H6, 디클로로실란 (SiCl2H2) 과 같은 Si 함유 프리커서를 포함한다. 예를 들어, 약 100 내지 약 5000 sccm 의, 바람직하게는 100sccm 의 SiH4유량, 및 약 0.5 내지 약 20torr 의, 바람직하게는 약 5torr 의 압력이 사용될 수도 있다. 또한, 한 개 이상의 불활성 가스는 Si 함유 환경 (410) 에서 Si 함유 프리커서와 함께 존재할 수도 있다. 약 450℃ 의 온도, 또는 약 400 내지 약 700℃ 의 온도 범위에서, TiCly 의 화학흡착층 (410) 및 SiH4간의 반응이 발생하여, 도 4c 에 도시된 바와 같이, 절연층 (202) 위에 등각 TiSix 층 (404), 접촉 홀 (202H), 및 Si 기판 (200) 이 형성된다. 이러한 등각 TiSix 층 (404) 은 특히 박층이며, 그 두께는 흡착된 TiClx 층 (402) 의 두께에 의해 제한을 받는다. Si 함유 환경 (420) 에서, Si 함유 프리커서 흐름은 기판 표면을 포화하도록 충분해야 하며, 또는 적어도 실리콘 기판 (200) 의 인터페이스 (200I) 에 걸쳐 형성되는 TiClx 층 (402) 의 일부가 완전히 반응해야 한다. 약 450℃ 의 온도에서, 이 반응은 사이클당 약 1Å 미만의 속도, 즉, 0.4Å/cycle 로 진행된다. 공정 파라미터, 즉, SiH4압력, 유량 및 온도를 적절히 제어함으로써, TiSix 층 (404) 은 기판 (200) 으로부터 상당한 양의 Si 를 고갈시키지 않고 Si 기판 (200) 의 인터페이스 (200I) 와 접하여 형성될 수도 있다. 특히, Si 함유 프리커서의 보다 높은 분압 또는 유량 및 보다 낮은 온도로 인해, Si 기판 (200) 과의 반응과 대조하여 Si 함유 프리커서와 함께 흡착된 TiClx 간의 반응이 선호된다. 대체하여, 비교적 낮은 전력의 플라즈마를 이용함으로써 발생한 것과 같은 활성화된 Si 함유 프리커서가 또한 사용될 수도 있다.After the purge step, the substrate structure 450 with the chemisorption layer 402 of TiCly is exposed to the Si containing environment 420 as shown in FIG. 4B. The Si containing environment 420 includes, among other things, Si containing precursors such as SiH 4 , Si 2 H 6 , dichlorosilane (SiCl 2 H 2 ). For example, a SiH 4 flow rate of about 100 to about 5000 sccm, preferably 100 sccm, and a pressure of about 0.5 to about 20 torr, preferably about 5 torr may be used. In addition, one or more inert gases may be present with the Si containing precursor in the Si containing environment 410. At a temperature of about 450 ° C., or a temperature range of about 400 ° C. to about 700 ° C., a reaction between the TiCly chemisorption layer 410 and SiH 4 occurs, conforming to the insulating layer 202, as shown in FIG. 4C. TiSix layer 404, contact holes 202H, and Si substrate 200 are formed. This conformal TiSix layer 404 is in particular a thin layer, the thickness of which is limited by the thickness of the adsorbed TiClx layer 402. In the Si containing environment 420, the Si containing precursor flow must be sufficient to saturate the substrate surface, or at least a portion of the TiClx layer 402 formed over the interface 200I of the silicon substrate 200 must fully react. do. At a temperature of about 450 ° C., the reaction proceeds at a rate of less than about 1 Pa per cycle, ie 0.4 Pa / cycle. By appropriately controlling the process parameters, i.e., SiH 4 pressure, flow rate and temperature, the TiSix layer 404 can be formed in contact with the interface 200I of the Si substrate 200 without depleting a significant amount of Si from the substrate 200. It may be. In particular, due to the higher partial pressure or flow rate and lower temperature of the Si containing precursor, the reaction between the TiClx adsorbed with the Si containing precursor is preferred in contrast to the reaction with the Si substrate 200. Alternatively, activated Si containing precursors, such as those generated by using a relatively low power plasma, may also be used.

TiSix 층 (404) 을 형성한 후에, (도 4 에 도시되지 않은) 퍼지 단계가 다시 수해되어 챔버로부터 남아있는 Si 함유 프리커서를 제거한다. 퍼지 가스 유량 및 압력 조건은 Ti 함유 프리커서를 퍼지하는데 사용되는 것과 유사하다.After forming the TiSix layer 404, the purge step (not shown in FIG. 4) again decomposes to remove the remaining Si-containing precursor from the chamber. Purge gas flow rate and pressure conditions are similar to those used to purge Ti containing precursors.

원하는 두께의 합성 TiSix 층을 얻기 위해, TiCly 화학흡착, 챔버 퍼지, SiH4가스 노출, 및 챔버 퍼지 단계가 필요한 만큼 반복될 수도 있다. 예를 들어, 약 200 내지 약 500 번 사이클을 반복함으로써, 접촉 응용을 위한 합성 TiSix 층이 형성될 수도 있다.To obtain a synthetic TiSix layer of desired thickness, TiCly chemisorption, chamber purge, SiH 4 gas exposure, and chamber purge steps may be repeated as necessary. For example, by repeating about 200 to about 500 cycles, a synthetic TiSix layer may be formed for contacting applications.

본 발명의 또다른 태양에서, 공정 시퀀스동안 필요한 막 특성을 얻기 위해 촉매가 도입될 수도 있다. 예를 들어, 흡착된 TiCly 층을 형성하기 위해 제 1 프리커서 (TiCl4) 의 도입 후에, Zn 함유 프리커서와 같은 촉매가 챔버내로 도입될 수도 있다. Zn 함유 프리커서는 흡착된 TiCly 층으로부터 염소 (Cl) 를 제거하기 위해 사용될 수도 있고, 따라서 서브 클로라이드가 형성을 향상시킨다. 챔버가 촉매로 퍼지된 후에, SiH4와 같은 제 2 프리커서가 도입되어 상기한 바와 같이 실리사이드 층을 형성하기 위해 서브 클로라이드와 반응할 수 있다. SiH4는 TiCl4보다는 서브-클로라이드와 쉽게 반응하기에, Zn 함유 촉매로 인해 보다 낮은 기판 온도가 TiSix 형성용으로 이용될 수 있고, 따라서 Si 기판 (200) 과는 대조적으로, SiH4와의 반응이 선호된다.In another aspect of the invention, a catalyst may be introduced to obtain the necessary membrane properties during the process sequence. For example, after introduction of the first precursor (TiCl 4 ) to form an adsorbed TiCly layer, a catalyst, such as a Zn containing precursor, may be introduced into the chamber. Zn-containing precursors may be used to remove chlorine (Cl) from the adsorbed TiCly layer, so that subchlorides enhance formation. After the chamber is purged with a catalyst, a second precursor, such as SiH 4 , may be introduced and react with the subchloride to form a silicide layer as described above. Since SiH 4 reacts more easily with sub-chlorides than TiCl 4 , a lower substrate temperature can be used for TiSix formation due to the Zn-containing catalyst, so that in contrast to Si substrate 200, the reaction with SiH 4 Is preferred.

본 발명의 또다른 태양에서, TiSix 를 제외하고 Ti 및 Si 를 포함하는 다른 화합물이 실리사이드층을 형성하기 위해 사용될 수도 있다. 예를 들어, N 함유 프리커서를 상기한 공정 시퀀스 추가함으로써 Ti, Si 및 질소 (N) 를 포함하는 삼원 화합물이 형성될 수도 있다. 일실시예에서, Ti 함유 종류는 도 4a 에 도시된 바와 같이, 기판 표면위로 흡착될 수도 있다. 이후 챔버는 퍼지되고 제 2 프리커서, 즉, Si 함유 종류가 도 4b에 도시된 바와 유사하게 챔버 내로 도입된다. Ti 함유층 및 Si 함유 종류간의 반응으로 인해 TiSix 층 (404) 이 형성되고, 보다 일반적으로, 도 4c 에 도시된 바와 유사하게, 반응 생성물 층이 형성된다.In another aspect of the present invention, other compounds including Ti and Si, except TiSix, may be used to form the silicide layer. For example, a ternary compound comprising Ti, Si and nitrogen (N) may be formed by adding the N-containing precursor to the process sequence described above. In one embodiment, the Ti containing species may be adsorbed onto the substrate surface, as shown in FIG. 4A. The chamber is then purged and a second precursor, ie, Si containing species, is introduced into the chamber similar to that shown in FIG. 4B. The reaction between the Ti containing layer and the Si containing species forms a TiSix layer 404, and more generally, a reaction product layer is formed, similar to that shown in FIG. 4C.

챔버를 퍼지한 후에, 제 3 프리커서, 즉, 질소 (N) 함유 종류가 챔버내로 도입된다. 도 4d 는 N 함유 환경 (422) 에 노출되는 TiSix 층 (404) 을 도시하며, 이것은 N 함유 종류를 제외하고 불활성 가스와 같은 다른 가스를 함유할 수도 있다. 도 4e 에 도시된 바와같이, TiSix 층 (404) 및 N 함유 종류 간의 반응으로 인해 TiSixNz 와 같은 3원 화합물을 포함하는 층 (406) 이 형성된다. 3원 화합물의 각 원소가 개별적인 프리커서에 의해 도입될 수도 있지만, 몇몇 경우에 원하는 화합물 형성을 위해 단일 프리커서가 한 개 원소 이상을 공급하는 것이 가능하다.After purging the chamber, a third precursor, i.e., nitrogen (N) containing species, is introduced into the chamber. 4D shows the TiSix layer 404 exposed to the N containing environment 422, which may contain other gases, such as inert gases, except for the N containing species. As shown in FIG. 4E, the reaction between the TiSix layer 404 and the N-containing species forms a layer 406 comprising a ternary compound such as TiSixNz. Although each element of the ternary compound may be introduced by a separate precursor, in some cases it is possible for a single precursor to supply more than one element to form the desired compound.

일반적으로, 공정 시퀀스내에서 상이한 순서로 다양한 프리커서 종류가 도입될 수도 있다. 특히, 공정 또는 반응 시퀀스에서 프리커서가 도입되는 순서는, 반응 시퀀스동안 형성된 중간 종류 또는 프리커서의 흡착 특성 또는 반응율과 같은 인자를 고려하여 필요한 반응물을 최적화하도록 선택된다.In general, various precursor types may be introduced in different orders within the process sequence. In particular, the order in which the precursors are introduced in the process or reaction sequence is chosen to optimize the required reactants in consideration of factors such as the adsorption properties or reaction rates of the intermediate species or precursors formed during the reaction sequence.

TiSix 층 (404) 을 형성한 후에, 보다 일반적으로, (도 4e에 도시된 바와같이 2원이 아닌 화합물을 포함하는) 금속 실리사이드층 (406) 을 형성한 후에, 다중층 금속화 스택 형성을 완료하기 위해 후속 공정 단계가 수행될 수 있다. 도 4f 는, 예를 들어, 금속 실리사이드층 (406) 위에서의 전도층 (408) 형성을 도시한다. 특정 응용에 의존하여, 전도층 (408) 은 질화층 (TiN) 일 수도 있고, 또는 적절한 배리어 성질을 갖는 내화성 금속 질화물일 수도 있다. 예를 들어, TiN 층 (408) 은 당해 기술에 공지된 공정 파라미터를 이용하여 4염화 티타늄 (TiCl4) 및 암모니아 (NH3) 간의 반응을 이용한 열적 또는 플라즈마 CVD 에 의해 형성될 수도 있다.After forming the TiSix layer 404, more generally, after forming the metal silicide layer 406 (including the non-binary compound as shown in FIG. 4E), the formation of the multilayer metallization stack is completed. Subsequent process steps may be performed to accomplish this. 4F, for example, illustrates the formation of conductive layer 408 over metal silicide layer 406. Depending on the particular application, the conductive layer 408 may be a nitride layer (TiN) or may be a refractory metal nitride having suitable barrier properties. For example, TiN layer 408 may be formed by thermal or plasma CVD using a reaction between titanium tetrachloride (TiCl 4 ) and ammonia (NH 3 ) using process parameters known in the art.

대체하여, 전도층 (408) 은 도 4a ~ 4e 에서 설명된 바와 유사한 공정 단계를 사용하여 적절한 프리커서로부터 형성될 수도 있다. 예를 들어, 전도층 (408) 이 TiN 이라면, TiClx 는, 상기한 파라미터와 유사한 공정 파라미터로 TiCl4를 제공함으로써 금속 실리사이드 층 (406) 위에 흡착 또는 화학흡착될 수 있다. 챔버로부터 여분의 TiCl4를 퍼지한 후에, 흡착 또는 화학흡착된 TiClx 는 NH3와 반응하며, 이것은 약 100 내지 약 3000sccm 의 유량으로 도입된다. 이것은 얇은 TiN 층이 뛰어난 단계 커버리지를 갖고 개구 (202H) 내에서 형성되게 한다. (적절한 퍼지와 함께 흡착 및 반응의) 추가 사이클은 필요하다면 보다 두꺼운 합성 TiN 층을 형성하도록 수행된다. 대체하여, TiN 층 (408) 은 CVD TiN 과 함께 흡착 반응 방식의 조합으로 형성될 수도 있다.Alternatively, conductive layer 408 may be formed from a suitable precursor using process steps similar to those described in FIGS. 4A-4E. For example, if the conductive layer 408 is TiN, TiClx may be adsorbed or chemisorbed on the metal silicide layer 406 by providing TiCl 4 with process parameters similar to those described above. After purging excess TiCl 4 from the chamber, the adsorbed or chemisorbed TiClx reacts with NH 3 , which is introduced at a flow rate of about 100 to about 3000 sccm. This allows a thin TiN layer to be formed in the opening 202H with excellent step coverage. Additional cycles (of adsorption and reaction with appropriate purge) are performed to form thicker synthetic TiN layers, if necessary. Alternatively, the TiN layer 408 may be formed in a combination of adsorption reaction schemes with CVD TiN.

다른 실시예에서, 전도층 (408) 은, 예를 들어, 여러가지 중에서, Ti, TiN, 탄탈 (Ta), 질화 탄탈 (TaN) 과 같은 내화성 금속 및 금속 질화물을 포함하는 선형/배리어층과 같이 혼합층일 수도 있다.In another embodiment, the conductive layer 408 is a mixed layer, such as, for example, a linear / barrier layer comprising, among other things, refractory metals such as Ti, TiN, tantalum (Ta), tantalum nitride (TaN) and metal nitrides. It may be.

이후에, 금속층 (410) 은 도 4g 에 도시된 바와 같이 전도층 (408) 위에 형성된다. 예를 들어, 금속층 (410) 은 텅스텐 (W) 또는 알루미늄 (Al) 을 포함할 수도 있다. 도시된 바와 같이, 6플루오르화 텅스텐 (WF6) 과 수소 (H2) 간의 CVD 반응은 당해 기술에 공지된 공정 파라미터와 함께 텅스텐 금속층 (410) 을 형성하는데 사용될 수도 있다. 후속 단계에서, 금속층 (410) 의 일부, 전도성 질화층 (408) 및 접촉 홀 (202H) 외부에 있는 금속 실리사이드층 (406) 은 화학적 기계적 연마와 같은 평탄화 기술에 의해 제거되어, 도 4h 에 도시된 바와같이 금속 플러그 구조 (415) 가 발생된다. 설명된 특정한 금속화 공정 단계 또는 시퀀스는 단지 설명을 위한 것이라는 것에 주의해야 한다. 상이한 물질층 또는 처리 기술을 이용하는 다른 공정 시퀀스가 본 발명의 실시예에 따라 실리사이드 형성에서 또한 이용될 수도 있다.Thereafter, a metal layer 410 is formed over the conductive layer 408 as shown in FIG. 4G. For example, the metal layer 410 may comprise tungsten (W) or aluminum (Al). As shown, a CVD reaction between tungsten hexafluoride (WF 6 ) and hydrogen (H 2 ) may be used to form the tungsten metal layer 410 with process parameters known in the art. In a subsequent step, a portion of the metal layer 410, the conductive nitride layer 408 and the metal silicide layer 406 outside the contact hole 202H are removed by a planarization technique such as chemical mechanical polishing, as shown in FIG. 4H. As shown, a metal plug structure 415 is generated. It should be noted that the specific metallization process steps or sequences described are for illustration only. Other process sequences using different material layers or processing techniques may also be used in silicide formation in accordance with embodiments of the present invention.

도 5 는 기판 열화가 없는 중간 실리사이드층을 형성하는 본 발명의 다양한 단계를 포함하는 공정 시퀀스 (500) 를 도시한다. 이러한 처리 단계는 Ti 또는 TiN 증착을 위해 사용된 것과 유사하게 전형적인 CVD 또는 PVD 챔버내에서 수행될 수도 있다. 단계 (502) 에 도시된 바와같이, Si 함유 기판 (또는 Si계 층) 은 공정 챔버내에 제공된다. IC 처리의 특정한 스테이지에 의존하여, Si 함유 기판은 기판위에 이미 증착된 물질층을 구비할 수도 또는 안할 수도 있다. 이러한 물질층은, 예를 들어, Si계 기판의 인터페이스로 연장되는 접촉홀을 갖는 산화층일 수도 있다 (따라서, Si계 기판의 일부를 노출시킨다). 본 발명은 Si 함유 기판과 접하는 실리사이드층의 형성에 관한 것이다.5 shows a process sequence 500 that includes the various steps of the present invention to form an intermediate silicide layer without substrate degradation. This processing step may be performed in a typical CVD or PVD chamber similar to that used for Ti or TiN deposition. As shown in step 502, a Si containing substrate (or Si based layer) is provided in the process chamber. Depending on the particular stage of the IC process, the Si containing substrate may or may not have a layer of material already deposited on the substrate. This material layer may be, for example, an oxide layer having contact holes extending to the interface of the Si based substrate (thus exposing a portion of the Si based substrate). The present invention relates to the formation of a silicide layer in contact with a Si-containing substrate.

단계 (504) 에서, 중간 실리사이드층, 즉, 금속 실리사이드층은 Si 함유 기판과 접하여 형성된다. 본 발명의 실시예에 따라, 이 실리사이드 형성은 다양한 프리커서를 이용한 상이한 방식에 의해 달성될 수도 있다.In step 504, an intermediate silicide layer, ie a metal silicide layer, is formed in contact with the Si containing substrate. According to embodiments of the present invention, this silicide formation may be achieved by different ways using various precursors.

단계 (506) 에서, 전도층은 Si 함유 기판 위에 우선 형성된다. 예를 들어, 이 전도층은 적절한 금속 함유 프리커서로부터 형성된 다른 내화성 금속 또는 Ti 와 같은 금속층일 수도 있다. 단계 (508) 에서, Si 함유 소스 또는 프리커서가 제공되어 단계 (506) 의 증착된 금속과 반응하여 중간 실리사이드층을 형성하게 된다. 이러한 중간층은, 예를 들어, 다음의 기술중 한 개로부터 선택된 기술로부터 형성된 TiSix 층이다. 즉, Ti 층을 Si 주위 분위기 (즉, SiH4, Si2H6) 에 노출시키는 기술; SiH4, Si2H6와 같은 Si 함유 프리커서로부터 증착된 (즉, 비정질 또는 폴리실리콘) Si 층과 증착된 Ti 중간의 고체-고체 반응 기술; 들이다. 대체하여, 여러가지 중에서 탄탈 실리사이드, 텅스텐 실리사이드와 같은 중간 금속 실리사이드층을 형성하기 위해 다른 적절한 프리커서가 사용될 수도 있다.In step 506, a conductive layer is first formed over the Si containing substrate. For example, this conductive layer may be another refractory metal formed from a suitable metal containing precursor or a metal layer such as Ti. In step 508, a Si containing source or precursor is provided to react with the deposited metal of step 506 to form an intermediate silicide layer. This intermediate layer is, for example, a TiSix layer formed from a technique selected from one of the following techniques. That is, a technique of exposing the Ti layer to an ambient around Si (ie, SiH 4 , Si 2 H 6 ); Solid-solid reaction technology between the deposited Ti layer (ie, amorphous or polysilicon) Si layer and deposited Ti from a Si containing precursor such as SiH 4 , Si 2 H 6 ; admit. Alternatively, other suitable precursors may be used, among other things, to form an intermediate metal silicide layer, such as tantalum silicide, tungsten silicide.

대체하여, 단계 (510) 에 도시된 바와같이, 단계 (504) 의 중간 금속 실리사이드층은 적절한 프리커서, 즉, 금속 할로겐 화합물 및 실리콘 함유 가스 간의 (열적 또는 플라즈마 형상된) 반응으로부터 형성될 수도 있다. TiSix 층의 경우에, TiCl4및 SiH4와 같은 프리커서가 사용될 수도 있다. 본 발명의 일실시예에 따라, 제 1 프리커서는 단층 또는 박층으로서 Si 함유 기판위로 흡착된다. 흡착된 제 1 층은 이후 제 2 프리커세에 노출되어 실리사이드층을 형성한다. 선택적으로, 실리사이드층의 형성은 원하는 반응이 용이하도록 촉매를 도입함으로써, 또는 (도 5 에 도시되지 않은) 추가 반응을 위한 제 3 프리커서를 추가함으로써 수정될 수도 있다.Alternatively, as shown in step 510, the intermediate metal silicide layer of step 504 may be formed from a suitable precursor, ie, a (thermal or plasma shaped) reaction between the metal halide compound and the silicon containing gas. . In the case of TiSix layers, precursors such as TiCl 4 and SiH 4 may be used. According to one embodiment of the invention, the first precursor is adsorbed onto the Si containing substrate as a single layer or a thin layer. The adsorbed first layer is then exposed to a second precursor to form a silicide layer. Optionally, the formation of the silicide layer may be modified by introducing a catalyst to facilitate the desired reaction or by adding a third precursor for further reaction (not shown in FIG. 5).

본 발명의 실시예에 따라, 다양한 실리사이드 형성 처리를 위한 조건이 선택되어 금속 실리사이드층이 Si 함유 기판과 상이한 Si 함유 소스 또는 프리커서와 관련된 반응을부터 주로 형성된다. 이처럼, 금속 실리사이드층은 Si 함유 소스로부터 필수적으로 발생하는 실리콘으로 형성되고, 또한 밑면 기판으로부터 Si 가 무시할만큼 또는 작은 양으로 소모된다.In accordance with an embodiment of the present invention, conditions for various silicide formation treatments are selected such that the metal silicide layer is primarily formed from reactions involving Si containing sources or precursors different from the Si containing substrate. As such, the metal silicide layer is formed of silicon essentially generated from the Si containing source, and is also consumed in a negligible or small amount of Si from the bottom substrate.

단계 (512) 에서, 적절한 프리커서를 챔버 내의 기판에 제공함으로써 전도층은 단계 (504) 에서 형성된 중간 금속 실리사이드층위에 형성된다. 이러한 전도층은, 배리어층, 또는 금속화 스택에서 조합된 선형/배리어층으로서 사용되는 금속 질화물 (TiN) 일 수도 있다. 따라서, 반도체 장치에서 전도 경로 또는 배선의 후속 형성이 가능하도록 또다른 적절한 전도 금속 (W, Al) 을 전도 배리어층위에 증착하기 위해 (도시되지 않은) 추가 처리 단계가 수행될 수도 있다.In step 512, a conductive layer is formed over the intermediate metal silicide layer formed in step 504 by providing a suitable precursor to the substrate in the chamber. Such a conductive layer may be a metal nitride (TiN) used as a barrier layer or a linear / barrier layer combined in a metallization stack. Thus, further processing steps (not shown) may be performed to deposit another suitable conductive metal (W, Al) over the conductive barrier layer to enable subsequent formation of conductive paths or wiring in the semiconductor device.

따라서, 외부 Si 함유 소스를 제공함으로써, 본 발명의 실시예는 실리사이드층이 Si 함유 기판과 접하며 형성되게 하고, 밑면의 Si 함유 기판 물질의 불필요한 소모가 없다. 이처럼, 본 발명은 향상된 안정성 및 성능 특성을 갖는 반도체 장치에서의 실리사이드 형성방법을 제공한다.Thus, by providing an external Si-containing source, embodiments of the present invention allow the silicide layer to be formed in contact with the Si-containing substrate, without unnecessary waste of the underlying Si-containing substrate material. As such, the present invention provides a method for forming silicide in a semiconductor device having improved stability and performance characteristics.

본 발명의 교시를 포함하는 여러 바람직한 실시예가 상세히 도시 및 설명되었지만, 당해 기술에 숙련된 당업자는 본 발명의 교시를 여전히 포함하는 여러 실시예를 변경할 수 있다.While various preferred embodiments, including the teachings of the present invention, have been shown and described in detail, those skilled in the art may modify several embodiments that still incorporate the teachings of the present invention.

외부 Si 함유 소스를 제공함으로써, 본 발명의 실시예는 실리사이드층이 Si 함유 기판과 접하며 형성되게 하고, 밑면의 Si 함유 기판 물질의 불필요한 소모가 없다. 이처럼, 본 발명은 향상된 안정성 및 성능 특성을 갖는 반도체 장치에서의 실리사이드 형성방법을 제공한다.By providing an external Si containing source, embodiments of the present invention allow the silicide layer to be formed in contact with the Si containing substrate and there is no unnecessary consumption of the underlying Si containing substrate material. As such, the present invention provides a method for forming silicide in a semiconductor device having improved stability and performance characteristics.

Claims (21)

실리콘 기판과 접하는 실리사이드층을 형성하는 방법으로서,As a method of forming a silicide layer in contact with a silicon substrate, (a) 상기 실리콘 기판과 접하는 금속 함유층을 형성하는 단계;(a) forming a metal containing layer in contact with the silicon substrate; (b) 상기 실리콘 기판과 상이한 실리콘 함유 소스에 상기 금속 함유층을 노출시키는 단계; 및(b) exposing the metal containing layer to a different silicon containing source than the silicon substrate; And (c) 상기 금속 함유층을 상기 실리콘 함유 소스와 반응시킴으로써 상기 실리콘 함유 소스로부터 주로 발생하는 금속 실리사이드층을 형성하는 단계를 포함하는 것을 특징으로 하는 방법.(c) reacting the metal containing layer with the silicon containing source to form a metal silicide layer that occurs primarily from the silicon containing source. 제 1 항에 있어서, 상기 (a) 단계의 상기 금속 함유층은 상기 실리콘 기판을 금속 함유 프리커서에 노출시킴으로써 형성되는 흡착층인 것을 특징으로 하는 방법.The method of claim 1, wherein the metal-containing layer of step (a) is an adsorption layer formed by exposing the silicon substrate to a metal-containing precursor. 제 2 항에 있어서,The method of claim 2, (a1) 챔버내에서 상기 단계 (a 및 b) 를 수행하는 단계; 및(a1) performing the steps (a and b) in a chamber; And (a2) 상기 (a) 및 (b) 단계간에 상기 챔버를 퍼지하는 단계를 더 포함하는 것을 특징으로 하는 방법.(a2) further comprising purging the chamber between steps (a) and (b). 제 3 항에 있어서, 상기 금속 함유 프리커서는 TiCl4인 것을 특징으로 하는 방법.4. The method of claim 3 wherein the metal containing precursor is TiCl 4 . 제 3 항에 있어서, 단계 (b) 의 상기 실리콘 함유 소스는 실란, 디실란, 및 디크롤로실란을 포함하는 그룹으로부터 선택되는 것을 특징으로 하는 방법.4. The method of claim 3, wherein said silicon containing source of step (b) is selected from the group comprising silane, disilane, and dicrolosilane. 제 3 항에 있어서,The method of claim 3, wherein (d) 단계 (c) 후에, 상기 챔버를 퍼지하는 단계;(d) after step (c), purging the chamber; (e) 이전에 형성된 금속 실리사이드층을 챔버내의 상기 금속 함유 프리커서에 노출시킴으로써 또다른 흡착 금속 함유층을 형성하는 단계;(e) forming another adsorbing metal containing layer by exposing the previously formed metal silicide layer to the metal containing precursor in the chamber; (f) 단계 (e) 후에, 상기 챔버를 퍼지하는 단계;(f) after step (e), purging the chamber; (g) 상기 또다른 흡착 금속 함유층을 실리사이드 함유 환경에 노출시킴으로써 상기 이전에 형성된 금속 실리사이드층위에 또다른 금속 실리사이드층을 형성하는 단계; 및(g) forming another metal silicide layer on the previously formed metal silicide layer by exposing the another adsorption metal containing layer to a silicide containing environment; And (h) 원하는 전체 두께를 갖는 복합 금속 실리사이드층을 형성하기 위해 추가 사이클동안 상기 (d) 내지 (g) 단계를 반복하는 단계를 더 포함하는 것을 특징으로 하는 방법.(h) repeating steps (d) to (g) for additional cycles to form a composite metal silicide layer having a desired overall thickness. 제 3 항에 있어서,The method of claim 3, wherein (a2) 퍼지 단계 (a1) 후에, 금속 실리사이드 형성단계 (c) 를 위한 촉매를 포함하는 프리커서에 상기 금속 함유층을 노출시키는 단계; 및(a2) after the purge step (a1), exposing the metal containing layer to a precursor comprising a catalyst for the metal silicide formation step (c); And (a3) 단계 (a2) 및 (b) 간에, 상기 챔버를 퍼지하는 단계를 더 포함하는 것을 특징으로 하는 방법.(a3) further comprising purging the chamber between steps (a2) and (b). 제 3 항에 있어서,The method of claim 3, wherein (d) 단계 (c) 후에, 상기 챔버를 퍼지하는 단계; 및(d) after step (c), purging the chamber; And (e) 상기 단계 (c) 의 금속 실리사이드층을 질소 함유 환경에 노출시킴으로써 3원 금속 실리사이드를 포함하는 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.(e) forming a layer comprising ternary metal silicide by exposing the metal silicide layer of step (c) to a nitrogen containing environment. 제 4 항에 있어서, 상기 흡착층은 약 50 내지 약 1000 mg/min 유량의 TiCl4로 형성되는 것을 특징으로 하는 방법.The method of claim 4, wherein the adsorption layer is formed of TiCl 4 at a flow rate of about 50 to about 1000 mg / min. 제 4 항에 있어서, 상기 단계 (c) 는 약 5000sccm 보다 적은 유량으로 상기 실리콘 함유 소스로 수행되는 것을 특징으로 하는 방법.5. The method of claim 4, wherein step (c) is performed with the silicon containing source at a flow rate less than about 5000 sccm. 제 4 항에 있어서, 상기 단계 (c) 는 약 0.5 내지 약 20 torr 간에 상기 실리콘 함유 소스의 분압으로 수행되는 것을 특징으로 하는 방법.5. The method of claim 4, wherein step (c) is performed at a partial pressure of said silicon containing source between about 0.5 to about 20 torr. 제 4 항에 있어서, 상기 단계 (c) 는 약 400 내지 약 700℃ 간의 온도로 수행되는 것을 특징으로 하는 방법.5. The method of claim 4, wherein step (c) is performed at a temperature between about 400 and about 700 ° C. 제 2 항에 있어서,The method of claim 2, (d) 상기 금속 실리사이드층 위에 질화 금속인 전도층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.(d) forming a conductive layer of metal nitride on the metal silicide layer. 제 1 항에 있어서, 상기 금속 함유층은 금속층인 것을 특징으로 하는 방법.The method of claim 1 wherein the metal containing layer is a metal layer. 제 14 항에 있어서, 상기 금속층은 티타늄, 탄탈, 텅스텐으로 구성되는 그룹으로부터 선택되는 내화성 금속인 것을 특징으로 하는 방법.15. The method of claim 14, wherein the metal layer is a refractory metal selected from the group consisting of titanium, tantalum, tungsten. 제 14 항에 있어서, 상기 실리콘 함유 소스는 실란, 디실란, 디클로로실란으로 구성되는 그룹으로수터 선택되는 것을 특징으로 하는 방법.15. The method of claim 14, wherein the silicon containing source is selected from the group consisting of silane, disilane, dichlorosilane. 제 14 항에 있어서, 상기 실리콘 함유 소스는 상기 금속 함유층위에 형성된 비정질 실리콘층인 것을 특징으로 하는 방법.15. The method of claim 14, wherein the silicon containing source is an amorphous silicon layer formed over the metal containing layer. 제 17 항에 있어서, 상기 비정질 실리콘층은 실란 (SiH4) 을 열분해하여 형성되는 것을 특징으로 하는 방법.18. The method of claim 17, wherein the amorphous silicon layer is formed by pyrolyzing silane (SiH 4 ). 코드가 수행될 때 실리콘 기판과 접하는 실리사이드 층을 형성하는 방법을 처리 챔버가 수행하도록 제어하는 프로세서 판독가능한 상기 코드를 포함하는 프로세서 판독가능 저장매체로서, 상기 방법은A processor readable storage medium comprising the processor readable code for controlling a processing chamber to perform a method of forming a silicide layer in contact with a silicon substrate when code is executed, the method comprising: (a) 상기 실리콘 기판과 접하는 금속 함유층을 형성하는 단계;(a) forming a metal containing layer in contact with the silicon substrate; (b) 상기 실리콘 기판과 상이한 실리콘 함유 소스에 상기 금속 함유층을 노출시키는 단계; 및(b) exposing the metal containing layer to a different silicon containing source than the silicon substrate; And (c) 상기 금속 함유층을 상기 실리콘 함유 소스와 반응시킴으로써 상기 실리콘 함유 소스로부터 주로 발생하는 금속 실리사이드층을 형성하는 단계를 포함하는 것을 특징으로 하는 프로세서 판독가능 저장매체.(c) reacting the metal containing layer with the silicon containing source to form a metal silicide layer that occurs predominantly from the silicon containing source. 제 19 항에 있어서, 상기 (a) 단계의 상기 금속 함유층은 상기 실리콘 기판을 금속 함유 프리커서에 노출시킴으로써 형성되는 흡착층인 것을 특징으로 하는 프로세서 판독가능 저장매체.20. The processor readable storage medium of claim 19, wherein said metal-containing layer of step (a) is an adsorption layer formed by exposing said silicon substrate to a metal-containing precursor. 제 19 항에 있어서, 상기 금속 함유층은 티타늄, 탄탈, 및 텅스텐으로 구성된 그룹으로부터 선택되고, 상기 실리콘 함유 소스는 실란, 디실란,및 디클로로실란으로 구성된 그룹으로부터 선택되는 것을 특징으로 하는 프로세서 판독가능 저장매체.20. The processor readable storage of claim 19, wherein the metal containing layer is selected from the group consisting of titanium, tantalum, and tungsten, and the silicon containing source is selected from the group consisting of silane, disilane, and dichlorosilane. media.
KR1020000035262A 1999-06-25 2000-06-26 Method of silicide formation in a semiconductor device and processor readable storage medium using the same KR20010007527A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14108299P 1999-06-25 1999-06-25
US60/141,082 1999-06-25

Publications (1)

Publication Number Publication Date
KR20010007527A true KR20010007527A (en) 2001-01-26

Family

ID=22494085

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000035262A KR20010007527A (en) 1999-06-25 2000-06-26 Method of silicide formation in a semiconductor device and processor readable storage medium using the same

Country Status (3)

Country Link
JP (1) JP2001203171A (en)
KR (1) KR20010007527A (en)
TW (1) TW478096B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100714467B1 (en) * 2002-04-26 2007-05-04 인피네온 테크놀로지스 아게 Barrier for capacitor over plug structures

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5207615B2 (en) * 2006-10-30 2013-06-12 東京エレクトロン株式会社 Film forming method and substrate processing apparatus
US8785310B2 (en) * 2012-01-27 2014-07-22 Tokyo Electron Limited Method of forming conformal metal silicide films
JP6426893B2 (en) * 2013-12-25 2018-11-21 東京エレクトロン株式会社 Method of forming contact layer
US10199230B2 (en) * 2015-05-01 2019-02-05 Applied Materials, Inc. Methods for selective deposition of metal silicides via atomic layer deposition cycles
US10971366B2 (en) * 2018-07-06 2021-04-06 Applied Materials, Inc. Methods for silicide deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100714467B1 (en) * 2002-04-26 2007-05-04 인피네온 테크놀로지스 아게 Barrier for capacitor over plug structures

Also Published As

Publication number Publication date
JP2001203171A (en) 2001-07-27
TW478096B (en) 2002-03-01

Similar Documents

Publication Publication Date Title
US6524952B1 (en) Method of forming a titanium silicide layer on a substrate
US10777453B2 (en) Low resistivity films containing molybdenum
US6326690B2 (en) Method of titanium/titanium nitride integration
KR100696143B1 (en) Method of depositing a thick titanium nitride layer upon a substrate
US6218301B1 (en) Deposition of tungsten films from W(CO)6
US8101521B1 (en) Methods for improving uniformity and resistivity of thin tungsten films
US7955972B2 (en) Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7838441B2 (en) Deposition and densification process for titanium nitride barrier layers
US6936549B2 (en) Chemical vapor deposition using organometallic precursors
US7094685B2 (en) Integration of titanium and titanium nitride layers
EP1122774A1 (en) Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US20020114886A1 (en) Method of tisin deposition using a chemical vapor deposition process
US20100151676A1 (en) Densification process for titanium nitride layer for submicron applications
US20060024959A1 (en) Thin tungsten silicide layer deposition and gate metal integration
JPH0773102B2 (en) Chemical vapor deposition technique for depositing titanium silicide on semiconductor wafers.
US6933021B2 (en) Method of TiSiN deposition using a chemical vapor deposition (CVD) process
US20020192396A1 (en) Method of titanium/titanium nitride integration
KR100447031B1 (en) Method of forming tungsten silicide film
KR20010007527A (en) Method of silicide formation in a semiconductor device and processor readable storage medium using the same
KR100443356B1 (en) Method for atomic layer deposition of ruthenium
KR100503965B1 (en) Method of forming a diffusion barrier layer in a semiconductor device
JP2004335799A (en) Metal film depositing method and metal wiring forming method
JPH0513598A (en) Method for forming deposition film
JPH05102080A (en) Production of semiconductor device
KR20070111709A (en) Method of forming zirconium nitride, method of forming semiconductor device and the device so formed

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination