KR19990070198A - Manufacturing Method of Semiconductor Device - Google Patents

Manufacturing Method of Semiconductor Device Download PDF

Info

Publication number
KR19990070198A
KR19990070198A KR1019980004932A KR19980004932A KR19990070198A KR 19990070198 A KR19990070198 A KR 19990070198A KR 1019980004932 A KR1019980004932 A KR 1019980004932A KR 19980004932 A KR19980004932 A KR 19980004932A KR 19990070198 A KR19990070198 A KR 19990070198A
Authority
KR
South Korea
Prior art keywords
manufacturing
semiconductor device
substrate
contact hole
oxidizing gas
Prior art date
Application number
KR1019980004932A
Other languages
Korean (ko)
Other versions
KR100310170B1 (en
Inventor
최진식
Original Assignee
김규현
아남반도체 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 김규현, 아남반도체 주식회사 filed Critical 김규현
Priority to KR1019980004932A priority Critical patent/KR100310170B1/en
Publication of KR19990070198A publication Critical patent/KR19990070198A/en
Application granted granted Critical
Publication of KR100310170B1 publication Critical patent/KR100310170B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

기판 위의 층간 절연막 위에 접촉구를 형성한 후, 빠른 열 공정을 통해 건식 세정을 실시한다. 이때, 규소 기판의 온도를 400℃∼600℃로 급속히 증가시키고, 100nm∼600nm 파장의 자외선을 조사한다. 또한, 산소(O2) 또는 오존(O3) 등의 산화성 기체를 주입하며, 10-3Torr∼10-7Torr 의 고진공에서 실시한다. 결과적으로, 오염 물질의 표면 에너지 상태 및 반응성이 높아질 뿐 아니라 산화성 기체의 화학 반응 에너지가 높아지고 행로가 길어진다.After forming contact holes on the interlayer insulating film on the substrate, dry cleaning is performed through a rapid thermal process. At this time, the temperature of the silicon substrate is rapidly increased to 400 deg. C to 600 deg. C, and ultraviolet rays of 100 nm to 600 nm wavelength are irradiated. In addition, an oxidizing gas such as oxygen (O 2 ) or ozone (O 3 ) is injected, and is carried out at a high vacuum of 10 -3 Torr to 10 -7 Torr. As a result, not only the surface energy state and reactivity of the contaminant is increased, but also the chemical reaction energy of the oxidizing gas is high and the path is long.

Description

반도체 소자의 제조 방법Manufacturing Method of Semiconductor Device

본 발명은 반도체 소자의 제조 방법에 관한 것으로서, 특히 접촉구의 건식 세정 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device, and more particularly to a dry cleaning method of a contact hole.

반도체 장치의 제조에 있어서 공정을 연결하는 가장 필수적인 공정이 세정 공정이다. 규소 기판의 표면에 여러 가지 물질을 도포·부착시키거나, 열처리하는 공정 등을 거치면서 기판 표면에 각종 오염이 잔존할 가능성이 있는데, 잔존하는 오염 물질은 반도체 소자의 성능을 손상시킬 수 있다. 따라서, 이러한 오염 물질을 물리적, 화학적 방법으로 제거할 필요가 있다.In the manufacture of a semiconductor device, the most essential process connecting the process is the cleaning process. Various contaminations may remain on the surface of the substrate through the process of applying and attaching various materials to the surface of the silicon substrate, heat treatment, and the like, and the remaining contaminants may impair the performance of the semiconductor device. Therefore, there is a need to remove these contaminants by physical and chemical methods.

그러면, 종래의 기술에 따른 반도체 소자의 제조 방법에 대하여 도 1을 참고로 하여 설명한다.Next, a method of manufacturing a semiconductor device according to the related art will be described with reference to FIG. 1.

일반적으로 규소 기판(1) 위에 실리사이드막(2)을 형성한 후, 층간 절연막(3)을 증착하고, 그 위에 감광막을 도포한 다음, 접촉구 형성을 위한 감광막 패턴을 형성한다. 그 후, 감광막 패턴을 마스크로 하여 층간 절연막(3)을 식각하여 접촉구(C)를 형성한 다음, 감광막 패턴을 제거한다. 감광막 패턴은 플라스마나 마이크로파를 이용한 애싱을 통해 제거되는데, 이 과정에서 접촉구 바닥이나 측벽에 고분자 등의 오염 물질(5)이 잔류하게 된다. 이러한 오염 물질(5)을 제거하기 위하여 Piranha, SC-1 세정 또는 솔벤트(solvent)를 이용한 습식 세정을 실시한다.In general, after the silicide film 2 is formed on the silicon substrate 1, the interlayer insulating film 3 is deposited, a photosensitive film is applied thereon, and a photosensitive film pattern for forming a contact hole is formed. Thereafter, the interlayer insulating film 3 is etched using the photosensitive film pattern as a mask to form a contact hole C, and then the photosensitive film pattern is removed. The photoresist pattern is removed by ashing using plasma or microwave. In this process, contaminants 5 such as polymers remain on the bottom or sidewall of the contact hole. To remove these contaminants (5), Piranha, SC-1 cleaning or wet cleaning with solvent is performed.

세정액을 사용하는 이러한 습식 세정의 경우, 세정을 위해 필요한 화학적 반응이 대체로 세정액의 온도 및 화학적 조성에 의존하고, 오염물 상태 및 종류에 민감하지 않다. 또한, 접촉구(C)가 좁고 깊은 경우 접촉구(C)의 바닥 부근까지 세정액이 스며들기 힘들기 때문에 오염 물질(5)이 상당량 남아 있게 되며, 세정 후 린스(rinse) 공정에서 세정액의 원활한 제거가 이루어지지 않아 이차 오염이 발생할 수 있다.In the case of such wet cleaning using the cleaning liquid, the chemical reaction required for the cleaning is largely dependent on the temperature and chemical composition of the cleaning liquid, and is not sensitive to the contaminant state and type. In addition, when the contact hole (C) is narrow and deep, since the cleaning solution is difficult to penetrate to the bottom of the contact hole (C), a considerable amount of contaminants (5) remain, and the cleaning solution is smoothly removed in a rinse process after cleaning. Secondary pollution may occur because of

이러한 오염 물질(5)은 다음 단계인 금속 증착 과정에서 막 사이의 접촉성을 떨어뜨려 접촉 저항을 증가시키며, 반도체 소자의 동작 속도 및 신뢰도를 떨어뜨린다.Such contaminants 5 reduce contact between films in the next step of metal deposition, increasing contact resistance, and lowering the operating speed and reliability of the semiconductor device.

본 발명은 접촉구의 오염 물질을 효과적으로 제거하고, 기판과 배선 사이의 접촉 저항을 줄이는 것을 그 과제로 한다.An object of the present invention is to effectively remove contaminants in contact holes and to reduce contact resistance between the substrate and the wiring.

도 1은 종래의 기술에 따른 반도체 소자의 제조 과정의 일부를 도시한 단면도이고,1 is a cross-sectional view showing a part of a manufacturing process of a semiconductor device according to the prior art,

도 2a 내지 도 2e는 본 발명에 따른 반도체 소자의 제조 방법을 공정 순서에 따라 도시한 단면도이다.2A through 2E are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with the present invention in a process sequence.

이러한 과제를 해결하기 위한 본 발명에 따른 반도체 소자의 제조 방법에서는 감광막 패턴을 애싱으로 제거한 후, 기판을 빠른 열 공정과 같은 건식 식각으로 세정한다.In the method of manufacturing a semiconductor device according to the present invention for solving such a problem, after removing the photosensitive film pattern by ashing, the substrate is cleaned by dry etching such as a rapid thermal process.

이때, 기판은 400℃ ∼ 600℃로 빠르게 가열하는 것이 바람직하다.At this time, it is preferable to heat a board | substrate rapidly at 400 degreeC-600 degreeC.

또한, 기판에 100nm∼600nm의 파장을 가지는 자외선을 조사하거나 마이크로파를 조사하여 세정 효과를 높일 수 있다.In addition, the cleaning effect can be enhanced by irradiating ultraviolet rays or microwaves having a wavelength of 100 nm to 600 nm to the substrate.

빠른 열 공정 중에 산소, 오존 또는 일산화탄소 등의 산화성 기체를 주입할 수 있으며, 10-3∼10-7Torr의 고진공 상태에서 실시하는 것이 바람직하다.Oxygen gas, such as oxygen, ozone, or carbon monoxide, can be injected during the rapid thermal process, and is preferably carried out in a high vacuum of 10 -3 to 10 -7 Torr.

이처럼, 고진공 상태에서 단파장의 빛을 사용하여 빠른 열 공정을 실시함으로써, 오염 물질과 산화성 기체가 원활히 결합하여 제거된다.As such, by performing a rapid thermal process using light having a short wavelength in a high vacuum state, contaminants and oxidizing gases are smoothly combined and removed.

그러면, 첨부한 도면을 참고로 하여 본 발명의 실시예에 따른 반도체 소자의 제조 방법을 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 상세하게 설명한다.Next, a method of manufacturing a semiconductor device according to an exemplary embodiment of the present invention will be described in detail with reference to the accompanying drawings so that a person skilled in the art may easily implement the present invention.

도 2a 내지 도 2d는 본 발명의 실시예에 따른 반도체 소자의 제조 방법을 공정 순서에 따라 도시한 단면도이다.2A to 2D are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention in the order of steps.

도 2a에 도시한 바와 같이, 규소 기판(10)에 실리사이드막(20)을 형성하고, 그 위에 층간 절연막(30)을 적층한 다음, 감광막을 도포한다. 노광을 실시하여 접촉구 형성을 위한 감광막 패턴(40)을 형성한다.As shown in Fig. 2A, the silicide film 20 is formed on the silicon substrate 10, the interlayer insulating film 30 is laminated thereon, and then a photosensitive film is applied. Exposure is performed to form the photosensitive film pattern 40 for forming the contact hole.

도 2b에 도시한 바와 같이, 감광막 패턴(40)을 마스크로 하여 층간 절연막(30)을 식각하여 실리사이드막(20)을 드러내는 접촉구(C)를 형성하는데, 불소가 함유된 기체를 이용한 반응성 이온 식각을 이용하므로 식각 이후에 불소 화합물(50) 등이 발생하여 접촉구(C) 바닥 및 측벽에 잔류한다.As illustrated in FIG. 2B, the interlayer insulating film 30 is etched using the photosensitive film pattern 40 as a mask to form a contact hole C exposing the silicide film 20. The reactive ions using a fluorine-containing gas are formed. Since etching is used, fluorine compounds 50 and the like are generated after the etching and remain on the contact hole C bottom and sidewalls.

다음, 도 2c에 도시한 바와 같이, 플라스마나 마이크로파를 이용한 애싱(ashing)을 통해 감광막 패턴(40)을 제거한다. 이 과정에서 기판(10) 표면의 감광막 성분 및 오염은 대부분 제거되지만, 접촉구(C) 바닥이나 측벽에 고분자, 불소 화합물 등의 오염 물질(50')은 그대로 잔류한다.Next, as shown in FIG. 2C, the photoresist pattern 40 is removed through ashing using plasma or microwaves. In this process, most photoresist components and contamination on the surface of the substrate 10 are removed, but contaminants 50 'such as a polymer and a fluorine compound remain on the bottom or sidewall of the contact hole C.

다음, 도 2d에 도시한 바와 같이, 빠른 열 공정(rapid thermal process:RTP)을 통해 건식 세정을 실시한다. 이때, 규소 기판(10)의 온도를 400℃∼600℃로 급속히 증가시키고, 기판(10)에 100nm∼600nm 파장의 자외선을 5mm 미만의 거리에서 파장 254㎚ 기준으로 40∼50㎽/㎠의 세기로 조사하면서, 산소(O2) 또는 오존(O3) 등의 기체를 주입한다. 이러한 RTP 공정은 10-3Torr∼10-7Torr 의 고진공에서 실시한다. 이처럼, 기판(10)을 급속히 가열하면 오염 물질(50')의 표면 에너지 상태가 높아지고 반응성이 높아져, 오염 물질(50')과 산화성 기체(O2또는 O3)와의 화학 반응이 원활해진다. 게다가, 자외선을 조사함으로써, 산화성 기체의 화학 반응 에너지를 높일 뿐 아니라, 빛의 직진성에 의해 빛이 조사되는 영역까지 산화성 기체의 반응성이 우수한 상태로 유지되도록 하며, 오염 물질(50')이 존재하는 곳까지 도달할 수 있도록 산화성 기체의 수명을 길게 한다. 또한, 고진공 상태에서 공정을 실시함으로써, 산화성 기체의 평균 자유 행로가 길어짐에 따라 깊고 좁은 접촉구(C) 밑바닥까지 쉽게 도달할 수 있다. 따라서, 오염 물질(50')과 산화성 기체가 원활히 반응한다. 앞서 설명한 바와 같이, 드러나 있는 규소 기판(10) 면에는 실리사이드막(20)이 형성되어 있기 때문에, 산화성 기체의 반응 과정 중에 규소 기판(10) 자체가 산화되지는 않는다.Next, as shown in FIG. 2D, dry cleaning is performed through a rapid thermal process (RTP). At this time, the temperature of the silicon substrate 10 is rapidly increased to 400 ° C. to 600 ° C., and the intensity of ultraviolet rays of 100 nm to 600 nm to the substrate 10 is 40 to 50 mA / cm 2 at a wavelength of 254 nm at a distance of less than 5 mm. While irradiating with gas, gases such as oxygen (O 2) or ozone (O 3) are injected. This RTP process is carried out at a high vacuum of 10 -3 Torr to 10 -7 Torr. As such, when the substrate 10 is rapidly heated, the surface energy state of the contaminant 50 'is increased and the reactivity is increased, so that the chemical reaction between the contaminant 50' and the oxidizing gas (O 2 or O 3 ) is smooth. In addition, by irradiating with ultraviolet rays, not only the chemical reaction energy of the oxidizing gas is increased, but also the reactivity of the oxidizing gas is maintained to the region where the light is irradiated by the straightness of the light, and contaminants 50 'are present. Extend the life of the oxidizing gas to reach the target. In addition, by carrying out the process in a high vacuum state, as the average free path of the oxidizing gas becomes longer, it is easy to reach the bottom of the deep and narrow contact hole (C). Therefore, the pollutant 50 'and the oxidizing gas react smoothly. As described above, since the silicide film 20 is formed on the exposed silicon substrate 10 surface, the silicon substrate 10 itself is not oxidized during the reaction of the oxidizing gas.

이러한 세정 방법은 직경이 0.3μm 이하인 접촉구(C)에 적용할 경우 효과적이다.This cleaning method is effective when applied to contact holes (C) having a diameter of 0.3 μm or less.

이후, 반응 생성 기체(60)를 RTP 체임버 외부로 배출하면, 도2d에 도시한 바와 같이 오염 물질이 대부분 제거된다.Thereafter, when the reaction product gas 60 is discharged to the outside of the RTP chamber, most of the contaminants are removed as shown in FIG. 2D.

여기에서, 산화성 기체로 일산화탄소(CO)를 사용하거나, 자외선 대신 마이크로파를 사용할 수도 있다.Here, carbon monoxide (CO) may be used as the oxidizing gas, or microwaves may be used instead of ultraviolet rays.

이상에서와 같이, 본 발명에 따른 반도체 소자의 접촉구 형성 방법에서는 단파장의 빛과 산화성 기체를 사용하여 고진공 하에서 RTP 공정을 실시함으로써, 접촉구 내의 고분자 오염 물질을 효과적으로 세정한다. 따라서, 접촉구의 접촉 저항 특성 및 제품의 신뢰도를 향상시킨다.As described above, in the method for forming a contact hole of a semiconductor device according to the present invention, the RTP process is performed under high vacuum using light of short wavelength and an oxidizing gas, thereby effectively cleaning the polymer contaminants in the contact hole. Therefore, the contact resistance characteristics of the contact hole and the reliability of the product are improved.

Claims (12)

규소 기판 위에 층간 절연막을 적층하는 단계,Depositing an interlayer insulating film on the silicon substrate, 상기 층간 절연막에 감광막을 도포하는 단계,Applying a photosensitive film to the interlayer insulating film, 상기 감광막을 노광·현상하여 감광막 패턴을 형성하는 단계,Exposing and developing the photoresist to form a photoresist pattern; 상기 감광막 패턴을 마스크로 하여 상기 층간 절연막을 식각하여 접촉구를 형성하는 단계,Etching the interlayer insulating layer using the photoresist pattern as a mask to form a contact hole; 상기 감광막 패턴을 애싱하여 제거하는 단계,Ashing and removing the photoresist pattern; 빠른 열 공정으로 세정을 실시하는 단계Steps to Clean in a Fast Thermal Process 를 포함하는 반도체 소자의 제조 방법.Method for manufacturing a semiconductor device comprising a. 제1항에서,In claim 1, 상기 기판을 400℃ ∼ 600℃로 빠르게 가열하여 세정하는 반도체 소자의 제조 방법.A method for manufacturing a semiconductor device, wherein the substrate is rapidly heated to 400 ° C. to 600 ° C. for cleaning. 제2항에서,In claim 2, 상기 기판에 자외선을 조사하여 세정을 실시하는 반도체 소자의 제조 방법.The manufacturing method of the semiconductor element which irradiates an ultraviolet-ray to the said board | substrate, and wash | cleans. 제3항에서,In claim 3, 100nm∼600nm의 파장을 가지는 상기 자외선을 254㎚ 기준으로 40∼50㎽/㎠의 세기로 조사하는 반도체 소자의 제조 방법.A method for manufacturing a semiconductor device, wherein the ultraviolet ray having a wavelength of 100 nm to 600 nm is irradiated at an intensity of 40 to 50 mA / cm 2 based on 254 nm. 제2항에서,In claim 2, 상기 기판에 마이크로파를 조사하여 세정하는 반도체 소자의 제조 방법.The manufacturing method of the semiconductor element which wash | cleans by irradiating a microwave to the said board | substrate. 제4항 또는 제5항에서,The method of claim 4 or 5, 상기 빠른 열 공정 중에 산화성 기체를 주입하는 반도체 소자의 제조 방법.A method of manufacturing a semiconductor device injecting an oxidizing gas during the rapid thermal process. 제6항에서,In claim 6, 상기 산화성 기체는 산소, 오존 또는 일산화탄소인 반도체 소자의 제조 방법.The oxidizing gas is oxygen, ozone or carbon monoxide manufacturing method of a semiconductor device. 제7항에서,In claim 7, 상기 규소 기판에 실리사이드막을 형성하는 단계를 더 포함하며, 상기 실리사이드막은 상기 접촉구를 통해 외부로 드러나 있는 반도체 소자의 제조 방법.And forming a silicide film on the silicon substrate, wherein the silicide film is exposed to the outside through the contact hole. 제8항에서,In claim 8, 상기 접촉구의 직경을 0.3μm 이하로 형성하는 반도체 소자의 제조 방법.The manufacturing method of the semiconductor element which forms the diameter of the said contact hole below 0.3 micrometer. 제1항에서,In claim 1, 상기 빠른 열 공정은 10-3∼10-7Torr의 고진공 상태에서 실시하는 반도체 소자의 제조 방법.The said rapid thermal process is a manufacturing method of the semiconductor element performed in the high vacuum state of 10 <-3> -10 < -7> Torr. 제1항에서,In claim 1, 상기 감광막 패턴은 마이크로파를 이용한 애싱으로 제거하는 반도체 소자의 제조 방법.The photosensitive film pattern is a semiconductor device manufacturing method for removing by ashing using microwave. 제1항에서,In claim 1, 상기 감광막 패턴은 플라스마를 이용한 애싱으로 제거하는 반도체 소자의 제조 방법.The photosensitive film pattern is a manufacturing method of a semiconductor device which is removed by ashing using plasma.
KR1019980004932A 1998-02-18 1998-02-18 Method for manufacturing semiconductor device KR100310170B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1019980004932A KR100310170B1 (en) 1998-02-18 1998-02-18 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019980004932A KR100310170B1 (en) 1998-02-18 1998-02-18 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
KR19990070198A true KR19990070198A (en) 1999-09-15
KR100310170B1 KR100310170B1 (en) 2001-12-17

Family

ID=37530898

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980004932A KR100310170B1 (en) 1998-02-18 1998-02-18 Method for manufacturing semiconductor device

Country Status (1)

Country Link
KR (1) KR100310170B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100463237B1 (en) * 2000-06-28 2004-12-23 주식회사 하이닉스반도체 Method for forming photoresist pattern

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100463237B1 (en) * 2000-06-28 2004-12-23 주식회사 하이닉스반도체 Method for forming photoresist pattern

Also Published As

Publication number Publication date
KR100310170B1 (en) 2001-12-17

Similar Documents

Publication Publication Date Title
KR101036087B1 (en) Plasma dielectric etch process including ex-situ backside polymer removal for low-dielectric constant material
US6638875B2 (en) Oxygen free plasma stripping process
US20080299780A1 (en) Method and apparatus for laser oxidation and reduction
US6009888A (en) Photoresist and polymer removal by UV laser aqueous oxidant
KR20060064621A (en) Plasma ashing process
JP4077241B2 (en) Manufacturing method of semiconductor device
JP3105547B2 (en) Copper etching process using halogenated substances
JP3876983B2 (en) Pre-cleaning method for polymer residues
US5789141A (en) Photolithography of chemically amplified resist utilizing 200°C minimum heat treatment of uncoated substrate
JP3611196B2 (en) Method for removing organic material from a substrate
JPH05275326A (en) Method for ashing resist
US20090029548A1 (en) Method for removing polymer residue from metal lines of semiconductor device
JP4359847B2 (en) Drying process for low-k dielectric films
KR20000036020A (en) Laser removal of foreign materials from surfaces
KR100310170B1 (en) Method for manufacturing semiconductor device
KR20230072442A (en) Uv treatment of euv resists
US7416990B2 (en) Method for patterning low dielectric layer of semiconductor device
KR100244516B1 (en) Method for forming a pattern
US5874200A (en) Method for forming a pattern preventing water mark formation
JP4688127B2 (en) Manufacturing method of semiconductor device
KR100241531B1 (en) How to remove photoresist
KR100237020B1 (en) Method of forming metal layer in semiconductor device
Boumerzoug et al. A high selectivity to low K materials plasma ashing process
JP2003243335A (en) Resist removing method and device thereof
KR100450332B1 (en) Method for removing patterned layer from lower layer through reflow

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080630

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee