KR19980071012A - Method and apparatus for depositing high temperature and high deposition rate titanium films - Google Patents

Method and apparatus for depositing high temperature and high deposition rate titanium films Download PDF

Info

Publication number
KR19980071012A
KR19980071012A KR1019980002861A KR19980002861A KR19980071012A KR 19980071012 A KR19980071012 A KR 19980071012A KR 1019980002861 A KR1019980002861 A KR 1019980002861A KR 19980002861 A KR19980002861 A KR 19980002861A KR 19980071012 A KR19980071012 A KR 19980071012A
Authority
KR
South Korea
Prior art keywords
gas
chamber
heater
pressure
temperature
Prior art date
Application number
KR1019980002861A
Other languages
Korean (ko)
Inventor
준 자오
리 루오
샤오 리앙 진
지아-씽 왕
스테판 볼프
탈넥스 사조토
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/918,706 external-priority patent/US6051286A/en
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR19980071012A publication Critical patent/KR19980071012A/en

Links

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은, 약 1 내지 10 토르의 챔버 압력에서 적어도 약 400℃로 가열되는 히터를 사용하고, 250:1 이하의 반응 가스 대 소스 가스 흐름비로 반응 가스와 소스 가스를 유입시키고 플라즈마를 형성하기 위한 RF 에너지를 인가함으로써 티타늄 막을 증착하기 위한 방법 및 장치를 제공한다. 본 발명은 특정한 실시예에 따라 티타늄 테트라클로라이드로부터 반도체 기판상에 200Å/분까지의 증착율을 제공한다.The present invention utilizes a heater that is heated to at least about 400 ° C. at a chamber pressure of about 1 to 10 Torr, for introducing the reactant gas and the source gas at a reaction gas to source gas flow ratio of 250: 1 or less and for forming a plasma. A method and apparatus for depositing a titanium film by applying RF energy is provided. The present invention provides deposition rates of up to 200 milliseconds per minute from titanium tetrachloride on semiconductor substrates in accordance with certain embodiments.

Description

고온 및 고 증착율의 티타늄 막을 증착하기 위한 방법 및 장치Method and apparatus for depositing high temperature and high deposition rate titanium films

본 발명은 반도체 공정에 관한 것이다. 특히, 본 발명은 플라즈마에 의한 부식성 환경에서 약 400℃이상의 온도에서 박막을 형성하는 방법 및 장치에 관한 것이다. 일부 특정 실시예에서, 본 발명은 약 625℃이상의 온도에서 티타늄, 질화티타늄 및 이규화티타늄과 같은 티타늄 함유 박막을 형성하기 위하여 이용된다. 상기와 같은 박막은 패턴형 전도층, 전도층 사이의 플러그, 확산 장벽층, 접착층 및 규화물 형성에 대한 선구 물질로서 이용될 수 있다. 또한 다른 실시예는 예를 들어 다른 종류의 금속 박막을 증착하기 위하여, 기판 재료를 합금하기 위하여, 그리고 기판 재료를 어닐링하기 위하여 이용될 수 있다.The present invention relates to a semiconductor process. In particular, the present invention relates to methods and apparatus for forming thin films at temperatures of about 400 ° C. or higher in a corrosive environment by plasma. In some specific embodiments, the present invention is used to form titanium-containing thin films such as titanium, titanium nitride and titanium silicide at temperatures above about 625 ° C. Such thin films can be used as precursor materials for patterned conductive layers, plugs between conductive layers, diffusion barrier layers, adhesive layers, and silicide formation. Other embodiments may also be used, for example, to deposit other types of metal thin films, to alloy substrate materials, and to anneal substrate materials.

현대 반도체 소자를 조립할 때 일차적인 단계중 하나는 반도체 기판 위에 유전층 및 금속층을 포함한 여러 가지 층을 형성하는 것이다. 잘 알려진 바와 같이, 이들 층은 화학 기상 증착(CVD) 또는 물리적 기상 증착(PVD)에 의하여 증착될 수 있다. 종래의 열(thermal) CVD 공정에서, 반응성 가스는 원하는 박막을 형성하도록 열유도 화학 반응(균질 또는 이질)이 발생하는 기판 표면에 공급된다. 종래의 플라즈마 CVD 공정에서, 제어된 플라즈마는 원하는 박막을 형성하기 위하여 반응성 가스를 분해하거나 그리고/또는 에너지화한다. 일반적으로, 열공정 및 플라즈마 공정에서 반응 속도는 온도, 압력, 플라즈마 밀도, 반응가스 흐름속도, 출력 주파수, 출력 레벨, 챔버의 물리적 형상 등에서 하나 이상을 제어함으로써 제어될 수 있다. PVD 시스템의 예에서, 타겟(증착될 재료의 플레이트)은 음 전압 공급장치(직류(DC) 또는 무선 주파수(RF))에 연결되고, 타겟과 접하는 기판 홀더는 접지되거나, 플로팅되거나, 바이어스되거나, 가열되거나, 냉각되거나 또는 이들중 몇 개가 한꺼번에 이루어진다. 아르곤과 같은 가스는 일반적으로 몇 밀리토르(mtorr) 및 100mtorr사이의 압력으로 유지되어 PVD 시스템으로 유입되어, 글로우 방전이 시작되고 유지될 수 있는 매체를 제공하도록 한다. 글로우 방전이 시작될 때, 양이온이 타겟에 부딪치고, 타겟 원자는 운동량 이동에 의하여 제거된다. 이들 타겟 원자는 다음에 기판 홀더 위에 있는 기판상의 박막으로 집중된다.One of the primary steps in assembling modern semiconductor devices is to form various layers on the semiconductor substrate, including dielectric and metal layers. As is well known, these layers may be deposited by chemical vapor deposition (CVD) or physical vapor deposition (PVD). In conventional thermal CVD processes, reactive gases are supplied to the substrate surface where thermally induced chemical reactions (homogeneous or heterogeneous) occur to form the desired thin film. In conventional plasma CVD processes, controlled plasma decomposes and / or energizes reactive gases to form the desired thin film. In general, reaction rates in thermal and plasma processes can be controlled by controlling one or more of temperature, pressure, plasma density, reaction gas flow rate, output frequency, output level, physical shape of the chamber, and the like. In the example of a PVD system, the target (plate of material to be deposited) is connected to a negative voltage supply (direct current (DC) or radio frequency (RF)) and the substrate holder in contact with the target is grounded, floated, biased, Heated, cooled or several of them are done at once. Gases such as argon are generally maintained at a pressure between a few millitorr and 100 millitorr to enter the PVD system to provide a medium in which glow discharge can be initiated and maintained. When the glow discharge begins, cations strike the target and the target atoms are removed by the momentum shift. These target atoms are then concentrated in a thin film on the substrate over the substrate holder.

반도체 소자 형상은 소자가 수십년 전에 최초로 소개된 이후에 그 사이즈가 상당히 감소되었다. 이후부터는 집적 회로는 칩 위에 장착되는 소자의 수는 매 2년마다 배로 증가한다는 2년/절반 사이즈 법칙(일명 무어의 법칙)을 따른다. 현재의 웨이퍼 제조 장치는 0.5μm 더욱이 0.35μm 사이즈 소자를 제조하며, 미래의 장치는 곧 그보다 작은 사이즈를 가진 소자를 제조할 것이다. 소자 사이즈가 작아지고 집적도가 증가하기 때문에, 이전에는 곤란하지 않았던 문제가 상당한 관심거리가 되었다. 예를 들어, 아주 높은 집적도를 가진 소자는 높은 가로세로비(aspect ratio)(예를 들어, 0.35μm 사이즈 소자에 대하여 약 6:1 또는 그 이상)를 가진다. (가로세로비는 두 인접 스텝의 높이 대 간격으로 정의된다). 갭과 같은 높은 가로세로비 형상은 많은 이용에서 증착층으로 충분하게 충진될 필요가 있다.Semiconductor device geometries have been significantly reduced in size since the device was first introduced decades ago. Subsequently, integrated circuits follow the two-year / half-size rule (aka Moore's Law) that the number of devices mounted on a chip doubles every two years. Current wafer fabrication apparatuses fabricate 0.5 μm and even 0.35 μm size devices, and future devices will soon produce devices with smaller sizes. As device sizes get smaller and the degree of integration increases, problems that were not difficult before have become a significant concern. For example, devices with very high integration have a high aspect ratio (eg, about 6: 1 or more for 0.35 μm size devices). (The aspect ratio is defined as the height versus spacing of two adjacent steps). High aspect ratio shapes, such as gaps, need to be sufficiently filled with the deposition layer in many applications.

이들 고집적 소자를 제조하기 위한 점점 엄밀한 요구조건이 요구되고, 종래 기판 공정 시스템은 이들 요구조건을 충분히 만족시키지 못하게 되었다. 또한, 소자 설계가 발전함에 따라, 이들 소자를 구현하기 위하여 필요한 재료로된 박막을 증착하기 위하여 이용된 기판 공정 시스템에서 더욱 향상된 성능이 요구된다. 예를 들어, 티타늄이 점점 더 집적 회로 제조 공정에 이용되었다. 티타늄은 반도체 소자에 이용하기에 적합한 많은 성질을 가진다. 티타늄은 예를 들어 금접합패드 및 반도체 사이의 확산 장벽으로서 작용하여 한 종류의 원자가 다음 종류의 원자로 이동하는 것을 방지할 수 있다. 또한, 티타늄은 실리콘 및 알루미늄 사이와 같이 두 층 사이에서의 접합을 향상시키기 위하여 사용될 수 있다. 또한, 실리콘과 합금되어 이규화(규화)티타늄을 형성하는 티타늄의 이용은 예를 들어 저항성 콘택의 형성을 가능하게 한다. 상기와 같은 티타늄 박막을 증착하기 위하여 이용된 일반적인 형태의 증착 시스템은 티타늄 스퍼터링 증착 시스템인데, 상기 시스템은 고도의 공정 및 제조 조건에 의하여 소자를 형성하기에 적합하지 않다. 특히, 스퍼터링은 소자에 결함을 야기하는 손상을 발생시킬 수 있다. 또한, 티타늄 스퍼터링 시스템은 스퍼터링시 발생하는 그림자 현상 때문에 높은 가로세로비에서 균일한 등각층을 증착할 수 없을 수 있다. 스퍼터링 시스템에 비하여, 플라즈마 보강 화학 기상 증착(PECVD) 시스템은 높은 가로세로비 갭으로 기판 위에 티타늄 박막을 형성하기에 적합할 수 있다. 공지된 바와 같이, 이온과 가스 분자의 혼합체인 플라즈마는 예를 들어 챔버 압력, 온도, RF출력 등과 같은 적합한 환경하의 증착 챔버에서 고주파수(RF) 에너지와 같은 에너지를 공정 가스에 공급하기 위하여 형성될 수 있다. 플라즈마는 임계 밀도에 도달하여 글로우 방전을 형성(또는 플라즈마를 점화한다고도 함)하는 것으로 알려진 자기 유지 상태를 형성하도록 한다. 이러한 RF 에너지는 공정 가스의 분자의 에너지 상태를 상승시키고 분자로부터 이온을 형성한다. 에너지화된 분자 및 이온은 모두 일반적으로 공정 가스보다 반응성이 높으며, 따라서 원하는 박막을 형성하기에 적합하다. 바람직하게, 플라즈마는 또한 티타늄 박막이 형성될 때 기판 표면 사이의 반응성 가스의 이동도를 개선시켜 양호하게 갭이 충진된 박막을 발생시킨다.Increasingly stringent requirements are required to fabricate these highly integrated devices, and conventional substrate processing systems have not fully met these requirements. In addition, as device designs evolve, further performance is required in substrate processing systems used to deposit thin films of the materials needed to implement these devices. For example, titanium has been increasingly used in integrated circuit fabrication processes. Titanium has many properties suitable for use in semiconductor devices. Titanium, for example, can act as a diffusion barrier between the gold bond pad and the semiconductor to prevent the migration of one type of atom to the next type of atom. In addition, titanium can be used to improve the bonding between the two layers, such as between silicon and aluminum. In addition, the use of titanium alloyed with silicon to form disulphide (silicide) titanium enables the formation of resistive contacts, for example. A general type of deposition system used to deposit such a titanium thin film is a titanium sputter deposition system, which is not suitable for forming devices by high process and manufacturing conditions. In particular, sputtering can cause damage that causes defects in the device. In addition, the titanium sputtering system may not be able to deposit a uniform conformal layer at a high aspect ratio due to the shadowing phenomenon that occurs during sputtering. Compared to sputtering systems, plasma enhanced chemical vapor deposition (PECVD) systems may be suitable for forming titanium thin films on substrates with high aspect ratio gaps. As is known, a plasma, which is a mixture of ions and gas molecules, may be formed to supply energy, such as high frequency (RF) energy, to a process gas in a deposition chamber under suitable conditions, such as, for example, chamber pressure, temperature, RF power, and the like. have. The plasma is allowed to reach a critical density to form a self-sustaining state known to form a glow discharge (or ignition plasma). This RF energy raises the energy state of the molecules of the process gas and forms ions from the molecules. Both energized molecules and ions are generally more reactive than process gases and are therefore suitable for forming the desired thin films. Preferably, the plasma also improves the mobility of the reactive gas between the substrate surfaces when the titanium thin film is formed, resulting in a well-filled thin film.

그러나, 알루미늄 히터를 이용하는 종래 PECVD 시스템은 예를 들어 티타늄 테트라클로라이드(TiCl4)의 증기로부터 티타늄 박막을 형성하는 것과 같은 일부 공정에 이용될 때 어떤 한계를 가질 수 있다. 알루미늄 부식, 온도 한계, 원치 않는 증착 및 제조 효율은 티타늄과 같은 박막을 증착시키기 위하여 이용될 수 있는 종래 PECVD 시스템이 가진 문제점이다. 공정에서, 상온에서 액체인 티타늄 테트라클로라이드 및 상기 액체를 통하여 거품이 형성되는 헬륨과 같은 운반 가스는 증착 챔버로 전달될 수 있는 증기를 발생시킨다. 이와 같은 티타늄 PECVD 공정은 약 100Å/min의 증착 속도를 얻기 위하여 약 600℃의 기판온도를 요구하며, 이는 양호한 웨이퍼 생산율을 얻기에 적합하지 않을 수 있다. 그러나, 티타늄 테트라클로라이드가 티타늄 박막을 형성하기 위하여 분리될 때, 염소가 챔버에서 방출된다. 특히, 티타늄 박막 증착을 향상시키는 플라즈마는 염소 원자 및 이온을 발생시키는데 이는 바람직하지 못하게 이러한 조건하에서 알루미늄 히터 및 면판(faceplate)과 같은 챔버의 다른 부분을 부식시킬 것이다. 알루미늄 부식은 또한 소자에서 금속 오염과 관련된 공정 저하 문제를 야기시킬 수 있다. 또한, 알루미늄 히터를 가진 PECVD 시스템의 이용은 약 480℃ 이하의 온도에서는 동작이 제한되며, 이는 따라서 얻을 수 있는 박막 증착 속도를 제한시킨다. 알루미늄은 고온에서 동작하는 히터로서 적합한 재료가 아닌데, 이는 약 480℃이상의 온도에서 알루미늄 히터는 약화(soften)되어 히터에 왜곡 및/또는 손상이 발생하기 때문이다. 플라즈마의 존재하에서 약 480℃ 이상의 온도에서 알루미늄 히터가 사용될 때, 부가적인 문제점이 발생한다. 이와 같은 환경에서, 알루미늄은 백스퍼터링되어, 기판 및 챔버 부품을 오염시킬 수 있다. 또한, 일부 증착 공정과 관련된 일부 화학 물질(예를 들어, 티타늄 증착 공정에서 생성된 염소 화합물)과 저온에서 조차 양립할 수 없는 알루미늄 히터( 및 면판과 같은 챔버의 다른 부품)는 고온에서 상당히 손상된다. 건식 세척 공정에 이용된 염소와 같은 화학물질 역시 알루미늄 히터에 손상을 준다. 약 480℃이상의 온도에서, 이들 화학 물질은 저온에서 보다 알루미늄 히터를 상당히 손상시키고 부식시켜, 히터의 수명을 감소시키고 따라서 히터를 빈번하게 교환하도록 한다. 열 교환은 경제적인 손실을 야기하는데, 이는 히터 비용뿐만 아니라 히터를 교체하는 시간 동안 증착 챔버의 생산적인 사용이 제한되기 때문이다. 상기와 같은 건식 세척 공정 중에, 때때로 더미(dummy) 웨이퍼가 알루미늄 히터 위에 장착되어 히터 위의 손상을 최소화하도록 한다. 그러나, 더미 웨이퍼의 장착 및 탈착은 시간을 낭비하고 웨이퍼 생산율을 감소시킨다. 또한, 화학 물질에 의하여 손상되는 일부 더미 웨이퍼는 비싸고 주기적으로 교환될 것이 요구되며, 이는 전체 유지관리 비용에 부가된다.However, conventional PECVD systems using aluminum heaters may have certain limitations when used in some processes such as, for example, forming titanium thin films from vapors of titanium tetrachloride (TiCl 4 ). Aluminum corrosion, temperature limits, unwanted deposition and manufacturing efficiency are problems with conventional PECVD systems that can be used to deposit thin films such as titanium. In the process, a carrier gas such as titanium tetrachloride, which is liquid at room temperature, and helium, which bubbles through it, generates vapors that can be delivered to the deposition chamber. Such a titanium PECVD process requires a substrate temperature of about 600 ° C. to achieve a deposition rate of about 100 μs / min, which may not be suitable for obtaining good wafer yields. However, when titanium tetrachloride is separated to form a titanium thin film, chlorine is released from the chamber. In particular, plasmas that enhance titanium thin film deposition generate chlorine atoms and ions which will undesirably corrode other parts of the chamber such as aluminum heaters and faceplates under these conditions. Aluminum corrosion can also cause process degradation problems associated with metal contamination in the device. In addition, the use of a PECVD system with an aluminum heater is limited in operation at temperatures below about 480 ° C., which thus limits the thin film deposition rate that can be achieved. Aluminum is not a suitable material as a heater operating at high temperatures, because at temperatures above about 480 ° C. the aluminum heater softens, causing distortion and / or damage to the heater. Additional problems arise when aluminum heaters are used at temperatures above about 480 ° C. in the presence of plasma. In such an environment, aluminum can be sputtered, contaminating the substrate and chamber components. In addition, some chemicals associated with some deposition processes (eg, chlorine compounds produced in titanium deposition processes) and aluminum heaters (and other parts of the chamber such as faceplates) that are incompatible even at low temperatures are significantly damaged at high temperatures. . Chemicals such as chlorine used in dry cleaning processes also damage aluminum heaters. At temperatures above about 480 ° C., these chemicals significantly damage and corrode aluminum heaters at lower temperatures, reducing the lifetime of the heaters and thus causing frequent exchange of heaters. Heat exchange results in economic losses because not only heater costs but also the productive use of the deposition chamber during the time of replacing the heater is limited. During such dry cleaning processes, sometimes dummy wafers are mounted on aluminum heaters to minimize damage on the heaters. However, mounting and detaching dummy wafers wastes time and reduces wafer yield. In addition, some dummy wafers damaged by chemicals are expensive and require periodic replacement, which adds to the overall maintenance cost.

알루미늄 부식, 히터 약화 및 온도 제한 이외에, PECVD 공정 시스템에서 금속 증착과 관련된 문제는 원치 않는 금속 증착 및 관련된 제조 효율 문제를 포함한다. 가장 우수한 박막 증착은 온도가 가장 높은 장소에서 발생하지만, 일부 증착은 플라즈마조차 없는 저온에서 발생할 것이다. 원치 않는 금속 증착은 균일하지 않은 증착, 아아킹, 챔버 부품의 작동 저하 및/또는 소자 결함과 같은 여러 가지 문제를 야기할 수 있다. 게다가 원치 않는 금속 증착은 챔버벽 및 바닥면에 발생할 뿐만 아니라, 증착 챔버 또는 챔버 배출 경로내의 세라믹 스페이서 및 라이너와 같은 비전도성 부품에 발생하게되어, 나중에 이들이 전도성이 되도록 한다. 이들 원치 않는 전도성 금속 증착은 글로우 방전 형성을 중단시켜 기판 전체에 불균일한 증착을 야기할 수 있다. 이는 또한 아아킹을 발생시킬 수 있으며, 이는 기판 및 면판과 같은 챔버 부분을 손상시킬 수 있다. 또한, 티타늄은 히터 일부상에서 가스 또는 진공 구멍에 형성되어 상기 구멍을 통한 가스의 흐름을 방해하거나 또는 정밀한 공차를 가진 기계 부분 위에 형성되어 이들의 동작을 방해할 수 있다. 하부의 챔버 부품에 약하게 결합되거나 히터 위에 형성된 원치 않는 증착은 기판 위에 떨어져서 기판 위에 결합을 야기하는 파편 및 그 외의 입자를 발생시키며, 따라서 기판 수율을 감소시킬 수 있다. 이러한 이유들 때문에, 챔버는 챔버를 개방하지 않아도 되는 건식 세척 공정 및 챔버를 적어도 부분적으로 해체하고 닦아낼 것이 요구되는 예방적인 유지보수 세척에 의하여 주기적으로 세척되어야 한다. 챔버는 여러 가지 방법에 의하여 세척될 수 있다. 건식 세척 공정은 반응성 가스 또는 플라즈마를 이용하여 챔버 부품으로부터 원치 않는 증착물질을 식각하거나 또는 플라즈마로 입자를 물리적으로 충돌시켜 입자를 깍아내고 배출 시스템으로 제거할 수 있다. 습식 세척은 일반적으로 챔버를 적어도 부분적으로 해체하고, 나중에 솔밴트로 닦는다.In addition to aluminum corrosion, heater weakening and temperature limitations, problems related to metal deposition in PECVD process systems include unwanted metal deposition and related manufacturing efficiency issues. The best thin film deposition takes place at the highest temperatures, but some depositions will occur at lower temperatures without even plasma. Unwanted metal deposition can cause various problems such as non-uniform deposition, arcing, degradation of chamber components and / or device defects. In addition, unwanted metal deposition occurs not only on the chamber walls and bottom surfaces, but also on non-conductive parts such as ceramic spacers and liners in the deposition chamber or chamber exit paths, which in turn make them conductive. These unwanted conductive metal depositions can interrupt the formation of glow discharges, resulting in non-uniform deposition throughout the substrate. It can also cause arcing, which can damage chamber parts such as substrates and face plates. In addition, titanium may be formed in the gas or vacuum holes on the heater portion to impede the flow of gas through the holes or on the machined parts with close tolerances to impede their operation. Undesirably deposition formed on the heater or weakly bonded to the underlying chamber components generates debris and other particles that fall on the substrate and cause bonding on the substrate, thus reducing substrate yield. For these reasons, the chamber should be cleaned periodically by a dry cleaning process that does not require opening the chamber and by a preventive maintenance wash that requires at least partially dismantling and cleaning the chamber. The chamber can be cleaned by several methods. Dry cleaning processes may use reactive gases or plasma to etch unwanted deposits from chamber components or physically impinge particles into the plasma to scrape the particles out and remove them into the exhaust system. Wet cleaning generally disassembles the chamber at least partially and later wipes it with solvent.

다음에, 챔버는 재조립되어야 하고 길들여져야한다. 즉, 일관성있는 층이 얻어질 때까지 다수의 증착 사이클이 수행되어야 한다. 두 가지 과정중에는 증착 시스템이 제품을 생산하지 못하며, 이는 비효율적이고 비경제적이다. 그러나 습식 세척은 일반적으로 건식 세척보다 생산율을 감소시킨다. 따라서, 습식 세척의 빈도를 최소화하여 세척 중에 많은 웨이퍼가 생산되도록 하기 위하여 효율적인 건식 세척 공정을 가지는 것이 바람직하다. 또한 원치 않는 증착이 발생하는 챔버내의 영역을 최소화하는 것이 요구된다. 일부 증착 공정에서, 특히 텅스텐 또는 티타늄과 같은 금속 증착 공정에서, 챔버를 세척하는데 요구되는 시간은 증착 시스템의 웨이퍼 생산에 영향을 주는 가장 중요한 요인이 되었다.Next, the chamber must be reassembled and tamed. That is, multiple deposition cycles must be performed until a consistent layer is obtained. During both processes, the deposition system does not produce the product, which is inefficient and inexpensive. However, wet cleaning generally reduces the production rate than dry cleaning. Therefore, it is desirable to have an efficient dry cleaning process in order to minimize the frequency of wet cleaning so that many wafers are produced during the cleaning. There is also a need to minimize the area in the chamber where unwanted deposition occurs. In some deposition processes, particularly in metal deposition processes such as tungsten or titanium, the time required to clean the chamber has become the most important factor affecting wafer production of the deposition system.

세라믹 히터가 400℃ 또는 그 이상에서 동작하는 증착 시스템을 위하여 알루미늄 히터대신 제안되었지만, 세라믹 히터의 조립 및 이의 증착 공정에서의 사용은 몇 가지 문제를 야기하였다. 상기와 같은 세라믹 히터는 바람직하지 못하게 플라즈마 및 티타늄 PECVD 공정과 관련 세척 공정에서 발견되는 염소 함유 물질과 같은 부식성 플라즈마 물질의 존재 하에 이용될 수 있다. 세라믹 히터는 일반적으로 알루미나(Al2O3) 또는 질화 알루미늄(AlN)으로 만들어진 세라믹 히터 몸체 내에 전기 가열 엘리먼트를 가지는데, 히터 몸체는 가열 엘리먼트로부터 기판에 열이 전달될 때 증착 챔버의 부식성 환경으로부터 가열 엘리먼트를 보호한다. 일반적으로 금속 보다 강하고 다루기 어려운 세라믹 물질은 가공하기 곤란하며, 따라서 간단한 기계적 모양을 요구한다. 깨지기 쉽기 때문에 세라믹은 만약 충분한 열을 반복적으로 받는다면 열 충격에 의하여 갈라질 수 있다. 갈라짐은 세라믹 히터 어셈블리로부터 다른 열 확산 계수를 가진 물질로의 전이에 의하여 상이한 열 확산에 의하여 발생할 수 있다. 동일한 재료로 제조된 세라믹 부분을 결합하더라도 문제가 발생할 수 있는데, 이는 용접 볼트 결합, 납땜 및 스크류 결합과 같은 금속 부분을 결합하기 위하여 이용되는 많은 어셈블리 방법 및 장치는 세라믹 부분에 적용하는 것이 어렵거나 신뢰할 수 없기 때문이다.Although ceramic heaters have been proposed instead of aluminum heaters for deposition systems operating at 400 ° C. or higher, the assembly of ceramic heaters and their use in the deposition process has caused some problems. Such ceramic heaters can be used undesirably in the presence of corrosive plasma materials such as chlorine containing materials found in plasma and titanium PECVD processes and associated cleaning processes. Ceramic heaters generally have an electrical heating element in a ceramic heater body made of alumina (Al 2 O 3 ) or aluminum nitride (AlN), which is free from the corrosive environment of the deposition chamber when heat is transferred from the heating element to the substrate. Protect the heating element. Ceramic materials, which are generally stronger than metals and difficult to handle, are difficult to process and therefore require simple mechanical shapes. Because of their fragility, ceramics can be cracked by thermal shock if they receive enough heat repeatedly. Cracking can occur due to different heat diffusion by transition from the ceramic heater assembly to a material having a different heat diffusion coefficient. Combining ceramic parts made of the same material can also cause problems, which many assembly methods and devices used to join metal parts such as weld bolting, soldering and screwing are difficult or reliable to apply to ceramic parts. Because you can't.

상기와 같은 측면에서, 고온(적어도 약 400℃이상)의 부식 환경에서 효율적으로 필름을 플라즈마 보강 증착하기 위한 개선된 방법, 시스템 및 장치가 요구된다. 최상의 상태로, 이들 개선된 방법 및 장치는 챔버 세척의 필요성이 적으며 따라서 기판 생산율이 높을 것을 요구한다. 특히, 이들 시스템 및 방법은 높은 가로세로비 특성을 가진 소자를 형성하기 위한 공정 요구 조건과 양립할 수 있도록 설계되어야 한다.In view of the above, there is a need for improved methods, systems and apparatus for efficiently plasma reinforcing deposition of films in high temperature (at least about 400 ° C.) corrosive environments. In the best condition, these improved methods and apparatus require less chamber cleaning and therefore require higher substrate production rates. In particular, these systems and methods should be designed to be compatible with the process requirements for forming devices with high aspect ratio characteristics.

본 발명은 플라즈마 보강 화학 기상 증착(PECVD) 챔버에서 기판을 고온(약 400℃이상)으로 가공하기 위한 시스템, 방법 및 장치를 제공하는 것이다. 본 발명의 실시예는 티타늄 테트라클로라이드 증기 및 수소 가스의 혼합물로부터 티타늄 박막을 증착하는 PECVD 시스템을 포함한다.The present invention provides a system, method and apparatus for processing a substrate at high temperature (about 400 ° C. or higher) in a plasma enhanced chemical vapor deposition (PECVD) chamber. Embodiments of the present invention include a PECVD system for depositing a thin titanium film from a mixture of titanium tetrachloride vapor and hydrogen gas.

도 1a는 증착 챔버의 계략 단면도를 포함한, 본 발명에 따른 증착 시스템의 한 실시예에 대한 블록도이다.1A is a block diagram of one embodiment of a deposition system in accordance with the present invention, including a schematic cross-sectional view of a deposition chamber.

도 1b는 사용자와 본 발명의 증착 시스템을 제어할 수 있는 프로세서사이의 인터페이스를 도시한다.1B illustrates an interface between a user and a processor capable of controlling the deposition system of the present invention.

도 2는 본 발명의 실시예에 따른 증착 챔버의 계략 단면도이다.2 is a schematic cross-sectional view of a deposition chamber in accordance with an embodiment of the present invention.

도 3은 본 발명의 실시예에 따른 웨이퍼사이 및 배출 시스템으로의 가스 흐름에 대한 계략 부분 단면 투시도이다.3 is a schematic partial cross-sectional perspective view of gas flow between a wafer and into an exhaust system in accordance with an embodiment of the present invention.

도 4a-4e는 열 차폐부 및 세라믹 라이너에 대한 흐름 제한링에 대한 여러 가지 실시예를 도시한다.4A-4E illustrate various embodiments of flow restricting rings for heat shields and ceramic liners.

도 5는 본 발명의 실시예에 따른 시스템 제어 소프트웨어의 계층적인 제어 구조에 대한 블록도이다.5 is a block diagram of a hierarchical control structure of system control software according to an embodiment of the present invention.

도 6은 본 발명의 실시예에 따른 금속 지지축에 연결된 세라믹 축받이의 단면도이다.6 is a cross-sectional view of a ceramic bearing connected to a metal support shaft according to an embodiment of the present invention.

도 7a는 본 발명의 실시예에 따른 히터 어셈블리의 개략 확대도이다.7A is a schematic enlarged view of a heater assembly according to an embodiment of the present invention.

도 7b는 본 발명의 실시예에 따른 히터 어셈블리내의 RF 평면의 상부도이다.7B is a top view of the RF plane within the heater assembly according to an embodiment of the present invention.

도 7c는 본 발명의 실시예에 따른 히터 어셈블리내의 평면 리본 가열 엘리먼트의 부분 계략 상부도이다.7C is a partial schematic top view of a planar ribbon heating element in a heater assembly according to an embodiment of the invention.

도 8은 본 발명의 실시예에 따른, 세척 가스와 함께 사용하기 위하여 밀봉된 긴 세라믹 지지축을 가진 세라믹 페데스탈를 단면도이다.8 is a cross-sectional view of a ceramic pedestal having an elongated ceramic support shaft sealed for use with a cleaning gas, in accordance with an embodiment of the present invention.

도 9는 본 발명의 실시예에 따른, 히터 어셈블리내의 RF평면 및 히터 어셈블리에 대한 전기 접속을 도시한다.9 illustrates an electrical connection to an RF plane and heater assembly within a heater assembly, in accordance with an embodiment of the present invention.

도 10은 본 발명의 실시예에 따른 열 쵸크 커플러 및 클램프를 가진 커플러의 계략 단면도이다.10 is a schematic cross-sectional view of a coupler with a thermal choke coupler and clamp in accordance with an embodiment of the present invention.

도 11은 본 발명의 실시예에 따른 열 쵸크 커플러의 한 실시예에 대한 동일크기의 도면이다.11 is a diagram of the same size for one embodiment of a thermal choke coupler according to an embodiment of the present invention.

도 12는 본 발명의 실시예에 따른 지지축, 상부 클램프를 가진 열쵸크 커플러 및 히터 어셈블리사이의 관계를 도시하는 계략 단면도이다.12 is a schematic cross-sectional view showing a relationship between a support shaft, a heat choke coupler with an upper clamp, and a heater assembly according to an embodiment of the present invention.

도 13은 본 발명의 실시예에 따른 페데스탈 나사 및 커버 플러그의 계략 단면도이다.13 is a schematic cross-sectional view of a pedestal screw and cover plug according to an embodiment of the present invention.

도 14는 본 발명의 실시예에 따른 히터 어셈블리내에 배치된 전력공급 하부 RF 평면의 계략화된 도면이다.14 is a schematic diagram of a powered lower RF plane disposed within a heater assembly in accordance with an embodiment of the present invention.

도 15는 본 발명의 실시예에 따른 RF 시스템의 계략도이다.15 is a schematic diagram of an RF system according to an embodiment of the present invention.

도 16a는 본 발명의 실시예에 따른 내부 리드 어셈블리의 계략 확대도이다.16A is a schematic enlarged view of an inner lid assembly in accordance with an embodiment of the present invention.

도 16b는 샤워헤드 및 열 교환 통로를 상세한 나타낸 계략 부분 단면도이다.16B is a schematic partial cross-sectional view illustrating the showerhead and heat exchange passage in detail.

도 17은 본 발명의 특징에 따라 제조된 장치의 계략 단면도이다.17 is a schematic cross-sectional view of a device made in accordance with aspects of the present invention.

도 18은 본 발명의 특징에 따라 제조된 장치의 콘택에 대한 계략 단면도이다.18 is a schematic cross-sectional view of a contact of a device made in accordance with aspects of the present invention.

도 19는 본 발명의 일 실시예에 사용될 수 있는 처리 순서의 흐름도이다.19 is a flowchart of a processing sequence that may be used in one embodiment of the present invention.

도 20은 본 발명의 실시예에 따른 히터 페데스탈의 온도 균일성에 대한 검사결과를 나타낸 도면이다.20 is a view showing a test result for the temperature uniformity of the heater pedestal according to the embodiment of the present invention.

도 21은 다른 유사한 증착조건하에서 티타늄층 대 TiCl4진공 압력비의 증착율에 대한 그래프이다.FIG. 21 is a graph of the deposition rate of titanium layer to TiCl 4 vacuum pressure ratio under different similar deposition conditions.

*도면의 주요부분에 대한 부호의 설명** Description of the symbols for the main parts of the drawings *

10 : CVD 시스템 30 : 챔버10: CVD system 30: chamber

40 : 면판 50 : 쵸크 개구부40: face plate 50: choke opening

60 : 펌핑 채널 70 : 리드 라이너60 pumping channel 70 lead liner

80 : 배기 구멍 90 : 가스 공급 패널80: exhaust hole 90: gas supply panel

한 실시예에 따르면, 본 발명은 챔버, 가스 전달 시스템, 프로세서와 메모리를 포함하는 제어 시스템 기판을 지지하고 가열하는 히터 페데스탈, 플라즈마 시스템 및 진공 시스템을 포함하는 기판 가공 장치를 제공한다. 가스 전달 시스템은 다중 가스 소스를 포함하며, 상기 가스 소스중 적어도 하나는 금속 및 할로겐을 함유한 소스가스를 공급한다. 히터 페데스탈은 염소화 플라즈마의 환경에서 적어도 400℃이상의 온도로 기판을 가열할 수 있으며; 그리고 히터 페데스탈은 기판이 하부에 배치되는 RF 평면을 포함한다.According to one embodiment, the present invention provides a substrate processing apparatus including a chamber, a gas delivery system, a heater pedestal for supporting and heating a control system substrate comprising a processor and a memory, a plasma system, and a vacuum system. The gas delivery system includes multiple gas sources, at least one of which supplies a source gas containing metal and halogen. The heater pedestal may heat the substrate to a temperature of at least 400 ° C. or higher in an environment of chlorinated plasma; And the heater pedestal includes an RF plane on which the substrate is disposed.

다른 실시예에 따르면, 본 발명은 부식성 플라즈마 환경에서 그리고 약 400℃이상의 온도에서 플라즈마 존재 하에 이용하기 적합한 히터 어셈블리를 제공한다. 히터 어셈블리는 관통된 금속판으로된 RF 전극; 금속 리본으로된 히터 엘리먼트; 및 세라믹 몸체와 세라믹 몸체에 연결된 세라믹 플랜지 스터브를 포함하는 세라믹 히터 페데스탈을 포함한다. 세라믹 몸체는 기판을 지지하기 위한 상부면을 가지며, 세라믹 프랜지 스터브는 바닥면을 가진다. RF 전극은 상부면 아래에서 제 1 간격으로 세라믹 몸체에 배치되며, 히터 엘리먼트는 RF 전극아래에서 제 2 간격으로 세라믹 몸체에 부착된다. 세라믹 플랜지 스터브의 내부에는 제 1 리세스, 제 2 리세스 및 제 3 리세스가 형성되어 있다. 히터 어셈블리는 또한 RF 전극에 연결하기 위한 제 1 도체를 포함하며, 여기서 상기 제 1 도체는 제 1 리세스를 통하여 배치되며 세라믹 플랜지 스터브의 바닥면으로부터 돌출하며; 상기 히터 어셈블리는 또한 히터 전극에 연결하기 위한 제 2 도체 및 제 3 도체를 포함하며, 여기서 제 2 도체 및 제 3 도체는 제 2 및 제 3 리세스를 통하여 배치되며 세라믹 플랜지 스터브의 바닥면으로부터 돌출한다.According to another embodiment, the present invention provides a heater assembly suitable for use in a corrosive plasma environment and in the presence of a plasma at temperatures above about 400 ° C. The heater assembly comprises an RF electrode made of a pierced metal plate; Heater elements made of metal ribbons; And a ceramic heater pedestal comprising a ceramic body and a ceramic flange stub connected to the ceramic body. The ceramic body has a top surface for supporting the substrate, and the ceramic flange stub has a bottom surface. The RF electrode is disposed in the ceramic body at a first interval below the top surface and the heater element is attached to the ceramic body at a second interval below the RF electrode. Inside the ceramic flange stub, a first recess, a second recess and a third recess are formed. The heater assembly also includes a first conductor for connecting to the RF electrode, wherein the first conductor is disposed through the first recess and protrudes from the bottom surface of the ceramic flange stub; The heater assembly also includes a second conductor and a third conductor for connecting to the heater electrode, where the second conductor and the third conductor are disposed through the second and third recesses and project from the bottom surface of the ceramic flange stub. do.

다른 실시예에 따르면, 본 발명은 히터 어셈블리 및 금속 지지축 사이에 이용하기 위한 열 쵸크를 제공하며, 히터 어셈블리는 약 400℃이상으로 가열할 수 있으며, 히터 어셈블리는 제 1 열 저항율을 가진 바닥 지지부를 포함한다. 열 쵸크는 바닥 지지부의 적어도 일부와 접하는 제 1 부분, 금속 지지축의 적어도 일부와 접하는 제 2 부분 및 상기 제 1 부분과 제 2 부분 사이에 배치된 제 3 부분을 포함하는 웨브를 가진다. 제 3 부분은 제 1 부분과 제 2 부분에 대하여 거의 수직이여서 금속 지지축으로부터 바닥 지지부를 분리하도록 한다. 웨브는 제 1 열 저항율보다 높은 제 2 열 저항율을 가진다. 제 1 부분은 바닥 지지부에 대응하는 제 1 직경을 가지며, 제 2 부분은 금속 지지축의 직경에 대응하는 제 2 직경을 가지며, 제 3 부분은 제 1 및 제 2 직경보다 작은 제 3 직경을 가진다.According to another embodiment, the present invention provides a heat choke for use between a heater assembly and a metal support shaft, the heater assembly being capable of heating above about 400 ° C., wherein the heater assembly has a bottom support having a first thermal resistivity. It includes. The heat choke has a web including a first portion in contact with at least a portion of the bottom support, a second portion in contact with at least a portion of the metal support shaft, and a third portion disposed between the first portion and the second portion. The third portion is substantially perpendicular to the first portion and the second portion to allow the bottom support to be separated from the metal support shaft. The web has a second thermal resistivity higher than the first thermal resistivity. The first portion has a first diameter corresponding to the bottom support, the second portion has a second diameter corresponding to the diameter of the metal support shaft, and the third portion has a third diameter smaller than the first and second diameters.

다른 실시예에 따르면, 본 발명은 플랜지를 가진 세라믹 스터브를 수용하기 위한 상부 포켓을 가진 하부 부재 및 후프 텐션이 제 1 선택 온도 범위 이상에서 플랜지상에 유지되도록 플랜지 주위에 고정될 수 있는 텐션 아암을 포함하는 커플러를 제공한다. 커플러는 또한 하부 부재에 착탈가능하게 부착될 수 있는 부분을 가진 하부 클램프를 포함하며, 상기 부분은 플랜지 및 상부 포켓 위에 배치된 캔틸레버형 와셔를 포함한다. 캔틸레버형 와셔는 상부 클램프가 하부 부재에 부착될 때 제 2 선택 온도범위 이상에서 압축력을 제공한다.According to another embodiment, the present invention provides a lower member with an upper pocket for receiving a ceramic stub with a flange and a tension arm that can be secured around the flange such that the hoop tension is retained on the flange above the first selected temperature range. It provides a coupler that includes. The coupler also includes a lower clamp having a portion that can be detachably attached to the lower member, the portion including a cantilevered washer disposed over the flange and the upper pocket. The cantilevered washer provides a compressive force above the second selected temperature range when the upper clamp is attached to the lower member.

다른 실시예에 따르면, 본 발명은 챔버내의 히터 상의 기판 위에 층을 증착하는 방법을 제공한다. 상기 방법은 적어도 약 400℃ 이상의 온도로 히터를 가열하는 단계, 약 1-10Torr 사이의 압력을 챔버에 유지하는 단계, 반응가스 및 소스 가스를 챔버로 유입시키는 단계 및 기판에 인접하게 플라즈마를 형성하도록 RF 에너지를 공급하는 단계를 포함한다. 소스 가스는 금속 및 할로겐을 포함하며, 반응 가스: 소스 가스 흐름비는 250:1 이하이다.According to another embodiment, the present invention provides a method of depositing a layer on a substrate on a heater in a chamber. The method comprises heating the heater to a temperature of at least about 400 ° C. or higher, maintaining a pressure between about 1-10 Torr in the chamber, introducing reactant and source gases into the chamber, and forming a plasma adjacent the substrate. Supplying RF energy. The source gas comprises a metal and a halogen, the reaction gas: source gas flow ratio is 250: 1 or less.

다른 실시예에 따르면, 본 발명은 약 400℃ 이상의 제 1 온도로 가열될 수 있는 히터를 가진 기판 가공 챔버에서 표면 위에 형성된 원치 않는 증착물을 세척하는 방법을 제공한다. 상기 방법은 챔버에서 약 400℃ 이상의 온도로 히터는 유지하는 단계, 약 0.1-10Torr 사이의 압력으로 챔버를 유지하는 단계, 반응가스 및 소스 가스를 챔버로 유입시키는 단계 및 기판에 인접하게 플라즈마를 형성하도록 RF 에너지를 공급하는 단계를 포함한다. 플라즈마는 챔버내의 표면으로부터 원치 않는 증착물을 세척하는 염소류(species)를 포함한다.According to another embodiment, the present invention provides a method of cleaning unwanted deposits formed on a surface in a substrate processing chamber having a heater that can be heated to a first temperature of about 400 ° C. or higher. The method includes maintaining a heater at a temperature above about 400 ° C. in the chamber, maintaining the chamber at a pressure between about 0.1-10 Torr, introducing reactant and source gases into the chamber, and forming a plasma adjacent the substrate. Supplying RF energy so as to. The plasma contains chlorine that cleans unwanted deposits from the surface in the chamber.

이러한 본 발명의 실시예는 이하 첨부된 도면을 참조로 설명된다.This embodiment of the present invention is described below with reference to the accompanying drawings.

I.CVD 반응기 시스템 I. CVD reactor system

A.전형적인 CVD 반응기의 개요 A. Overview of a Typical CVD Reactor

본 발명의 전형적인 실시예는 약 400℃ 이상의 온도의 부식성 플라즈마 환경에서 막(티타늄 막과 같은)을 증착하기 위해 사용되는 시스템, 방법 및 장치와 이와 관련된 세척 공정이다. 물론, 이하에 기술되는 시스템, 방법 및 장치는 티타늄 실리사이드, 티타늄 질화물, 바륨 스트론튬 티타네이트(BST), 납 지르코네이트 티타네이트(PST), 폴리실리콘, 금속 실리사이드, 텅스텐 질화물과 같은 금속 질화물 배리어와 같은 막 또는 다른 막과 티타늄 막을 증착하는데 사용될 수 있다. 이러한 막은 금속층, 부착층, 비아(via) 플러그 또는 다른 층을 형성하는데 사용될 수 있다.Typical embodiments of the present invention are systems, methods, and apparatus and associated cleaning processes used to deposit films (such as titanium films) in corrosive plasma environments at temperatures of about 400 ° C. or higher. Of course, the systems, methods and devices described below include metal nitride barriers such as titanium silicide, titanium nitride, barium strontium titanate (BST), lead zirconate titanate (PST), polysilicon, metal silicides, tungsten nitride and the like. It can be used to deposit the same film or another film and a titanium film. Such films may be used to form metal layers, adhesion layers, via plugs or other layers.

도 1a를 참조하면, CVD 시스템(10)은 가스 전달 시스템(89)으로부터 가스 라인(92A-C)(다른 라인이 존재하나 도시되지 않음)을 통해 가스를 수신하는 반응기 챔버(30)를 포함한다. 진공챔버(88)는 챔버내에 특정 압력을 유지하여 챔버로부터 가스 부산물 및 소모 가스를 제거하기 위하여 사용된다. RF 전원(5)은 플라즈마 보강 처리를 위한 챔버에 무선주파수 전력를 제공한다. 액체 열교환 시스템(6)은 반응기 챔버로부터 열을 제거하여 챔버의 임의의 부분을 안정한 처리 온도를 위한 적정 온도로 유지하기 위하여 물 또는 물-글리콜 혼합물과 같은 액체 열교환 매체를 사용한다. 프로세서(85)는 제어라인(3, 3A-D)(라인의 일부만이 도시됨)을 통해 메모리(86)내에 저장된 명령에 따라 챔버 및 서브-시스템의 동작을 제어한다.Referring to FIG. 1A, CVD system 10 includes a reactor chamber 30 that receives gas from gas delivery system 89 through gas lines 92A-C (other lines exist but are not shown). . The vacuum chamber 88 is used to remove gaseous by-products and exhaust gases from the chamber by maintaining a certain pressure within the chamber. The RF power supply 5 provides radiofrequency power to the chamber for the plasma reinforcement process. The liquid heat exchange system 6 uses a liquid heat exchange medium, such as water or a water-glycol mixture, to remove heat from the reactor chamber to keep any portion of the chamber at an appropriate temperature for a stable treatment temperature. Processor 85 controls the operation of the chamber and sub-system in accordance with instructions stored in memory 86 via control lines 3, 3A-D (only a portion of the line is shown).

프로세서(85)는 프로세서(85)는 시스템 제어 소프트웨어를 실행하며, 이 소프트웨어는 프로세서(85)에 접속된 메모리(86)에 저장된 컴퓨터 프로그램이다. 바람직하게, 메모리(86)는 하드 디스크 드라이브일 수 있으나, 메모리(86)는 다른 종류의 메모리일 수 있다. 하드 디스크 드라이브(예를들어, 메모리(86))외에, 바람직한 실시예에 있어서의 CVD 장치(10)는 플로피 디스크 드라이브 및 카드 래크를 포함한다. 프로세서(85)는 시스템 제어 소프트웨어의 제어하에서 동작하며, 이 시스템 제어 소프트웨어는 타이밍, 가스 혼합물, 가스 흐름, 챔버 압력, 챔버 온도, RF 전력 레벨, 히터 페데스탈 위치, 히터 온도 및 특정 처리의 다른 파라미터를 명령하는 명령 세트를 포함한다. 디스크 드라이브 및 다른 적절한 드라이브에 삽입된 플로피 디스크 또는 다른 컴퓨터 프로그램 제품을 포함하는 다른 메모리에 저장된 것과 같은 다른 컴퓨터 프로그램은 처리(85)를 동작시키기 위하여 사용될 수 있도 있다. 시스템 제어 소프트웨어는 이하에서 더 상세히 기술될 것이다. 카드 래크는 단일 보드 컴퓨터, 아날로그 디지털 입력/출력 보드, 인터페이스 보드 및 스텝모터 제어기 보드를 포함한다. CVD 장치(10)의 여러 부분은 보드, 카드 케이지 및 커넥터 크기 및 형태를 한정하는 베사 모듈라 유럽(VME) 표준에 따른다. VME 표준은 또한 16-비트 데이터 버스 및 24-비트 어드레스 버스를 가진 버스 구조를 한정한다.Processor 85 executes system control software, which is a computer program stored in memory 86 connected to processor 85. Preferably, memory 86 may be a hard disk drive, but memory 86 may be another type of memory. In addition to the hard disk drive (e.g., memory 86), the CVD apparatus 10 in the preferred embodiment includes a floppy disk drive and a card rack. Processor 85 operates under the control of system control software, which controls timing, gas mixture, gas flow, chamber pressure, chamber temperature, RF power level, heater pedestal position, heater temperature, and other parameters of a particular process. Contains the instruction set to instruct. Other computer programs, such as those stored in a disk drive and other memory including floppy disks or other computer program products inserted into other suitable drives, may be used to operate the process 85. System control software will be described in more detail below. Card racks include single board computers, analog digital input / output boards, interface boards, and step motor controller boards. Various parts of the CVD apparatus 10 comply with the Besa Modular European (VME) standard, which defines board, card cage and connector sizes and shapes. The VME standard also defines a bus structure with a 16-bit data bus and a 24-bit address bus.

사용자 및 프로세서(85)사이의 인터페이스는 다중챔버 시스템에서 챔버중 하나로써 기술되는 시스템 모니터 및 CVD 장치(10)의 단순화된 도면인 도 1b에 도시된 CRT 모니터(93a) 및 라이트 펜(93b) 이다. CVD 장치(10)는 장치(10)에 대한 전기 플러밍(plumbing) 및 다른 지지 기능을 제공하는 메인프레임 유니트(95)에 바람직하게 부착된다. CVD 장치(10)의 실시예에 적용가능한 전형적인 메인프레임 유니트는 캘리포니아 산타클라라에 위치한 어플라이드 머티어리얼스, 인코포레티드사에 의해 상표명 Precision 5000 및 Centura 5200 시스템으로 현재 시판된다. 다중챔버 시스템은 진공을 파괴시키지 않고 다중챔버 시스템 외부의 습기 또는 다른 오염물에 웨이퍼를 노출시키지 않고 챔버 사이에 웨이퍼를 전달하는 능력을 가진다. 다중챔버 시스템의 장점은 다중챔버 시스템중 여러 챔버가 전체 공정에서 여러 목적을 위해 사용될 수 있다는 것이다. 예를 들어, 하나의 챔버는 금속 막의 증착을 위해 사용될 수 있으며, 다른 챔버는 빠른 열처리를 위해 사용될 수 있으며, 또 다른 챔버는 비반응 층을 증착하는데 사용될 수 있다. 상기 처리는 다중챔버 시스템내에서 계속되어, 여러 다른 처리를 위한 여러 개별 챔버(다중챔버 시스템에 존재하지 않음) 사이에서 웨이퍼를 전달할때 종종 발생하는 웨이퍼의 오염을 방지한다.The interface between the user and the processor 85 is the CRT monitor 93a and light pen 93b shown in FIG. 1B, which is a simplified view of the system monitor and CVD apparatus 10 described as one of the chambers in a multichamber system. . The CVD apparatus 10 is preferably attached to a mainframe unit 95 that provides electrical plumbing and other support functions for the apparatus 10. Typical mainframe units applicable to embodiments of the CVD apparatus 10 are currently marketed under the trade names Precision 5000 and Centura 5200 systems by Applied Materials, Inc., located in Santa Clara, California. Multichamber systems have the ability to transfer wafers between chambers without breaking the vacuum and exposing the wafer to moisture or other contaminants outside the multichamber system. The advantage of a multichamber system is that multiple chambers of the multichamber system can be used for different purposes in the overall process. For example, one chamber may be used for the deposition of a metal film, another chamber may be used for rapid heat treatment, and another chamber may be used to deposit the unreacted layer. The process continues in a multichamber system to prevent wafer contamination, which often occurs when transferring wafers between different individual chambers (not present in a multichamber system) for different processing.

바람직한 실시예에 있어서, 두 개의 모니터(93a)가 사용되는데, 두 개의 모니터중 한 모니터는 세척방 벽내에 장착되며 다른 모니터는 서비스 기술자를 위해 벽 뒤에 배치된다. 양 모니터(93a)는 동시에 동일한 정보를 디스플레이하나, 단지 하나의 라이트 펜만이 사용될 수 있다. 라이트 펜(93b)은 펜의 팁에 있는 광 센서에 의해 CRT 디스플레이에 의해 방사된 광을 검출한다. 특정 스크린 또는 기능을 선택하기 위하여, 오퍼레이터는 디스플레이 스크린의 지정된 영역을 접촉한 다음 펜(93B)상의 버튼을 누른다. 접촉된 영역은 가장 밝은 색을 변화시켜, 새로운 메뉴 또는 스크린은 라이트 펜 및 디스플레이 스크린 사이의 통신에 따라 디스플레이된다. 물론, 키보드, 마우스 또는 다른 지시 또는 통신 장치와 같은 다른 장치는 사용자가 프로세서(85)와 통신할 수 있도록 라이트 펜(93b) 대신에 사용될 수 있다.In a preferred embodiment, two monitors 93a are used, one of the two monitors mounted within the wall of the cleaning room and the other monitor placed behind the wall for the service technician. Both monitors 93a display the same information at the same time, but only one light pen can be used. The light pen 93b detects light emitted by the CRT display by the light sensor at the tip of the pen. To select a particular screen or function, the operator touches a designated area of the display screen and then presses a button on pen 93B. The contacted area changes the brightest color so that a new menu or screen is displayed according to the communication between the light pen and the display screen. Of course, other devices such as a keyboard, mouse or other pointing or communication device may be used in place of the light pen 93b to allow the user to communicate with the processor 85.

도 1a를 참조하면, 가스 전달 시스템(89)은 특정 응용을 위해 사용되는 적정 처리에 따라 변화할 수 있는 가스, 액체(TiCl4와 같은) 또는 고체(TiI3와 같은)를 포함하는 가스, 액체 또는 고체 소스(91A-C)(추가 소스는 필요에 따라 부가될 수 있다)와 가스공급 패널(90)을 포함한다. 일반적으로, 각각의 처리가스를 위한 공급라인은 처리가스의 흐름을 자동 또는 수동으로 차단하는데 사용될 수 있는 차단 밸브(도시안됨)와, 각각의 공급라인을 통해 가스 또는 액체의 흐름을 측정하는 매스 흐름 제어기(도시안됨)를 포함한다. 예를 들어 티타늄 테트라클로라이드(TiCl4) 증기, 헬륨(He), 아르곤 및 질소(N2)를 포함하는 처리 및 캐리어 가스 및/또는 다른 도판트 또는 반응 소스가 반응챔버(30)에 공급되는 비율은 온도에 기초한 액체 또는 가스 매스 흐름 제어기(MFC)(도시안됨)에 의해 및/또는 밸브(도시안됨)에 의해 제어된다. 물론, 다른 화합물이 증착 및 세척 소스로서 사용될 수도 있다. 다른 실시예에 있어서, 처리 및 캐리어 가스가 반응챔버(30)에 공급되는 비율은 압력에 기초한 고정 또는 가변 개구부에 의해 제어될 수 있다. 유독 가스(예를들어, 오존 또는 할로겐화 가스)가 처리에 사용될때, 여러 차단밸브는 종래 구조의 각 가스 공급라인 상에 배치될 수 있다. 가스공급 패널(90)은 소스(91A-C)로부터 증착 처리 및 캐리어 가스(또는 기화된 액체)를 수신하고 혼합하여 공급라인(92A-C)을 통해 가스공급 커버 플레이트(45)의 중앙 가스 인입구(44)에 전달하는 혼합 시스템을 가진다. 특정 실시예에 있어서, 혼합 시스템, 혼합 시스템에 대한 입력 매니폴드 및 혼합 시스템으로부터 중앙 인입구(44)로의 출력 매니폴드는 니켈 도금 알루미나와 같은 재료 또는 니켈로 만들어 질 수 있다.Referring to FIG. 1A, gas delivery system 89 is a gas, liquid comprising gas, liquid (such as TiCl 4 ) or solid (such as TiI 3 ) that may vary depending on the appropriate treatment used for a particular application. Or solid source 91A-C (additional sources may be added as needed) and gas supply panel 90. In general, the supply line for each process gas includes a shutoff valve (not shown) that can be used to automatically or manually block the flow of process gas, and a mass flow that measures the flow of gas or liquid through each supply line. It includes a controller (not shown). For example, the treatment and carrier gas and / or other dopant or reaction sources comprising titanium tetrachloride (TiCl 4 ) vapor, helium (He), argon and nitrogen (N 2 ) are supplied to the reaction chamber 30. Is controlled by a liquid or gas mass flow controller (MFC) (not shown) and / or by a valve (not shown) based on temperature. Of course, other compounds may also be used as deposition and cleaning sources. In another embodiment, the rate at which treatment and carrier gas is supplied to the reaction chamber 30 may be controlled by a fixed or variable opening based on pressure. When toxic gases (eg ozone or halogenated gases) are used for the treatment, several shut-off valves can be arranged on each gas supply line of conventional construction. The gas supply panel 90 receives and mixes the deposition process and the carrier gas (or vaporized liquid) from the sources 91A-C, and feeds the central gas inlet of the gas supply cover plate 45 through the supply lines 92A-C. Have a mixing system to pass to 44. In certain embodiments, the mixing system, the input manifold for the mixing system, and the output manifold from the mixing system to the central inlet 44 may be made of nickel or a material such as nickel plated alumina.

액체 소스가 사용될 때, CVD 시스템에서 액체 소스를 사용하여 소스 가스를 유입하기 위하여 여러 방법이 사용되었다. 여러 방법중 한 방법은 진공압력이 증착 처리를 위해 충분한 기화된 소스의 안정한 흐름을 제공하도록 앰플내에 액체를 한정하여 가열하는 것이다. 앰플은 전형적으로 액체로 채워지지 않고 저장소로써 사용되는 액체에 대한 헤드 스페이스를 가진다. 증기 압력이 액체의 온도를 따르기 때문에, 액체 소스의 정밀한 온도 제어는 중요하다. 매스 흐름 제어기(MFC)는 챔버로의 소스 가스의 출력을 제어하기 위하여 사용될 수 있다.When a liquid source is used, several methods have been used to introduce the source gas using the liquid source in a CVD system. One of several methods is to confine and heat the liquid in the ampoule so that the vacuum pressure provides a stable flow of sufficient vaporized source for the deposition process. Ampoules are typically not filled with liquid and have head space for the liquid used as the reservoir. Since the vapor pressure follows the temperature of the liquid, precise temperature control of the liquid source is important. Mass flow controller (MFC) can be used to control the output of the source gas to the chamber.

액체 소스를 사용하여 소스 가스를 유입하는 다른 방법은 액체를 통해 헬륨과 같은 캐리어 가스를 버블링하는 것이다. 캐리어 가스는 액체에 헤드 압력을 제공하여 챔버 하부로 증기를 운반한다. 액체는 일정한 증기 부분압력을 유지하기 위하여 온도 제어될 수 있다. 일정한 온도가 히터만을 사용하여 유지될 수 있도록, 앰플이 배치되는 환경의 가장 높게 예상되는 주위 온도 이상으로 액체를 가열하는 것은 바람직하다. 전술한 것처럼, MFC는 챔버에 캐리어 가스/증기 혼합물을 제어하기 위하여 사용될 수 있다. 열적 매스 전달의 원리로 동작하며 전형적으로 특정 가스로 조절되는 MFC를 사용하는 다른 실시예에 있어서, 압력조절 장치는 챔버로의 소스 가스의 출력을 제어하기 위하여 사용될 수 있다. 이러한 한 압력조절 장치는 가스 흐름을 조절하는 개구부 또는 구멍이며, 구멍의 한측면에서 고압력이 유지되게 한다. 챔버(출력) 압력, 버블러 가스 흐름 및 액체 온도를 조절함으로써, 고정된 구멍은 액체에 대해 일정한 압력을 유지하여 소스 가스에서는 일정한 증기 농도를 유지할 수 있다. 기술의 변형으로써, 소량의 가스를 액체에 대한 헤드 스페이스에 제공하는 아르곤과 같은 추가 가스 소스는 예를들어 액체의 온도와 같은 파라미터의 변화에도 불구하고 헤드 압력을 유지하기 위하여 이용될 수 있다. 압력이 일정하게 유지된 가스는 소스 출력상에 MFC 또는 구멍중 하나를 통합한 소스에 사용될 수 있다.Another method of introducing a source gas using a liquid source is to bubble a carrier gas such as helium through the liquid. The carrier gas provides head pressure to the liquid to carry the vapor down the chamber. The liquid can be temperature controlled to maintain a constant steam partial pressure. It is desirable to heat the liquid above the highest expected ambient temperature of the environment in which the ampoule is placed so that a constant temperature can be maintained using only a heater. As mentioned above, MFCs can be used to control the carrier gas / vapor mixture in the chamber. In another embodiment that uses an MFC that operates on the principle of thermal mass transfer and is typically regulated with a particular gas, a pressure regulating device can be used to control the output of the source gas to the chamber. One such pressure regulating device is an opening or aperture that regulates gas flow and allows high pressure to be maintained on one side of the aperture. By adjusting the chamber (output) pressure, bubbler gas flow and liquid temperature, the fixed apertures can maintain a constant pressure on the liquid to maintain a constant vapor concentration in the source gas. As a variant of the technique, an additional gas source, such as argon, which provides a small amount of gas to the head space for the liquid, can be used to maintain the head pressure despite changes in parameters such as, for example, the temperature of the liquid. Gas with a constant pressure can be used for a source incorporating either an MFC or a hole on the source output.

다른 실시예에 있어서, 가스 혼합 시스템은 기화된 액체 소스로부터 챔버로 소스 가스를 제공하기 위하여 액체 주입 시스템을 포함할 수 있다. 액체 주입 시스템은 측정된 량의 액체를 캐리어 가스 스트림으로 기화한다. 동작하는 동안 이러한 형태의 시스템이 액체의 증기 압력에 따르지 않기 때문에, 액체는 가열될 필요가 없다. 액체 주입 시스템은 그것이 버블러형 소스에 비교하여 가스 혼합 시스템으로 유입되는 반응 액체의 량을 더 제어하기 때문에 몇몇의 예에서 바람직하다.In another embodiment, the gas mixing system may include a liquid injection system to provide a source gas from the vaporized liquid source to the chamber. The liquid injection system vaporizes the measured amount of liquid into the carrier gas stream. Since this type of system does not depend on the vapor pressure of the liquid during operation, the liquid does not need to be heated. A liquid injection system is preferred in some examples because it further controls the amount of reaction liquid entering the gas mixing system as compared to the bubbler source.

액체 열교환 시스템(6)은 고온 처리동안 적절한 온도로 챔버(30)의 여러 부품을 유지하기 위하여 이들 여러 소자에 액체를 전달한다. 이 시스템(6)은 고온 처리에 기인한 이들 부품상의 부적절한 증착을 최소화하기 위하여 이들 몇몇의 챔버 부품의 온도를 증가시킨다. 도 1a에 도시된 것처럼, 가스공급 커버 플레이트(45)내의 열교환 통로(79)는 열교환 액체가 가스공급 커버 플레이트(45)를 통해 순환할 수 있게 하여, 가스공급 커버 플레이트(45) 및 인접한 부품의 온도를 유지한다. 액체 열교환 시스템(6)은 면판(40)(도시안됨)을 포함하는 가스분배 시스템에 액체를 전달하기 위한 열교환 액체 매니폴드(도시안됨)를 통해 액체를 공급하는 접속부(도시안됨)를 포함한다. 물 흐름 검출기는 열 교환기(도시안됨)로부터 밀봉 어셈블리로의 물 흐름을 검출한다.The liquid heat exchange system 6 delivers liquid to these various elements to maintain the various components of the chamber 30 at a suitable temperature during the high temperature processing. This system 6 increases the temperature of some of these chamber parts in order to minimize improper deposition on these parts due to the high temperature treatment. As shown in FIG. 1A, the heat exchange passage 79 in the gas supply cover plate 45 allows the heat exchange liquid to circulate through the gas supply cover plate 45, thereby preventing the supply of the gas supply cover plate 45 and the adjacent parts. Maintain the temperature. The liquid heat exchange system 6 includes a connection (not shown) for supplying liquid through a heat exchange liquid manifold (not shown) for delivering liquid to a gas distribution system including a face plate 40 (not shown). The water flow detector detects water flow from the heat exchanger (not shown) to the seal assembly.

도 2는 챔버(30)의 다른 특징을 도시한 단면도이다. 저항성 가열 페데스탈(32)은 물 포켓(34)내에서 물(36)을 지지한다. 페데스탈(32)은 자체-정렬 리프트 메커니즘으로 명명되고 여기에서 참조로 사용되며 공동 양도된 미합중국 특허 출원번호 제 08/738,240호(1996년 10월 25에 출원되고 Leonid Selyutin 및 Jun Zhao에 의해 발명됨)에 상세히 기술되는 자체 조절 리프트 메커니즘을 사용하여 처리 위치(예를 들어, 도 2에 도시됨) 및 하부 로딩 위치 사이에서 수직으로 이동될 수 있다. 리프트 핀(38)은 페데스탈(32)내에서 활주 가능하나 그들의 상부 단부상의 원추형 헤드까지 하강된다. 리프트 핀(38)의 하부 단부는 수직으로 이동가능한 리프트 링(39)과 맞물릴 수 있어서 페데스탈 표면위로 상승될 수 있다. 하부 로딩 위치(개구부(56)보다 약간 낮은)의 페데스탈(32)에서, 리프트 핀 및 리프트 링과 상호 작용하는 로봇 블레이드(도시안됨)는 개구부(56)를 통해 챔버(30)내에 그리고 챔버(30)로부터 웨이퍼(36)를 전달하며, 상기 개구부(56)는 챔버 내외로의 가스의 흐름을 막기 위해 진공 밀봉될 수 있다. 리프트 핀(38)은 로봇 블레이드로부터 삽입된 웨이퍼(도시안됨)를 상승시키며, 페데스탈은 리프트 핀으로부터 페데스탈의 상부면상에 있는 웨이퍼 포켓상으로 웨이퍼를 상승시킨다. 적절한 로봇형 전달 어셈블리는 Maydan에게 허여되고 여기에서 참조로 사용되며 공동 양도된 미합중국 특허 제 4,951,601호에 개시된다.2 is a cross-sectional view showing another feature of the chamber 30. Resistive heating pedestal 32 supports water 36 in water pocket 34. Pedestal 32 is a self-aligned lift mechanism and is used herein by reference and is commonly assigned US Patent Application No. 08 / 738,240, filed on October 25, 1996 and invented by Leonid Selyutin and Jun Zhao It can be moved vertically between the processing position (eg shown in FIG. 2) and the lower loading position using a self-regulating lift mechanism described in detail in FIG. Lift pins 38 are slidable within pedestal 32 but lowered to the conical heads on their upper ends. The lower end of the lift pin 38 may engage a lift ring 39 that is vertically movable so that it can be raised above the pedestal surface. In the pedestal 32 in the lower loading position (slightly lower than the opening 56), the robot blade (not shown), which interacts with the lift pins and the lift ring, is introduced into the chamber 30 and through the opening 30 by way of the chamber 30. Transfers the wafer 36 from, and the opening 56 may be vacuum sealed to prevent the flow of gas into and out of the chamber. The lift pin 38 raises the wafer (not shown) inserted from the robot blade, and the pedestal lifts the wafer from the lift pin onto the wafer pocket on the top surface of the pedestal. Suitable robotic delivery assemblies are disclosed in US Pat. No. 4,951,601, issued to Maydan and used herein by reference.

자체-정렬 리프트 메커니즘을 사용함으로써, 페데스탈(32)은 웨이퍼를 처리위치로 상승시키며, 상기 처리 위치는 가스 분배 면판(이하 샤워헤드라 함)(40)에 근접해 있다. 처리가스는 가스공급 커버 플레이트(45)의 중앙 가스 인입구(44)를 통해 반응기(30)내에 주입되어, 제 1 디스크형 스페이스(48), 배플 플레이트(또는 가스 폐쇄 플레이트)(62)의 통로(51), 제 2 디스크형 스페이스(54) 및 샤워헤드(40)로 흐른다. 샤워헤드(40)는 처리가스를 처리영역(58)에 분사하는 다수의 홀 또는 통로(42)를 포함한다.By using a self-aligned lift mechanism, pedestal 32 raises the wafer to the processing position, which is in close proximity to the gas distribution faceplate (hereinafter referred to as showerhead) 40. The process gas is injected into the reactor 30 through the central gas inlet 44 of the gas supply cover plate 45 to pass through the passage of the first disc-shaped space 48 and the baffle plate (or gas closing plate) 62. 51, the second disc-shaped space 54 and the showerhead 40. The showerhead 40 includes a plurality of holes or passages 42 for injecting processing gas into the processing region 58.

화살표로 표시된 바와같이, 처리가스는 처리영역(58)의 홀(42)로부터 샤워헤드 및 페데스탈 사이의 처리영역(58)으로 분사되어 웨이퍼(36)의 표면에서 반응한다. 처리가스 부산물은 페데스탈(32)이 처리위치에 있을때 페데스탈(32)의 상부 주변상에 배치되는 흐름 제한링(46)(이하에서 더 상세히 기술됨)과 웨이퍼(36)의 에지를 가로질러 외부 방향으로 방사상으로 흐르게 된다. 그 다음에, 처리가스는 환형 절연체(52)의 하부 및 챔버 벽 라이너 어셈블리(53) 사이에 형성된 쵸크 개구부(50)를 통해 펌핑 채널(60)로 흐르게 된다. 펌핑 채널(60)로 흐를 때, 배출 가스는 진공 펌프(82)에 의해 진공되는 처리 챔버의 주변으로 전달된다. 펌핑 채널(60)은 배출 개구부(74)를 통해 펌핑 플리넘(76)에 접속된다. 이하에서 더 상세히 기술된 바와같이, 배출 개구부(74)는 펌핑 채널 및 펌핑 플리넘 사이의 흐름을 제한한다. 밸브(78)는 배기 구멍(80)에서 진공펌프(82)로의 통로이다. 드로틀 밸브(83)는 메모리에 저장되며 제어 프로그램에 따라 발생되는 적절한 값과 마노미터와 같은 압력 센서(도시안됨)로부터의 측정신호를 비교하는 메모리에 저장된 압력 제어 프로그램에 따라 시스템 제어기(도시안됨)에 의해 제어된다.As indicated by the arrow, the processing gas is injected from the hole 42 of the processing region 58 into the processing region 58 between the showerhead and the pedestal to react on the surface of the wafer 36. Process gas by-products are directed outwardly across the edge of the wafer 36 and the flow restriction ring 46 (described in more detail below) disposed on the upper periphery of the pedestal 32 when the pedestal 32 is in the processing position. Radially. The process gas then flows into the pumping channel 60 through the choke opening 50 formed between the bottom of the annular insulator 52 and the chamber wall liner assembly 53. When flowing into the pumping channel 60, the exhaust gas is delivered to the periphery of the processing chamber which is vacuumed by the vacuum pump 82. Pumping channel 60 is connected to pumping plenum 76 via outlet opening 74. As described in more detail below, the outlet opening 74 restricts the flow between the pumping channel and the pumping plenum. The valve 78 is a passage from the exhaust hole 80 to the vacuum pump 82. The throttle valve 83 is stored in the memory and in the system controller (not shown) according to the pressure control program stored in the memory, which compares the measured value from the pressure sensor (not shown) with the appropriate value generated according to the control program. Is controlled by

환형 펌핑 채널(60)의 측면은 일반적으로 세라믹 링(64), 챔버 리드 라이너(70), 챔버 벽 라이너(72) 및 절연체(52)에 의해 한정된다. 세라믹 챔버 라이너는 Robertson 등에게 허여되고 여기에서 참조로 사용되며 공동 양도된 미합중국 특허 제 5,366,585호에 개시된 바와 같이 공지되어 있다. 챔버 리드 라이너(70)는 리드 림(66)과 맞선 펌핑 채널(60)의 측면상에 배치되며 리드의 형상을 따른다. 챔버 벽 라이너(72)는 주 챔버 몸체(11)와 맞선 펌핑 채널(60)의 측면상에 배치된다. 양 라이너는 바람직하게 알루미늄과 같은 금속으로 만들어지며, 임의의 막의 부착을 증가시키는 비이드일 수 있다. 리드 및 벽 챔버 라이너(70, 72)는 한 세트로서 크기가 정해진다. 챔버 리드 라이너(70)는 리드 라이너를 리드 림에 전기적으로 접속하는 다수의 핀(75)에 의해 리드 림(66)에 부착 가능하게 고정된다. 그러나, 챔버 벽 라이너(72)는 세라믹 링(64)의 외부 상부상에 형성된 레지(65)상에 지지되며, 방사 갭(73)이 챔버 벽 라이너(72) 및 주챔버 몸체(11)사이에 형성되고 축 갭(75)이 리드 및 챔버 라이너사이에 형성되도록 직경을 가진다. 환형인 쵸크 개구부(50)는 절연체(52) 및 흐름 제한링(46) 사이에 형성된다.Sides of the annular pumping channel 60 are generally defined by ceramic rings 64, chamber lead liners 70, chamber wall liners 72, and insulators 52. Ceramic chamber liners are known as disclosed in US Pat. No. 5,366,585 to Robertson et al., Incorporated herein by reference and commonly assigned. The chamber lead liner 70 is disposed on the side of the pumping channel 60 against the lead rim 66 and follows the shape of the lead. The chamber wall liner 72 is disposed on the side of the pumping channel 60 against the main chamber body 11. Both liners are preferably made of metal, such as aluminum, and may be beads that increase the adhesion of any film. Lead and wall chamber liners 70 and 72 are sized as a set. The chamber lead liner 70 is attachably secured to the lead rim 66 by a plurality of pins 75 electrically connecting the lead liner to the lead rim. However, the chamber wall liner 72 is supported on a ledge 65 formed on the outer top of the ceramic ring 64, with a radial gap 73 between the chamber wall liner 72 and the main chamber body 11. And have a diameter such that an axial gap 75 is formed between the lid and the chamber liner. An annular choke opening 50 is formed between the insulator 52 and the flow restriction ring 46.

쵸크 개구부(50)는 사실상 샤워헤드(40) 및 웨이퍼(36) 사이의 처리영역(58)의 깊이보다 좁은 폭을 가지며, 예를 들어 적어도 5의 인자만큼 원주 펌핑 채널(60)의 최소 측면 직경보다 사실상 작다. 동작 압력 및 가스 흐름에서 충분한 공기 저항을 만들기 위해 쵸크 개구부(50)의 폭은 충분히 작게 만들지고 길이는 충분히 길게 만들어져서, 쵸크 개구부(50)에서의 압력 강하는 웨이퍼 반경 또는 환형 펌핑 채널의 주변에서의 전압 강하보다 사실상 크다. 실제적으로, 펌핑 챔버내에 있는 웨이퍼의 중앙으로부터의 압력 강하가 펌핑 채널내의 주변 압력강하의 10% 이하이도록 쵸크 개구부(50)가 충분한 공기 임피던스를 유입하는 것은 통상적이지 않다. 압축된 배출 개구부는 공기 임피던스를 만들고 원주 펌핑 채널(60) 둘레에 거의 균일한 압력을 발생시킴으로써 쵸크 개구부의 기능과 유사한 기능을 수행한다.The choke opening 50 has in fact a width narrower than the depth of the treatment area 58 between the showerhead 40 and the wafer 36, for example by the minimum side diameter of the circumferential pumping channel 60 by a factor of at least five. More practically smaller. The width of the choke opening 50 is made small enough and the length is made long enough to make sufficient air resistance at operating pressure and gas flow so that the pressure drop at the choke opening 50 is around the wafer radius or around the annular pumping channel. Is actually greater than the voltage drop. In practice, it is not common for the choke opening 50 to introduce sufficient air impedance such that the pressure drop from the center of the wafer in the pumping chamber is less than or equal to 10% of the ambient pressure drop in the pumping channel. The compressed outlet opening performs a function similar to that of the choke opening by creating an air impedance and generating a nearly uniform pressure around the circumferential pumping channel 60.

모터 및 광학 센서(도시안됨)는 드로틀 밸브(83) 및 페데스탈(32)과 같은 이동가능한 기계적인 어셈블리의 위치를 이동하고 결정하기 위하여 사용된다. 페데스탈(32) 및 챔버 몸체(11)에 부착된 벨로우(도시안됨)는 페데스탈 주위에 이동가능한 가스 밀봉부를 형성한다. 광학 원격 플라즈마 시스템(4)(예를들어 마이크로파 소스를 사용하여 형성된 원격 플라즈마를 사용하는 챔버 세척 능력을 제공하기 위하여 사용될 수 있음)을 포함하는 플라즈마 시스템, 페데스탈 리프트 시스템, 모터 및 게이트 밸브와 다른 시스템 부품은 일부만이 도시된 제어 라인(3, 3A-D)상의 프로세서(85)에 의해 제어된다.Motors and optical sensors (not shown) are used to move and determine the position of the movable mechanical assembly, such as the throttle valve 83 and the pedestal 32. Bellows (not shown) attached to pedestal 32 and chamber body 11 form a gas seal that is movable around the pedestal. Plasma systems, pedestal lift systems, motors and gate valves and other systems, including an optical remote plasma system 4 (e.g., can be used to provide chamber cleaning capability using a remote plasma formed using a microwave source). The part is controlled by the processor 85 on the control lines 3, 3A-D, which are only partially shown.

도 3은 페데스탈(32), 라이너(70, 72), 절연체(52), 링(64) 및 펌핑 채널(60)의 단순화된 부분적 단면 투시도이다. 도 3은 샤워헤드(40)의 노즐(42)로부터 웨이퍼(36)쪽으로의 처리가스의 흐름과 웨이퍼(36)로부터의 방사상 흐름(84)을 도시한다. 그 다음에, 가스 흐름은 제한 링(46)의 상부상에서 펌핑 채널(60)로 위쪽으로 편향된다. 펌핑 채널(60)에서, 가스는 원주 경로(86)를 따라 진공 펌프쪽으로 흐르게 된다.3 is a simplified partial cross-sectional perspective view of pedestal 32, liner 70, 72, insulator 52, ring 64, and pumping channel 60. 3 shows a flow of process gas from the nozzle 42 of the showerhead 40 toward the wafer 36 and the radial flow 84 from the wafer 36. The gas flow is then deflected upwards into the pumping channel 60 on the top of the confinement ring 46. In the pumping channel 60, gas flows along the circumferential path 86 towards the vacuum pump.

펌핑 채널(60) 및 그것의 부품은 처리 가스 및 부산물을 배출 시스템으로 유입함으로써 부적절한 막증착 효과를 최소화하기 위하여 설계된다. 부적절한 증착을 감소시키기 위한 한 방법은 세라믹 부분, 히터 에지 및 후면과 같은 블랭킷 임계영역에서 가스를 사용하는 것이다. 다른 방법은 임계영역으로부터 멀리 반응가스의 흐름을 수행하도록 배출 시스템을 설계하는 것이다. 배출 흐름은 미세 가스 이동이 발생하는 사 영역(dead zone)을 형성할 수 있다. 이들 사 영역은 세척 가스 블랭킷에 접근하며, 이 블랭킷에서 사 영역은 반응가스를 치환하며 부적절한 증착을 감소시킨다.Pumping channel 60 and its components are designed to minimize the effects of inadequate film deposition by introducing process gases and by-products into the exhaust system. One way to reduce inadequate deposition is to use gas in blanket critical areas such as ceramic sections, heater edges and back surfaces. Another method is to design the exhaust system to carry the flow of reactant gas away from the critical zone. The exhaust stream may form a dead zone where fine gas movement occurs. These dead zones approach the cleaning gas blankets, where the dead zones replace the reactant gases and reduce inadequate deposition.

본 발명은 페데스탈 및 챔버의 다른 부분상에 부적절한 증착을 다른 방식으로 방지한다. 특히, 본 발명은 페데스탈로부터 챔버의 하부로의 가스 흐름을 최소로하기 위하여 흐름 제한링(46)을 이용한다. 본 발명의 실시예에 있어서, 티타늄 테트라클로라이드를 사용하는 티타늄의 증착(이하에서 더 상세히 설명됨)은 티타늄을 가진 다른 막을 형성하는 종래 증착 시스템에서 사용되는 종래 방법보다 훨씬 높은 흐름 속도를 가진다. 예를 들어, 티타늄 증착공정은 약 15리터/분의 흐름 속도를 필요로 할 수 있으며, 예를 들어 유사한 PECVD 시스템에서 테트라키스-디메디라미도-티타늄으로부터 티타늄 질화물층을 증착하는 것은 약 5리터/분의 흐름 속도를 필요로한다. 다른 실시예에 있어서, 제한 링은 페데스탈의 상부 및 에지의 부분을 덮어서, 임의의 부적절한 막은 페데스탈위 또는 챔버의 하부 대신에 링상에 증착된다. 다른 실시예에 있어서, 흐름 제한링은 웨이퍼의 에지상의 증착을 막기 위하여 웨이퍼의 에지상에서 약간 확장함으로써 에지 또는 새도우 링으로서 사용한다. 유리하게, 흐름 제한링은 이러한 높은 흐름 속도로 다른 방식으로 발생할 수 있는 부적절한 위험(그것과 연관된 위험)을 최소화하며, 이것은 세척 가스 흐름 및 사 영역 패턴을 바꿀 수 있다. 챔버 리드(66)는 세척을 위해 용이하게 제거되어, 화학 및/또는 기계적인 공정을 사용하여 전체적으로 세척될 수 있는 저가의 제한링에 접근할 수 있다.The present invention otherwise prevents improper deposition on the pedestal and other parts of the chamber. In particular, the present invention utilizes a flow restriction ring 46 to minimize gas flow from the pedestal to the bottom of the chamber. In an embodiment of the present invention, the deposition of titanium using titanium tetrachloride (described in more detail below) has a much higher flow rate than conventional methods used in conventional deposition systems to form other films with titanium. For example, a titanium deposition process may require a flow rate of about 15 liters / minute, for example depositing a titanium nitride layer from tetrakis-dimedramido-titanium in a similar PECVD system is about 5 liters. Requires a flow rate of / min. In another embodiment, the confinement rings cover portions of the top and edge of the pedestal such that any inappropriate film is deposited on the ring instead of on the pedestal or the bottom of the chamber. In another embodiment, the flow restriction ring is used as an edge or shadow ring by slightly expanding on the edge of the wafer to prevent deposition on the edge of the wafer. Advantageously, flow restricting minimizes the improper risk (risk associated with it) that can occur in other ways at this high flow rate, which can alter the cleaning gas flow and dead zone pattern. The chamber lid 66 is easily removed for cleaning, giving access to a low cost limit ring that can be cleaned entirely using chemical and / or mechanical processes.

흐름 제한링(46)은 전술한 쵸크 및 배출 개구부와 유사한 세라믹 링(64)을 가진 가스 개구부를 형성한다. 채널(61)은 흐름 제한링(46) 및 세라믹 링(64) 사이에 형성된다. 웨이퍼 페데스탈의 상부 및 하부에 있는 챔버 부분 사이의 가스 연통은 채널(61)의 길이 및 폭을 변화시킴으로써 제어될 수 있다.Flow restricting ring 46 forms a gas opening with a ceramic ring 64 similar to the choke and outlet openings described above. Channel 61 is formed between flow restricting ring 46 and ceramic ring 64. Gas communication between chamber portions at the top and bottom of the wafer pedestal can be controlled by varying the length and width of the channel 61.

흐름 제한링(46)은 특정 공정 및 그것과 연관된 증착 및 세척 공정에 따라 임의의 여러 재료로 만들어 질 수 있다. 링은 사용된 재료와 호환가능한 재료로 만들어져야 한다. 다른 고려할 사항은 페데스탈 및 웨이퍼의 에지로부터 열 손실을 증가 또는 감소시키기 위하여 선택될 수 있는 링의 열 도전성이다. 플라즈마 보강 공정에 있어서, 전기적 도전링은 플라즈마 형상을 바꿀 수 있으며, 또는 다른 챔버 부품 또는 웨이퍼에 아크를 허용한다. 티타늄 증착에 적절한 한 실시예에 있어서, 흐름 제한링(46)은 퓨징된(fused) 실리카로 만들어진다. 이는 이 재료가 비교적 낮은 열 도전성을 가지며 비도전적이기 때문이다. 다른 실시예에 있어서, 흐름 제한링은 링 재료가 증착된 층을 오염시키지 않기 때문에 티타늄 함유층에 대한 증착공정을 위해 티타늄으로 만들어질 수 있다.Flow restricting ring 46 may be made of any of a variety of materials depending on the particular process and deposition and cleaning processes associated therewith. The ring should be made of a material compatible with the material used. Another consideration is the thermal conductivity of the ring, which can be selected to increase or decrease heat loss from the pedestal and the edge of the wafer. In a plasma reinforcement process, the electrically conductive ring can change the plasma shape, or allow arcing to other chamber components or wafers. In one embodiment suitable for titanium deposition, the flow restriction ring 46 is made of fused silica. This is because this material has a relatively low thermal conductivity and is nonconductive. In another embodiment, the flow restriction ring can be made of titanium for the deposition process on the titanium containing layer because the ring material does not contaminate the deposited layer.

도 1a를 참조하면, 흐름 제한링(46)은 전술한 바와 같이 처리동안 페데스탈(32)에 의해 지지된다. 페데스탈이 웨이퍼를 로딩 및 언로딩시키기 위해 하강될때, 제한링은 레지(69)의 세라믹 링(64)상에 배치된다. 다음 웨이퍼를 지지하는 페데스탈이 처리 위치로 상승될 때, 페데스탈은 흐름 제한링을 픽업한다. 본 발명의 실시예에 따르는 티타늄 공정을 위해 챔버에 사용되는 압력에서, 중력은 페데스탈상에 웨이퍼(웨이퍼 포켓내에 배치됨) 및 제한링을 지지하기에 충분하다.Referring to FIG. 1A, the flow restriction ring 46 is supported by the pedestal 32 during processing as described above. When the pedestal is lowered to load and unload the wafer, the limit ring is placed on the ceramic ring 64 of the ledge 69. When the pedestal supporting the next wafer is raised to the processing position, the pedestal picks up the flow restriction ring. At the pressure used in the chamber for the titanium process according to an embodiment of the present invention, gravity is sufficient to support the wafer (placed in the wafer pocket) and the confinement rings on the pedestal.

도 4a-4e는 이러한 본 발명의 특징의 여러 실시예중 일부 실시예를 도시한다. 도 4a-4e에 도시된 다양한 특징은 다른 실시예에 따라 결합되거나 개별적으로 사용될 수 있다. 도 4a는 제한링(746)이 히터 어셈블리(33) 및 세라믹 라이너(764)사이의 갭을 채워서, 히터 어셈블리(33) 및 열 시일드(731)를 덮는 실시예를 도시한다. 도 4b는 열 시일드(231)가 히터 어셈블리(33)의 에지 둘레에 감기는 다른 실시예를 도시한다. 이 실시예의 다른 특징에 있어서, 세라믹 라이너(264)는 페데스탈(33)이 하강될 때 제한링(246)을 수용하기 위하여 레지(265)를 가진다. 도 4b에 도시된 실시예와 대조적으로, 도 4c는 세라믹 링 라이너(364)가 두꺼워서 히터 어셈블리의 에지쪽으로 더 연장되어, 히터 어셈블리 및 세라믹 라이너 사이의 갭(366)을 감소시키는 다른 실시예를 도시한다. 흐름 제한링(346)은 갭(366)에서 공간을 더 차지하며 히터 어셈블리(33)의 에지 및 퓨징된 실리카 열 시일드(331)를 덮기 위하여 연장된다. 도 4c의 링(346)의 내부 직경은 포켓 외부에서 페데스탈 에지를 차폐하기 위하여 웨이퍼 포켓의 에지에 인접한다. 도 4d는 제한링(446)의 내부직경이 웨이퍼(36)의 외부 직경과 중첩되는 실시예를 도시한다. 세척 가스 통로(도시안됨)는 히터 어셈블리(33) 아래에서 생성되는 세척 가스를 웨이퍼(36)의 에지에 전달하기 위하여 제한링(446)으로 통합될 수 있다. 도 4d의 실시예와 유사하게, 도 4e는 제한링(646)의 내부 직경이 웨이퍼(36)의 외부 에지를 중첩하도록 연장되어 제한링(646)이 에지 또는 새도우 링 뿐만 아니라 흐름 제한기로서 동작하는 실시예를 도시한다. 제한링(646)의 내부 직경이 웨이퍼(36)의 외부 에지를 중첩하도록 연장되는 거리는 다른 실시예에서 변화할 수 있다. 게다가, 링(646)은 웨이퍼/페데스탈 및 링(646) 사이에 스페이스를 제공하기 위하여 다중, 즉 3개의 보스(647)(안정성을 제공하기 위하여 상대적으로 균일하게 이격될 수 있는)를 가질 수 있어서, 링(646)의 일부분은 히터 페데스탈(33)과 접촉하지 않는다. 보스(647)는 웨이퍼 페데스탈 및 링(646)사이에 공간을 제공하기 위하여 환형 보스로 대체될 수 있다. 따라서 링(646)은 히터 페데스탈과 접촉하기 때문에 지나치게 가열되지 않으며, 링(646)상의 증착을 최소화한다. 본 실시예의 다른 특징은 페데스탈(33) 및 챔버 라이너(264) 사이의 링(646)의 정렬 및 안착을 용이하게 하는 링(646)의 테이퍼진 바닥부(648)에 있다. 테이퍼진 바닥부(648)의 테이퍼진 쪽은 각각 페데스탈(33) 및 라이너(264)가 안착중에 충돌에 의하여 파손되는 것을 방지한다. 일부 실시예에서, 단지 한쪽만 또는 양쪽이 테이퍼질 수 있다.4A-4E illustrate some of several embodiments of this feature of the invention. The various features shown in FIGS. 4A-4E can be combined or used separately in accordance with other embodiments. 4A illustrates an embodiment in which the confinement ring 746 fills the gap between the heater assembly 33 and the ceramic liner 764, covering the heater assembly 33 and the thermal shield 731. 4B shows another embodiment in which the heat shield 231 is wound around the edge of the heater assembly 33. In another feature of this embodiment, the ceramic liner 264 has a ledge 265 to accommodate the confinement ring 246 when the pedestal 33 is lowered. In contrast to the embodiment shown in FIG. 4B, FIG. 4C illustrates another embodiment in which the ceramic ring liner 364 is thicker to extend further towards the edge of the heater assembly, reducing the gap 366 between the heater assembly and the ceramic liner. do. Flow restricting ring 346 takes up more space in gap 366 and extends to cover the edge of heater assembly 33 and the fused silica heat shield 331. The inner diameter of the ring 346 of FIG. 4C is adjacent to the edge of the wafer pocket to shield the pedestal edge outside the pocket. 4D shows an embodiment where the inner diameter of the confinement ring 446 overlaps the outer diameter of the wafer 36. A cleaning gas passage (not shown) may be integrated into the confinement ring 446 to deliver cleaning gas generated under the heater assembly 33 to the edge of the wafer 36. Similar to the embodiment of FIG. 4D, FIG. 4E extends such that the inner diameter of the confinement ring 646 overlaps the outer edge of the wafer 36 such that the confinement ring 646 acts as a flow restrictor as well as an edge or shadow ring. An embodiment is shown. The distance by which the inner diameter of the confinement ring 646 extends to overlap the outer edge of the wafer 36 may vary in other embodiments. In addition, the ring 646 may have multiple, or three, bosses 647 (which may be relatively uniformly spaced to provide stability) to provide space between the wafer / pedestal and the ring 646. The portion of the ring 646 is not in contact with the heater pedestal 33. The boss 647 can be replaced with an annular boss to provide space between the wafer pedestal and the ring 646. Thus, the ring 646 is not overheated because it contacts the heater pedestal, minimizing deposition on the ring 646. Another feature of this embodiment is the tapered bottom 648 of the ring 646 that facilitates alignment and seating of the ring 646 between the pedestal 33 and the chamber liner 264. The tapered side of the tapered bottom 648 prevents the pedestal 33 and liner 264 from being broken by collision during mounting, respectively. In some embodiments, only one or both may be tapered.

도 4a-4e에 도시된 특정 실시예에 따른 흐름 제한링은 전체 챔버 체적을 감소시키는 이득을 제공하고 따라서 세척될 챔버내의 전체 영역을 감소시키고 가스의 잔류 시간을 감소시킨다. 또한, 흐름 제한링은 또한 챔버 바닥에서 페데스탈 하부의 챔버 내부로 공정 영역으로부터 가스가 흐르는 것을 최소화하여, 상기 영역에서 원치 않는 증착을 감소시키며, 따라서 건식 세척 효율을 개선하도록 한다.The flow restricting ring according to the particular embodiment shown in FIGS. 4A-4E provides the benefit of reducing the overall chamber volume, thus reducing the overall area within the chamber to be cleaned and reducing the residence time of the gas. In addition, the flow restriction ring also minimizes the flow of gas from the process area into the chamber below the pedestal at the bottom of the chamber, thereby reducing unwanted deposition in the area and thus improving dry cleaning efficiency.

전술한 CVD 장치의 일부 특징은 공동으로 양도된 미국특허출원 제 08/348,273호(1994년 11월 30일 출원되고 자오 등이 발명자임)에 상세히 설명된 전형적인 CVD 챔버와 공통이며, 상기 출원의 내용은 여기에 참조문으로 사용된다. 본 발명에 따른 CVD 장치(10)의 다른 특징은 이하에 상세히 설명된다.Some features of the above-described CVD apparatus are common to the typical CVD chamber described in detail in commonly assigned US patent application Ser. No. 08 / 348,273, filed Nov. 30, 1994, and Zhao et al. Is used as a reference here. Other features of the CVD apparatus 10 according to the present invention are described in detail below.

B.시스템 제어 B. System Control

박막을 증착하고 챔버를 건식 세척하기 위한 방법은 프로세서(85)에 의하여 수행되는 컴퓨터 프로그램 제품을 이용하여 수행될 수 있다. 컴퓨터 프로그램 코드는 예를 들어 68000어셈블리 언어, C, C++, 파스칼, 포트란 등과 같은 종래 컴퓨터 판독가능 프로그래밍 언어로 기록될 수 있다. 적합한 프로그램 코드는 종래 텍스트 에디터를 이용하여 단일 파일 또는 다중 파일로 입력되며 컴퓨터 메모리 시스템과 같은 컴퓨터 사용가능 매체에 저장 또는 수록된다. 상기 기입된 코드 텍스트가 고급 언어라면, 상기 코드는 컴파일링되고, 다음에 얻어진 컴파일링 코드는 미리 컴파일링된 라이브러리 루틴의 목적 코드와 링크된다. 상기 링크된 목적 코드를 실행하기 위하여, 시스템 사용자는 상기 목적 코드를 호출하여 컴퓨터 시스템이 상기 코드를 메모리에 로딩하도록 하는데, 상기 CPU가 프로그램에서 식별된 태스크(task)를 수행하기 위기 위하여 코드를 판독하고 실행한다.The method for depositing a thin film and dry cleaning the chamber may be performed using a computer program product performed by processor 85. Computer program code may be written in conventional computer readable programming languages such as, for example, 68000 assembly language, C, C ++, Pascal, Fortran, and the like. Suitable program code is entered into a single file or multiple files using conventional text editors and stored or stored on a computer usable medium such as a computer memory system. If the written code text is a high-level language, the code is compiled and the resulting compilation code is linked with the object code of a precompiled library routine. In order to execute the linked object code, a system user calls the object code to cause a computer system to load the code into memory, which reads the code in order for the CPU to perform the task identified in the program. And run

도 5는 특별한 실시예에 따른 시스템 제어 소프트웨어 컴퓨터 프로그램(160)의 계층적 제어 구조의 블록도를 도시한다. 라이트 펜 인터페이스를 사용하여, 사용자는 CRT 모니터 상에 표시된 메뉴 또는 스크린에 응답하여 처리 세트 번호와 처리 챔버 번호를 처리 선택 서브루틴(161)에 기입한다. 기입된 처리를 수행하는데 필요한 소정 처리 파라미터 세트인 상기 처리 세트는 소정 세트 번호에 의해 식별된다. 처리 선택 서브루틴(161)은 (ⅰ) 요구된 처리 챔버, 및 (ⅱ) 상기 요구된 처리를 수행하기 위해 처리 챔버를 동작하는데 필요한 요구된 파라미터 세트를 식별한다. 특별한 처리를 수행하기 위한 상기 처리 파라미터는 예를 들어 처리 가스 혼합과 흐름 속도, 온도, 고주파수 및 저주파수 RF 전력 레벨과 고주파수와 저주파수 RF 주파수 같은 플라즈마 조건, (부가적으로 원격 마이크로파 플라즈마 시스템을 갖춘 실시예에 대한 마이크로파 발생 전력 레벨) 냉각 가스 압력, 및 챔버 벽 온도와 같은 조건 처리에 관련한다. 처리 선택 서브루틴(161)은 어떤 타입의 처리(증착, 웨이퍼 세척, 챔버 세척, 챔버 게터링, 재흐름)가 어떤 시간에 챔버(30)에서 수행되는가를 제어한다. 일부 실시예에서, 하나 이상의 선택 서브루틴이 있을 수 있다. 상기 처리 파라미터는 사용자에게 영수증의 형태로 제공되고 라이트펜/CRT 모니터 인터페이스를 사용하여 기입될 수 있다.5 shows a block diagram of a hierarchical control structure of system control software computer program 160 according to a particular embodiment. Using the light pen interface, the user enters the process set number and process chamber number into the process selection subroutine 161 in response to a menu or screen displayed on the CRT monitor. The processing set, which is a predetermined processing parameter set required to perform the written processing, is identified by the predetermined set number. The process selection subroutine 161 identifies (i) the required processing chamber, and (ii) the required set of parameters needed to operate the processing chamber to perform the requested processing. The processing parameters for carrying out the special treatment are, for example, treatment gas mixing and flow rates, plasma conditions such as temperature, high and low frequency RF power levels and high and low frequency RF frequencies, (an embodiment with additionally a remote microwave plasma system. Microwave generated power level for) and cooling conditions such as gas pressure, and chamber wall temperature. The process selection subroutine 161 controls what type of processing (deposition, wafer cleaning, chamber cleaning, chamber gettering, reflow) is performed in the chamber 30 at what time. In some embodiments, there may be one or more selection subroutines. The processing parameters are provided to the user in the form of a receipt and can be filled in using a light pen / CRT monitor interface.

상기 처리를 모니터링하기 위한 신호는 상기 시스템 제어기의 아날로그 입력 보드와 디지털 입력 보드에 의해 제공되며, 상기 처리를 제어하기 위한 신호는 CVD 시스템(10)의 아날로그 출력 보드와 디지털 출력 보드 상의 출력이다.Signals for monitoring the process are provided by analog input boards and digital input boards of the system controller, and signals for controlling the process are outputs on the analog output board and the digital output board of the CVD system 10.

처리 시퀀서 서브루틴(162)은 상기 식별된 처리 챔버와 처리 선택 서브루틴(161)으로부터의 처리 파라미터 세트를 수용하고 여러 처리 챔버의 동작을 제어하기 위한 프로그램 코드를 포함한다. 다중 사용자가 처리 세트 번호와 처리 챔버 번호를 기입할 수 있고, 또는 단일 사용자가 다중 처리 세트 번호와 처리 챔버 번호를 기입할 수 있어 시퀀서 서브루틴(162)은 요구된 시퀀스내의 선택된 처리를 스케줄링하도록 동작한다. 바람직하게, 시퀀스 서브루틴(162)은 (ⅰ) 챔버가 사용되고 있다면 처리 챔버의 동작을 모니터링하고, (ⅱ) 어떤 처리가 사용되고 있는 챔버에서 수행되는가를 결정하며, (ⅲ) 처리의 유용성과 수행될 처리의 타입에 기초한 요구된 처리를 실행하는 단계를 수행하는 프로그램 코드를 포함한다. 상기 처리 챔버를 모니터링하는 일반적 방법, 이를테면 폴링(polling)이 사용될 수 있다. 수행될 수 있는 처리를 스케줄링할 때, 시퀀서 서브루틴(162)은 선택된 처리에 대해 요구된 조건, 또는 각각의 특별한 사용자 기입 요구서의 수명, 또는 스케줄링 우선 순위를 결정하기 위해 시스템 프로그래머가 포함하기를 요구하는 어떤 다른 관련 인자와 비교하여 사용되어지는 현재 처리 챔버의 조건을 고려하도록 디자인될 수 있다.Process sequencer subroutine 162 includes program code for receiving a set of process parameters from the identified process chamber and process selection subroutine 161 and for controlling the operation of various process chambers. Multiple users can enter treatment set numbers and treatment chamber numbers, or a single user can enter multiple treatment set numbers and treatment chamber numbers so that sequencer subroutine 162 operates to schedule selected processes in the required sequence. do. Preferably, the sequence subroutine 162 (i) monitors the operation of the processing chamber if the chamber is in use, (ii) determines which processing is performed in the chamber in which it is being used, and Program code for performing the step of executing the requested process based on the type of process. General methods of monitoring the processing chamber can be used, such as polling. When scheduling a process that can be performed, sequencer subroutine 162 requires the system programmer to include to determine the conditions required for the selected process, or the lifetime of each particular user write request, or scheduling priority. Can be designed to take into account the conditions of the current processing chamber being used in comparison with any other relevant factor.

시퀀서 서브루틴(162)이 다음에 실행되어야 하는 처리 챔버와 처리 세트 조합을 결정할 때, 상기 시퀀서 서브루틴(162)은 특별한 처리 세트 파라미터를 시퀀서 서브루틴(162)에 의해 결정된 처리 세트에 따라 처리 챔버(30)에서의 다중 프로세싱 작업을 제어하는 챔버 매니저 서브루틴(163a-c)에 전달함으로써 상기 처리 세트의 실행을 시작한다. 예를 들면, 상기 챔버 매니저 서브루틴(163b)은 처리 챔버(30)의 CVD 동작을 제어하기 위한 프로그램 코드를 포함한다. 또한 챔버 매니저 서브루틴(163b)은 상기 선택된 처리 세트를 실행하는데 필요한 여러 챔버 부품의 실행을 제어한다. 챔버 부품 서브루틴의 예는 기판 위치 설정 서브루틴(164), 처리 가스 제어 서브루틴(165), 압력 제어 서브루틴(166), 히터 제어 서브루틴(167), 및 플라즈마 제어 서브루틴(168)이다. 상기 CVD 챔버의 특정 조건에 의존하여, 일부 실시예는 상기 서브루틴 모두를 포함하는 반면, 다른 실시예는 상기 서브루틴의 일부만 포함한다. 당업자는 어떤 처리가 처리 챔버(30)에서 실행될 수 있는가에 의존하여 다른 챔버 제어 서브루틴이 포함될 수 있다는 것을 쉽게 알 수 있을 것이다. 동작중, 챔버 매니저 서브루틴(163b)은 실행되는 특별한 처리에 따라 처리 부품 서브루틴을 스케줄링하거나 호출한다. 챔버 매니저 서브루틴(163b)은 시퀀서 서브루틴(162)이 다음에 실행될 수 있는 처리 챔버(30)와 처리 세트를 스케줄링하는 것과 매우 유사하게 처리 부품 서브루틴을 스케줄링한다. 전형적으로, 챔버 매니저 서브루틴(163b)은 여러 챔버 부품을 모니터링하고, 실행될 처리 세트를 위한 처리 파라미터에 기초하여 동작되는데 필요한 부품을 결정하며, 상기 모니터링과 결정 단계에 응답하여 챔버 부품 서브루틴의 실행을 시작하는 단계를 포함한다.When the sequencer subroutine 162 determines the process chamber and process set combination that should be executed next, the sequencer subroutine 162 sets special process set parameters according to the process set determined by the sequencer subroutine 162. Execution of the processing set begins by passing it to chamber manager subroutines 163a-c that control multiple processing tasks at 30. For example, the chamber manager subroutine 163b includes program code for controlling the CVD operation of the processing chamber 30. Chamber manager subroutine 163b also controls the execution of the various chamber components needed to execute the selected process set. Examples of chamber component subroutines are substrate positioning subroutine 164, process gas control subroutine 165, pressure control subroutine 166, heater control subroutine 167, and plasma control subroutine 168. . Depending on the specific conditions of the CVD chamber, some embodiments include all of the subroutines, while others include only a portion of the subroutines. Those skilled in the art will readily appreciate that other chamber control subroutines may be included depending on which processing may be performed in the processing chamber 30. In operation, chamber manager subroutine 163b schedules or invokes a processing component subroutine depending on the particular processing executed. The chamber manager subroutine 163b schedules the processing component subroutines much like the sequencer subroutine 162 schedules processing chambers 30 and processing sets that can be executed next. Typically, chamber manager subroutine 163b monitors the various chamber components, determines the components needed to operate based on the processing parameters for the processing set to be executed, and executes the chamber component subroutine in response to the monitoring and determining step. Starting the step.

이제 특별한 챔버 부품 서브루틴의 동작이 도 5를 참조하여 기술될 것이다. 기판 위치 설정 서브루틴(164)은 기판을 페데스탈(32) 상에 로딩하고, 임의로 기판과 샤워헤드(40) 사이의 공간을 제어하기 위하여 챔버(3)의 요구된 높이로 기판을 리프팅하는데 사용되는 챔버 부품을 제어하기 위한 프로그램 코드를 포함한다. 기판이 처리 챔버(30)내로 로딩될 때, 히터 어셈블리(33)는 웨이퍼 포켓(34)에 상기 기판을 수용하기 위하여 하강되고, 다음에 상기 요구된 높이까지 상승된다. 동작중, 기판 위치설정 서브루틴(164)은 챔버 매니저 서브루틴(163b)으로부터 전달되는 지지 높이에 관련된 처리 세트 파라미터에 응답하여 페데스탈(32)의 이동을 제어한다.The operation of the particular chamber component subroutine will now be described with reference to FIG. 5. Substrate positioning subroutine 164 is used to load the substrate onto the pedestal 32 and optionally lift the substrate to the required height of the chamber 3 to control the space between the substrate and the showerhead 40. Program code for controlling the chamber components. When the substrate is loaded into the processing chamber 30, the heater assembly 33 is lowered to receive the substrate in the wafer pocket 34 and then raised to the required height. In operation, the substrate positioning subroutine 164 controls the movement of the pedestal 32 in response to process set parameters related to the support height delivered from the chamber manager subroutine 163b.

처리 가스 제어 서브루틴(165)은 처리 가스 구성과 흐름 속도를 제어하기 위한 프로그램 코드를 가진다. 처리 가스 제어 서브루틴(165)은 안전 차단 밸브의 개방/밀폐 위치를 제어하며, 또한 요구된 가스 흐름 속도를 달성하기 위하여 유량 제어기를 램핑 업/다운 한다. 처리 가스 제어 서브루틴(165)은 모든 챔버 부품 서브루틴이 그렇듯이 상기 챔버 매니저 서브루틴(163b)에 의해 호출되며, 상기 챔버 매니저로부터 요구된 가스 흐름 속도에 관련된 서브루틴 처리 파라미터를 수신한다. 전형적으로, 처리 가스 제어 서브루틴(165)은 상기 가스 공급 라인을 개방하고 반복적으로 (ⅰ) 필요한 매스 흐름 제어기를 판독하고, (ⅱ) 챔버 매니저 서브루틴(163b)으로부터 수신된 요구된 흐름 속도와 판독값을 비교하며, (ⅲ) 필요에 따라 가스 공급 라인의 흐름 속도를 조절함으로써 동작한다. 더욱이, 처리 가스 제어 서브루틴(163)은 위험한 속도의 가스 흐름 속도를 모니터링하며, 위험한 조건이 검출될 때 안전 차단 밸브를 작동시키기 위한 단계를 포함한다. 또한 처리 가스 제어 서브루틴(165)은 선택되는 요구된 처리(세척 또는 증착 등)에 의존하여 가스 구성과 세척 가스 뿐만 아니라 증착 가스에 대한 흐름 속도를 제어한다. 다른 실시예는 하나 이상의 처리 가스 제어 서브루틴을 가질 수 있고, 각각의 서브루틴은 특별한 처리 타입 또는 특별한 가스 라인 세트를 제어한다.Process gas control subroutine 165 has program code for controlling process gas configuration and flow rate. Process gas control subroutine 165 controls the open / close position of the safety shutoff valve and also ramps up / down the flow controller to achieve the required gas flow rate. Process gas control subroutine 165 is invoked by the chamber manager subroutine 163b, as is all chamber component subroutines, and receives subroutine processing parameters related to the desired gas flow rate from the chamber manager. Typically, process gas control subroutine 165 opens the gas supply line and repeatedly (i) reads the required mass flow controller, and (ii) the required flow rate received from chamber manager subroutine 163b. The readings are compared and (iii) operated by adjusting the flow rate of the gas supply line as needed. Moreover, the process gas control subroutine 163 monitors the gas flow rate at a hazardous rate and includes actuating a safety shutoff valve when a hazardous condition is detected. The process gas control subroutine 165 also controls the flow rate for the deposition gas as well as the gas composition and cleaning gas depending on the desired process selected (such as washing or deposition). Other embodiments may have one or more process gas control subroutines, each subroutine controlling a particular process type or a particular set of gas lines.

일부 처리에서, 질소 또는 아르곤 같은 불활성 가스가 반응 처리 가스가 유입되기 이전에 챔버의 압력을 안정화하기 위하여 챔버(30)내로 흐르게 된다. 이런 처리를 위하여, 처리 가스 제어 서브루틴(165)은 챔버의 압력을 안정화하기 위해 필요한 시간의 양 동안 챔버(30)내로 불활성 가스를 흐르게 하는 단계를 포함하도록 프로그램되고, 다음에 이미 기술된 단계들이 수행될 것이다. 부가적으로, TiCl4와 같은 처리 가스가 액체 선구 물질로부터 기상화되어야 할 때, 처리 가스 제어 서브루틴(165)은 버블러 어셈블리에서 상기 액체 선구 물질을 통해 헬륨같은 운반 가스를 버블링하기 위한, 또는 헬륨같은 운반 가스를 액체 주입 시스템으로 유입하기 위한 단계를 포함하도록 기입될 것이다. 버블러가 이런 타입의 처리를 위해 사용될 때, 처리 가스 제어 서브루틴(165)은 요구된 가스 흐름 속도를 달성하기 위하여 운반 가스의 흐름, 버블러의 압력, 및 버블러 온도를 조정한다. 이미 개시된 바와 같이, 상기 요구된 처리 가스 흐름 속도는 처리 파라미터로서 처리 가스 제어 서브루틴(165)에 전달된다. 더욱이, 처리 가스 제어 서브루틴(165)은 소정 처리 가스 흐름 속도에 대한 필요한 값을 포함하는 저장된 테이블에 접근함으로써 상기 요구된 처리 가스 흐름 속도를 위해 상기 필요한 운반 가스 흐름 속도, 버블러 압력, 및 버블러 온도를 달성하기 위한 단계를 포함한다. 상기 필요값이 얻어질 때, 상기 운반 가스 흐름 속도, 버블러 압력 및 버블러 온도가 모니터링되고, 상기 필요값과 비교되며 따라서 조절된다.In some processes, an inert gas such as nitrogen or argon is flowed into the chamber 30 to stabilize the pressure of the chamber before the reaction process gas enters. For this process, the process gas control subroutine 165 is programmed to include flowing an inert gas into the chamber 30 for the amount of time needed to stabilize the pressure in the chamber, and then the steps already described Will be performed. Additionally, when a process gas, such as TiCl 4 , must be vaporized from the liquid precursor, the process gas control subroutine 165 is used to bubble a carrier gas such as helium through the liquid precursor in a bubbler assembly, Or to introduce a carrier gas such as helium into the liquid injection system. When a bubbler is used for this type of treatment, process gas control subroutine 165 adjusts the flow of carrier gas, bubbler pressure, and bubbler temperature to achieve the desired gas flow rate. As already disclosed, the required process gas flow rate is passed to process gas control subroutine 165 as process parameters. Furthermore, process gas control subroutine 165 accesses the stored table containing the necessary values for the desired process gas flow rate, thereby providing the necessary carrier gas flow rate, bubbler pressure, and bubble for the required process gas flow rate. To achieve the temperature. When the required value is obtained, the carrier gas flow rate, bubbler pressure and bubbler temperature are monitored, compared with the required value and adjusted accordingly.

상기 압력 제어 서브루틴(166)은 챔버의 배기 시스템내의 드로틀 밸브의 개구 크기를 조정함으로써 상기 챔버(30)의 압력을 제어하기 위한 프로그램 코드를 포함한다. 상기 드로틀 밸브의 개구 크기는 전체 처리 가스 흐름, 처리 챔버의 크기, 및 배기 시스템에 대한 펌핑 설정 압력에 관련한 요구된 레벨로 챔버 압력을 제어하도록 설정된다. 압력 제어 서브루틴(166)이 호출될 때, 상기 요구된 또는 목표 압력 레벨은 챔버 매니저 서브루틴(163b)으로부터 파라미터로서 수신된다. 상기 압력 제어 서브루틴(166)은 상기 챔버에 접속된 하나 이상의 일반적 압력계를 판독함으로써 챔버(3)의 압력을 측정하고, 상기 측정값을 목표 압력과 비교하고, 저장된 압력 테이블로부터 목표 압력에 대응하는 PID(비례, 적분 및 미분) 값을 얻으며, 상기 압력 테이블로부터 얻어진 상기 PID값에 따라 상기 드로틀 값을 조절한다. 선택적으로, 압력 제어 서브루틴(166)은 상기 요구된 레벨까지 챔버(30)의 펌핑 능력을 조정하기 위해 특별한 개구 크기로 상기 드로틀 밸브를 개방 또는 밀폐하도록 기입될 수 있다.The pressure control subroutine 166 includes program code for controlling the pressure in the chamber 30 by adjusting the opening size of the throttle valve in the exhaust system of the chamber. The opening size of the throttle valve is set to control the chamber pressure to the required level in relation to the overall process gas flow, the size of the process chamber, and the pumping set pressure for the exhaust system. When the pressure control subroutine 166 is called, the required or target pressure level is received as a parameter from the chamber manager subroutine 163b. The pressure control subroutine 166 measures the pressure in the chamber 3 by reading one or more general manometers connected to the chamber, compares the measured value with the target pressure, and corresponds to the target pressure from the stored pressure table. PID (proportional, integral and derivative) values are obtained and the throttle value is adjusted according to the PID value obtained from the pressure table. Optionally, pressure control subroutine 166 may be written to open or close the throttle valve with a particular opening size to adjust the pumping capacity of chamber 30 to the required level.

히터 제어 서브루틴(167)은 페데스탈(32)( 및 그 위의 어떤 기판)을 저항적으로 가열하는데 사용되는 히터 엘리먼트(107)의 온도를 제어하기 위한 프로그램 코드를 포함한다. 상기 히터 제어 서브루틴(167)은 또한 상기 챔버 매니저 서브루틴에 의해 호출되고 목표 또는 설정 온도 파라미터를 수신한다. 상기 히터 제어 서브루틴은 페데스탈(32)에 배치된 열전쌍의 전압 출력을 측정함으로써 온도를 측정하고, 상기 측정된 온도를 설정 온도와 비교하며, 상기 설정 온도를 얻기 위하여 상기 가열 유니트에 인가되는 전류를 증가 또는 감소시킨다. 상기 온도는 저장된 변환 테이블의 대응하는 온도를 룩업하거나, 또는 4차 다항식을 사용하여 상기 온도를 계산함으로써 상기 측정된 전압으로부터 얻어진다. 매립된 루프가 페데스탈(32)을 가열하는데 사용될 때, 히터 제어 서브루틴(167)은 점차 상기 루프에 인가되는 전압의 램핑 업/다운을 제어한다. 부가적으로, 내장 결함-안전 모드가 처리 안전 컴플라이언스를 검출하도록 포함될 수 있으며, 처리 챔버(30)가 적정히 설정되지 않는다면 상기 가열 유니트의 동작을 차단할 수 있다. 사용될 수 있는 히터 제어의 다른 방법은 기상 증착 장치의 온도 제어 시스템 및 방법으로 명명되고 1996년 11월 13일 제출된 미국 특허 번호 제08/746657호(대리인 문서번호 AM1680-8/T16301-171)에 개시되어 있는 램프 제어 알고리즘을 사용한다.Heater control subroutine 167 includes program code for controlling the temperature of heater element 107 used to resistively heat pedestal 32 (and any substrate thereon). The heater control subroutine 167 is also called by the chamber manager subroutine and receives a target or set temperature parameter. The heater control subroutine measures the temperature by measuring the voltage output of the thermocouple disposed on the pedestal 32, compares the measured temperature with a set temperature, and measures the current applied to the heating unit to obtain the set temperature. Increase or decrease. The temperature is obtained from the measured voltage by looking up the corresponding temperature of the stored conversion table or by calculating the temperature using a fourth order polynomial. When an embedded loop is used to heat pedestal 32, heater control subroutine 167 gradually controls ramping up / down of the voltage applied to the loop. Additionally, a built-in fault-safe mode can be included to detect process safety compliance, and can block operation of the heating unit if the process chamber 30 is not properly set. Other methods of heater control that can be used are described in US Patent No. 08/746657 (Representative Document No. AM1680-8 / T16301-171), filed November 13, 1996, entitled Temperature Control Systems and Methods of Vapor Deposition Apparatus. Use the disclosed lamp control algorithm.

다른 실시예에서, 상기 히터 엘리먼트 저항은 열전쌍을 사용하는 대안으로써 사용될 수 있고, 그러므로 히터 어셈블리로부터 열전쌍을 잠재적으로 제거한다. 특별한 히터 엘리먼트의 저항 대 온도를 특징화하고, 동작 전압에서 히터 엘리먼트를 통해 인출된 전류를 측정함으로써, 동작 동안의 상기 히터 엘리먼트의 온도가 결정될 수 있다. 바람직하게, 온도 센서를 갖는 테스트 웨이퍼가 상기 히터 엘리먼트 온도와 웨이퍼 표면의 온도 상태의 상호관계를 나타내는데 사용된다. 동작 전류에서의 전압 측정은 유사한 정보를 제공할 것이다. 어느 한쪽의 예에서, 상기 제어기는 열전쌍 전압 출력 대신에 상기 히터 엘리먼트 전압-전류 데이터를 사용할 것이다.In another embodiment, the heater element resistance can be used as an alternative to using a thermocouple, thus potentially removing the thermocouple from the heater assembly. By characterizing the resistance versus temperature of a particular heater element and measuring the current drawn through the heater element at the operating voltage, the temperature of the heater element during operation can be determined. Preferably, a test wafer with a temperature sensor is used to indicate the correlation between the heater element temperature and the temperature state of the wafer surface. Voltage measurements at operating current will provide similar information. In either example, the controller will use the heater element voltage-current data instead of the thermocouple voltage output.

플라즈마 제어 서브루틴(168)은 챔버(30)의 처리 전극과 히터 어셈블리(32)에 인가되는 저주파수 및 고주파수 RF 전력 레벨을 설정하고, 사용되는 저주파수와 고주파수를 설정하기 위한 프로그램 코드를 포함한다. 이미 개시된 챔버 부품 서브루틴과 같이, 플라즈마 제어 서브루틴(168)은 챔버 매니저 서브루틴(163b)에 의해 호출된다. 원격 플라즈마 발생기(4)를 포함하는 실시예를 위하여, 플라즈마 제어 서브루틴(168)은 또한 상기 원격 플라즈마 발생기를 제어하기 위한 프로그램 코드를 포함할 것이다.The plasma control subroutine 168 includes program code for setting the low and high frequency RF power levels applied to the process electrodes of the chamber 30 and the heater assembly 32, and for setting the low and high frequencies used. Like the chamber component subroutine already disclosed, the plasma control subroutine 168 is called by the chamber manager subroutine 163b. For embodiments that include a remote plasma generator 4, the plasma control subroutine 168 will also include program code for controlling the remote plasma generator.

C. 세라믹 히터 어셈블리 C. Ceramic Heater Assembly

도 6은 상기 페데스탈과 샤프트의 개략적 단면도이다. 페데스탈(32)은 히터 어셈블리(33)를 포함한다. 페데스탈(32)은 적어도 약 400℃의 온도와 부식성 플라즈마 환경의 존재에서 양립할 수 있는 재료로 제조될 수 있다. 예를 들면, 일부 실시예에서, 스테인레스 강, HastelloyTM합금, HaynesTM242 합금, 또는 세라믹이 사용될 수 있다.6 is a schematic cross-sectional view of the pedestal and shaft. Pedestal 32 includes heater assembly 33. Pedestal 32 may be made of a material compatible with a temperature of at least about 400 ° C. and in the presence of a corrosive plasma environment. For example, in some embodiments, stainless steel, Hastelloy alloy, Haynes 242 alloy, or ceramic may be used.

특정 실시예에 따르면, 세라믹 히터는 금속으로 제조된 유사한 히터 보다 더 낮은 열적 매스을 제공할 수 있다. 이것은 온도 제어기로부터의 전력를 변경하는데 더 빠른 응답 시간을 허용한다. 예를 들어 상기 챔버가 유지 목적을 위해 해체되어야 할 때, 세라믹 히터는 적은 열을 저장하기 때문에 더 빨리 냉각될 것이다. 일부 응용에서, 상기 세라믹 히터는 상기 처리(예를 들면, 웨이퍼 이송, 또는 가스 흐름과 압력 변경)에서 발생하는 열 과도현상 조건에 빨리 응답할 수 있다는데 유용하게 될 수 있다.According to certain embodiments, ceramic heaters may provide lower thermal mass than similar heaters made of metal. This allows for a faster response time to change the power from the temperature controller. For example, when the chamber needs to be dismantled for maintenance purposes, the ceramic heater will cool faster because it stores less heat. In some applications, the ceramic heater may be useful for being able to quickly respond to thermal transient conditions that occur in the process (eg, wafer transfer, or gas flow and pressure changes).

도 7a는 본 발명의 특정 실시예에 따른 히터 어셈블리(33)의 개략적 확대도이다. 상부 플레이트(101)는 바람직한 실시예에서 AlN과 같은 세라믹이며, 그것의 상부 표면의 대략 0.029 인치 깊이에 상기 웨이퍼 포켓(도시 안됨)과 웨이퍼 리프트 핀 홀(102A)을 포함하도록 제조된다. RF 평면(103)은 상부 플레이트(10)의 하부에 놓이고, 다중 웨이퍼 리프트 핀 홀(102B)을 포함한다. 적어도 3개의 리프트 핀이 대응하는 수의 리프트 핀 홀과 함께 사용될 것이다. 도 7b는 웨이퍼 리프트 핀 홀(102B)과 구멍(229)의 위치를 도시하는 RF 평면(103)의 평면도이다. RF 평면(103)은 상기 RF계 발생의 도전성과 전력 요구, 어셈블리 제조 처리, 및 상기 RF 평면과 세라믹 플레이트의 관련 열팽창과 양립하는 어떤 적당한 도전 재료로 제조될 수 있다. 이런 실시예에서, RF 평면(103)은 대략 5mil 두께의 몰리브덴 시트 원료로 제조되고 200mil 중심 대 중심 간격으로 90mil 직경의 홀로 관통된다. RF 평면(103)은 바람직하게 웨이퍼 직경보다 더 큰 약 0-2인치 이상이 되는 외경을 가진다. 상기 구멍은 컴퓨터 이용 설계(CAD) 또는 컴퓨터 수치 제어(CNC) 레이저를 포함하는 레이저; 포토리소그래픽 에칭 기술, 전자 방전 기계가공(EDM), 또는 다른 적당한 기술을 포함하는 화학적 에칭을 사용하여 형성된다. 텅스텐 또는 다른 내화성 재료가 상기 RF 평면을 제조하는데 사용될 수 있다. 몰리브덴은 텅스텐 이상으로 바람직한데, 예를 들면 몰리브덴은 AlN과 더욱 가깝게 일치하는 열팽창 계수를 가지기 때문이다. 또한, 몰리브덴은 더욱 연성이고, 바람직한 증착 챔버 환경에서 부식에 더욱 강하며, 텅스텐 보다 박판으로 제조하기에 더 용이하다. 특히, AlN의 열팽창 계수는 약 5.55 x 10-6/℃가 되는 몰리브덴의 열팽창 계수에 매우 가까운 약 5.5 x 10-6/℃인 반면, 텅스텐의 열팽창 계수는 약 5.6 x 10-6/℃이다.7A is a schematic enlarged view of a heater assembly 33 in accordance with certain embodiments of the present invention. Top plate 101 is, in a preferred embodiment, a ceramic, such as AlN, and is manufactured to include the wafer pocket (not shown) and wafer lift pin holes 102A about 0.029 inches deep of its top surface. The RF plane 103 lies below the top plate 10 and includes multiple wafer lift pin holes 102B. At least three lift pins will be used with the corresponding number of lift pin holes. FIG. 7B is a plan view of the RF plane 103 showing the positions of the wafer lift pin holes 102B and the holes 229. The RF plane 103 may be made of any suitable conductive material that is compatible with the conductivity and power requirements of the RF generation, the assembly manufacturing process, and the associated thermal expansion of the RF plane and the ceramic plate. In this embodiment, the RF plane 103 is made of approximately 5 mil thick molybdenum sheet stock and penetrated into 90 mil diameter holes at 200 mil center to center spacing. The RF plane 103 preferably has an outer diameter that is at least about 0-2 inches larger than the wafer diameter. The aperture includes a laser comprising a computer aided design (CAD) or computer numerical control (CNC) laser; It is formed using chemical etching, including photolithographic etching techniques, electron discharge machining (EDM), or other suitable technique. Tungsten or other refractory materials may be used to make the RF plane. Molybdenum is preferred over tungsten because, for example, molybdenum has a coefficient of thermal expansion that more closely matches AlN. In addition, molybdenum is more ductile, more resistant to corrosion in the preferred deposition chamber environment, and easier to fabricate than tungsten. In particular, the thermal expansion coefficient of AlN is about 5.5 × 10 −6 / ° C., which is very close to the thermal expansion coefficient of molybdenum, which is about 5.55 × 10 −6 / ° C., while the thermal expansion coefficient of tungsten is about 5.6 × 10 −6 / ° C.

RF 평면(103)내의 구멍은 상기 상부 AlN 플레이트가 세라믹 대 금속 본드를 피하면서 직접 제 2 AlN 플레이트(105)에 본딩하도록 한다. 상기 RF 평면(103)내의 홀의 직경과 간격의 선택은 상기 RF계 균일성의 요구와 함께 내부 세라믹 본딩 처리(아래에 개시된)의 요구를 비교 평가함으로써 최적화된다. 신뢰할 수 있는 내부 세라믹 본드를 달성하기 위해 충분한 전체 구멍 영역을 제공하는 것이 중요하다. 이미 개시된 바람직한 실시예와 동등한 영역은 홀 수를 감소시키고 이들의 직경을 증가시키거나, 또는 홀 수를 증가시키고 이들의 직경을 감소시킴으로써 달성될 것이다. RF 평면(103)의 두께는 상기 RF 평면의 열팽창이 상기 구멍내의 내부 세라믹 본드를 클랙킹하지 못하도록 평면(103)의 재료와 내부 세라믹 본딩 처리에 따라 선택된다. 특정 실시예에 따르면, 몰리브덴 평면을 위한 상한은 약 15mil인 반면, 상기 두께의 하한은 약 3mil이 될 수 있다. 이런 범위의 두께는 여전히 적당한 RF 전력 레벨을 유지하면서 동작 RF 전력 레벨에서 균일한 계를 형성하도록 적당히 낮은 전기적 저항을 제공한다.Holes in the RF plane 103 allow the upper AlN plate to bond directly to the second AlN plate 105 while avoiding ceramic to metal bonds. The selection of the diameter and spacing of the holes in the RF plane 103 is optimized by comparing and evaluating the needs of the internal ceramic bonding process (disclosed below) with the requirements of the RF-based uniformity. It is important to provide sufficient total hole area to achieve a reliable internal ceramic bond. Areas equivalent to the already described preferred embodiments will be achieved by reducing the number of holes and increasing their diameters, or by increasing the number of holes and reducing their diameters. The thickness of the RF plane 103 is selected according to the material of the plane 103 and the internal ceramic bonding process such that thermal expansion of the RF plane does not crack the internal ceramic bond in the hole. According to a particular embodiment, the upper limit for the molybdenum plane may be about 15 mils, while the lower limit of the thickness may be about 3 mils. This range of thickness provides a reasonably low electrical resistance to form a uniform system at the operating RF power level while still maintaining a reasonable RF power level.

바람직한 시트는 상기 시트내의 국부화된 핫 스폿이 상기 시트에 걸쳐 확산될 수 있는 국부화된 더 높은 저항을 초래하기 때문에 많은 응용에서 망상 조직(mesh)이 바람직하다. 그러나, 망상 조직내의 유사한 핫 스폿은 와이어 가닥 교차점이 대략 콘택을 가리키고 시트에 비교할 때 빈약한 열전달을 가지기 때문에 가닥을 따라 열을 확산하려는 경향이 있는, 개별 와이어 가닥을 가열하려는 경향이 있다. 이것은 종종 망상 조직 가닥의 과열, 상기 가닥 손상 및 RF 망상 조직 전극의 동작 수명 감소를 초래한다. 부가적으로, 이런 과열 또는 손상된 망상 조직내의 가닥은 RF계의 불균일성을 초래할 수 있다. 바람직한 시트는 이런 관점에서 손상을 스스로 제한하려는 경향이 있고 뛰어난 RF계 패턴을 제공한다. 망상 조직의 사용에 비교되는 시트 사용의 다른 장점은 망상 조직내의 와이어 크기가 증가할 때, 또한 와이어 사이의 간격이 증가한다는 것이다. 이것은 망상 조직의 효과적인 RF 면저항을 제한한다. 예를 들면, 약 4-5mil 두께의 몰리브덴 시트는 5mil 이상의 피크 대 피크 두께를 갖는 몰리브덴 망상 조직의 최소 면저항에 대충 상응하는 면저항을 가진다. 부가적으로, 시트 원료로 제조된 RF 전극은 망상 조직 전극 보다 더 평평하여 웨이퍼와 RF 전극 사이의 세라믹의 더 얇은 층을 허용하고 증착 동안 균일한 플라즈마 처리를 허용한다. 특정 실시예에서, 상기 RF 평면(103)과 웨이퍼 사이의 거리는 바람직하게 약 50mil 이하이고, 약 38-42mil 범위에 있다.Preferred sheets are preferred for many applications because of the localized higher resistance at which localized hot spots in the sheet can diffuse across the sheet. However, similar hot spots in reticular tissues tend to heat individual wire strands, which tend to diffuse heat along the strands because the wire strand junctions point approximately to contacts and have poor heat transfer as compared to the sheet. This often results in overheating of the reticular strands, damage to the strands, and reduced operating life of the RF reticular electrodes. In addition, strands in such overheated or damaged reticular tissue can result in RF system non-uniformity. Preferred sheets tend to limit damage in this respect themselves and provide excellent RF based patterns. Another advantage of using a sheet compared to the use of reticulated tissue is that as the wire size in the reticulated tissue increases, the spacing between the wires also increases. This limits the effective RF sheet resistance of the reticular tissue. For example, a molybdenum sheet about 4-5 mils thick has a sheet resistance roughly corresponding to the minimum sheet resistance of molybdenum network with peak to peak thickness of 5 mils or more. Additionally, RF electrodes made from sheet stock are flatter than reticular electrodes, allowing a thinner layer of ceramic between the wafer and the RF electrode and allowing uniform plasma treatment during deposition. In certain embodiments, the distance between the RF plane 103 and the wafer is preferably about 50 mils or less, and in the range of about 38-42 mils.

도 7a를 참조하면, 제 2 AlN 플레이트(105)는 히터 엘리먼트(107)로부터 RF 평면(103)을 절연한다. 히터 엘리먼트(107)는 몰리브덴으로 제조되지만, 텅스텐과 같은 다른 유사한 재료가 사용될 수 있다. 상기 가열 엘리먼트는 CAD 또는 CNC 레이저를 포함하는 레이저; 포토리소그래픽 에칭 기술, EDM, 또는 다른 적당한 기술을 포함하는 화학적 에칭을 사용하여 대략 5mil 두께의 몰리브덴 시트 원료로부터 절단된다. 도 7c는 히터 엘리먼트(107)의 개략적 평면도이다. 상기 히터 엘리먼트(107)를 위해 선택된 두께는 바람직하게 이미 개시된 바와 같이 세라믹 어셈블리 처리의 억제내에 있어야 한다. 상기 히터 엘리먼트(107)의 폭과 길이는 종래 기술에 공지된 바와 같이 상기 히터로부터 적당한 전력 출력을 얻고 세라믹-세라믹 본딩을 위한 충분한 상호 히터 간격을 제공하도록 전압 공급원의 컴플라이언스에 일치하도록 선택된다. 예를 들면, 약 9mil의 폭과 약 325 인치의 길이가 되는 5mil 두께의 히터 엘리먼트는 실온에서 약 2.25-3.25 옴(Ω), 바람직하게 약 2.5Ω의 저항을 가지며, 약 4킬로와트(㎾)까지 생성할 수 있다. 의도된 동작 범위에 걸친 히터 엘리먼트의 저항 변화를 고려하는 것이 중요하다. 예를 들면, 몰리브덴 히터 엘리먼트의 저항은 실온에서 약 700℃까지 가열될 때 약 4.3배 증가할 수 있다.Referring to FIG. 7A, the second AlN plate 105 insulates the RF plane 103 from the heater element 107. The heater element 107 is made of molybdenum, but other similar materials may be used, such as tungsten. The heating element comprises a laser comprising a CAD or CNC laser; Chemical etching, including photolithographic etching techniques, EDM, or other suitable techniques, is used to cut from approximately 5 mil thick molybdenum sheet stock. 7C is a schematic plan view of the heater element 107. The thickness selected for the heater element 107 should preferably be within the suppression of the ceramic assembly process as already disclosed. The width and length of the heater element 107 are selected to match the compliance of the voltage source to obtain adequate power output from the heater and provide sufficient mutual heater spacing for ceramic-ceramic bonding as is known in the art. For example, a 5 mil thick heater element that is about 9 mils wide and about 325 inches long has a resistance of about 2.25-3.25 ohms, preferably about 2.5 ohms, at room temperature up to about 4 kilowatts. Can be generated. It is important to consider the resistance change of the heater element over the intended operating range. For example, the resistance of the molybdenum heater element can increase about 4.3 times when heated from room temperature to about 700 ° C.

바람직하게, 상기 엘리먼트는 도 7c에 도시된 바와 같이 사인 곡선의 패턴을 갖는 아크가 더 경직되어 제조동안 정렬하기에 더 용이하기 때문에 간단한 아크(도시 안됨) 보다 오히려 상기 히터 엘리먼트의 평면내에 사인 곡선의 패턴으로 절단된다. 전류 흐름은 각각의 아크가 상기 엘리먼트의 인접한 아크 상의 백(233)에 포개질 때 방향을 변경하고, 그결과 히터 엘리먼트에 의해 발생될 수 있는 플라즈마 특성을 변경함으로써 불균일 증착을 초래할 수 있는 자계를 최소화한다. 자계의 유사한 해제는 아크내의 사인 곡선 사이에서 발생한다. 와이어 또는 와이어 코일을 사용하기 보다는 오히려 시트 원료로의 히터 엘리먼트 제조는 단면 영역에 대한 표면 영역의 더 큰 비율을 갖는 가열 엘리먼트를 제공한다. 이것은 웨이퍼에 더욱 효과적으로 열을 전달하는 히터 엘리먼트를 제공하는데, 유사한 와이어 디자인을 사용할때보다 더 낮은 엘리먼트 온도에서 웨이퍼에 동일한 열을 제공한다. 차례로 이것은 히터 엘리먼트 파손을 최소화시켜 히터 엘리먼트 수명을 연장시킨다. 부가적으로, 가열 엘리먼트(107)의 폭은 요구된 열 프로파일에 따라 변경될 수 있거나, 또는 상호 엘리먼트 간격은 예를 들어 상기 CNC 레이저 프로그램을 조절함으로써 엘리먼트 밀도를 조절하도록 변경될 수 있다. 이것은 뛰어난 온도 균일성을 갖는 히터 어셈블리, 또는 특별한 열 프로파일을 갖는 히터 어셈블리를 형성할 수 있다.Preferably, the element has a sinusoidal curve in the plane of the heater element rather than a simple arc (not shown) because the arc having a sinusoidal pattern as shown in FIG. 7C is more rigid and easier to align during manufacture. It is cut into patterns. The current flow changes direction when each arc is superimposed on the bag 233 on the adjacent arc of the element, thereby minimizing a magnetic field that can result in non-uniform deposition by changing the plasma characteristics that can be generated by the heater element. do. Similar release of the magnetic field occurs between sinusoidal curves in the arc. Rather than using wires or wire coils, heater element manufacture from sheet stock provides heating elements with a larger ratio of surface area to cross sectional area. This provides a heater element that transfers heat more effectively to the wafer, which provides the same heat to the wafer at lower element temperatures than with similar wire designs. In turn, this minimizes heater element breakage and extends heater element life. In addition, the width of the heating element 107 can be changed according to the required thermal profile, or the mutual element spacing can be changed to adjust the element density, for example by adjusting the CNC laser program. This can form a heater assembly with excellent temperature uniformity, or a heater assembly with a special thermal profile.

도 7a를 다시 참조하면, 제 2 AlN 플레이트(105)는 특정 실시예에 따라 4개의 리프트 핀 홀(102C)에 부가적으로 RF 피드쓰루 홀(106A)과 열전쌍 홀(104B)을 가진다. 히터 엘리먼트(107)는 히터 엘리먼트의 중앙 근처에 리프트 핀 홀(102D), 상기 RF 피드쓰루, 및 도 6의 열전쌍(470)을 위한 경로를 제공한다. 히터 엘리먼트(107)의 각각의 단부에는 도 7c에 도시된 바와 같이 히터 콘택(112)이 있다. 제 3 AlN 플레이트(108)는 히터 엘리먼트(107)와 AlN 히터 스터브(110)가 부착되는 하부층(109)의 사이에 놓인다. 하부층(109)은 아래에 개시된 압력 본딩 처리 동안 형성된다. 바람직한 실시예에서, 얻어지는 스택(스터브 없는)은 약 0.546인치 두께이고, 낮은 열량을 갖는 히터를 초래한다. 상기 전체 어셈블리는 특별한 응용의 디자인 억제에 의존하여 더 작거나 더 크게 되는 외경으로 더 짧거나 더 길게 될 수 있다. 이런 실시예에서, 약 2.25인치의 두께는 커플러 클램프(아래에 개시된)와 퓨징된 실리카 열 시일드(또한 아래에 개시된)를 피팅하기 위한 스터브 상의 플랜지와 하부 플레이트 사이에 충분한 공간을 제공한다. 더 얇은 열 시일드 또는 클램프는 더 짧은 스터브를 허용한다. 상기 스터브는 바람직하게 세라믹 히터 어셈블리에 걸친 열적 기울기를 감소하고 상기 커플러가 페데스탈의 하강과 간섭하지 않도록 짧다. 바람직한 실시예에서, 상부 AlN 플레이트(101), 제 2 AlN 플레이트(105), 제 3 AlN 플레이트(108), 및 AlN 스터브(110)는 핫 프레스 AlN로부터 형성된다. 상기 플레이트는 평탄하고 평행하게 접지되며, 필요하다면 상기 히터와 RF 평면 전극(아래에 개시된)을 수용하도록 접지된다. 정렬 홀(도시 안됨)은 대략 상기 리프트 핀 홀의 중심선을 따라 상부 AlN 플레이트(101), 제 2 AlN 플레이트9105), 및 제 3 AlN 플레이트(108)를 통해 관통된다. 상기 AlN 플레이트(101), 제 2 AlN 플레이트(105), 제 3 AlN 플레이트(108) 및 AlN 스터브(110)는 이들의 표면을 거칠게 하도록 샌딩되거나 비드 블라스팅된다. RF 평면(103)은 AlN 테이프(도시 안됨)를 사용하여 상부 AlN 플레이트(101) 상에 놓여진다. 즉, 테이프는 핫 프레스 AlN 플레이트를 형성하는데 사용된 동일한 AlN 재료 원료의 가루로 주조하고 유기적 바인더는 상부 AlN 플레이트(101) 상에 놓여지는 RF 평면(103) 상에 배치된다. 상기 AlN 테이프는 대략 10-20mil 두께이고 하나 또는 2개의 층이 사용될 수 있어 RF 평면(103) 상에 얇고 균일한 AlN 층을 초래한다. 선택적으로, 상기 AlN 테이프는 RF 평면(103)에 AlN 테이프를 옮기기전에 세척될 수 있다. 다음에 제 2 AlN 플레이트(105)가 상부 AlN 플레이트(101), RF 평면(103), 및 AlN 테이프 상에 배치될 수 있다. 다음에, 히터 엘리먼트(107)가 제 2 AlN 플레이트(105) 상에 배치되고 RF 평면(103)과 상부 AlN 플레이트(101)와 유사하게 AlN 테이프와 놓여진다. 다음에 위에 놓여진 히터 엘리먼트(도시 안됨) 상에 배치된다. 흑연으로 제조될 수 있는 정렬 핀이 상부 AlN 플레이트(101), RF 평면(103), 제 2 AlN 플레이트(105), 히터 엘리먼트(107) 및 제 3 AlN 플레이트(108)의 정렬을 용이하게 하도록 상기 정렬 홀을 통해 배치될 수 있다. 히터와 RF 전극은 이들의 미리 접지된 위치(추가로 아래에 상세히 개시된)에 배치된다. 다음에 이런 상부 AlN 플레이트(100), RF 평면(103), AlN 테이프, 제 2 AlN 플레이트(105), 히터 엘리먼트(107), AlN 테이프, 및 제 3 AlN 플레이트의 스택이 다이의 한단부에 상부 AlN 플레이트(101)와 함께 압력 본딩 다이에 배치되고, 상기 다이의 다른 단부에서 노출되는 제 3 AlN 플레이트(108)의 표면을 남긴다. 상기 압력 본딩 다이(도시 안됨)는 이전 문장에서 기술된 상기 스택을 수용하는 공동을 제공한다. 상기 압력 본딩 다이는 흑연으로 제조될 수 있고 일면적인 압력이 상기 스택의 주요 표면에 거의 수직으로 인가될수 있도록 상기 스택을 한정할 수 있다. AlN 가루의 층은 다음에 제 3 플레이트(108)의 노출된 표면(도시 안됨)에 인가되고 흑연으로 형성된 제 1 압력 본딩 플레이트(도시 안됨)는 AlN 가루로 이루어진 이런 층 상에 배치된다. 상기 제 1 압력 본딩 플레이트는 대략 히터 스터브(110)의 크기인 홀을 가지고, 그것을 통해 히터 스터브(110)가 배치된다. 제 2 압력 본딩 플레이트는 상기 제 1 압력 본딩 플레이트와 히터 스터브(10) 상에 배치된다.Referring again to FIG. 7A, the second AlN plate 105 has an RF feedthrough hole 106A and a thermocouple hole 104B in addition to the four lift pin holes 102C, according to certain embodiments. The heater element 107 provides a path for the lift pin hole 102D, the RF feedthrough, and the thermocouple 470 of FIG. 6 near the center of the heater element. At each end of the heater element 107 is a heater contact 112 as shown in FIG. 7C. The third AlN plate 108 lies between the heater element 107 and the underlying layer 109 to which the AlN heater stub 110 is attached. Lower layer 109 is formed during the pressure bonding process disclosed below. In a preferred embodiment, the resulting stack (without stubs) is about 0.546 inches thick, resulting in a low calorie heater. The entire assembly can be shorter or longer with an outer diameter that is smaller or larger depending on the design constraints of the particular application. In this embodiment, a thickness of about 2.25 inches provides sufficient space between the bottom plate and the flange on the stub for fitting the coupler clamp (disclosed below) and the fused silica thermal shield (also disclosed below). Thinner thermal seals or clamps allow for shorter stubs. The stub is preferably short such that it reduces the thermal gradient across the ceramic heater assembly and the coupler does not interfere with the lowering of the pedestal. In a preferred embodiment, the upper AlN plate 101, the second AlN plate 105, the third AlN plate 108, and the AlN stub 110 are formed from hot press AlN. The plate is grounded flat and parallel and, if necessary, grounded to accommodate the heater and RF planar electrode (disclosed below). An alignment hole (not shown) passes through the upper AlN plate 101, the second AlN plate 9905, and the third AlN plate 108 along the centerline of the lift pin hole. The AlN plate 101, the second AlN plate 105, the third AlN plate 108 and the AlN stub 110 are sanded or bead blasted to roughen their surface. RF plane 103 is placed on top AlN plate 101 using AlN tape (not shown). That is, the tape is cast into powder of the same AlN material raw material used to form the hot press AlN plate and the organic binder is placed on the RF plane 103 which is placed on the upper AlN plate 101. The AlN tape is approximately 10-20 mils thick and one or two layers may be used resulting in a thin and uniform AlN layer on the RF plane 103. Optionally, the AlN tape may be cleaned before transferring the AlN tape to the RF plane 103. A second AlN plate 105 may then be disposed on the upper AlN plate 101, the RF plane 103, and the AlN tape. Next, a heater element 107 is disposed on the second AlN plate 105 and placed with the AlN tape similar to the RF plane 103 and the upper AlN plate 101. It is then placed on a heater element (not shown) placed on top. Alignment pins, which may be made of graphite, may be used to facilitate alignment of the upper AlN plate 101, the RF plane 103, the second AlN plate 105, the heater element 107 and the third AlN plate 108. It can be arranged through the alignment hole. The heater and the RF electrode are placed in their pre-grounded position (described in further detail below). This stack of top AlN plate 100, RF plane 103, AlN tape, second AlN plate 105, heater element 107, AlN tape, and third AlN plate is then topped at one end of the die. Disposed on the pressure bonding die with AlN plate 101, leaving the surface of third AlN plate 108 exposed at the other end of the die. The pressure bonding die (not shown) provides a cavity to receive the stack described in the previous sentence. The pressure bonding die may be made of graphite and may define the stack such that one-sided pressure may be applied nearly perpendicular to the main surface of the stack. A layer of AlN powder is then applied to the exposed surface (not shown) of the third plate 108 and a first pressure bonding plate (not shown) formed of graphite is disposed on this layer of AlN powder. The first pressure bonding plate has a hole approximately the size of the heater stub 110, through which the heater stub 110 is disposed. The second pressure bonding plate is disposed on the first pressure bonding plate and the heater stub 10.

유압(도시 안됨)은 상기 제 2 압력 본딩 플레이트와 상기 압력 본딩 다이 사이에 약 2500psi의 압력을 인가한다. 동시에, 상기 스택과 히터 스터브(110)는 약 1700℃의 온도까지 가열된다. 이런 조건은 약 30-90분, 바람직하게 약 60분 동안 유지된다. 이런 조건하에서, 상기 AlN 테이프는 유연해져서 RF 평면(103)의 구멍과 히터 엘리먼트(107)의 상호 엘리먼트 공간을 충진하도록 유동하며, 상기 AlN 플레이트를 서로 본딩한다. 압력 본딩 동안, 상기 AlN 테이프는 원래 두께의 거의 절반이 되도록 치밀화된다. 부가적으로, 상기 제 3 AlN 플레이트로서 이전에 인가된 AlN 가루는 유연해지기 시작하고, 하부 층(109)을 형성하며, 제 1 압력 본딩 플레이트로부터 제 3 AlN 플레이트(108)에 인가되는 압력을 더욱 균일하게 분산시킨다. 압력 본딩 이후에, 연마와 드릴링을 포함하는 다른 동작이 세라믹 부품의 형태를 변형하도록 수행될 수 있다. 예를 들면, 상기 정렬 홀은 리프트 핀 홀이 되도록 드릴링될 수 있고 상기 히터 스터브는 그것의 하부에 플랜지를 형성하도록 연마될 수 있다.Hydraulic pressure (not shown) applies a pressure of about 2500 psi between the second pressure bonding plate and the pressure bonding die. At the same time, the stack and heater stub 110 are heated to a temperature of about 1700 ° C. Such conditions are maintained for about 30-90 minutes, preferably about 60 minutes. Under these conditions, the AlN tape becomes flexible and flows to fill the mutual element space of the holes of the RF plane 103 and the mutual element space of the heater element 107 and bonds the AlN plates to each other. During pressure bonding, the AlN tape is densified to almost half its original thickness. Additionally, the AlN powder previously applied as the third AlN plate begins to soften, forms the lower layer 109, and applies the pressure applied to the third AlN plate 108 from the first pressure bonding plate. Disperse more uniformly. After pressure bonding, other operations, including polishing and drilling, may be performed to deform the shape of the ceramic part. For example, the alignment hole can be drilled to be a lift pin hole and the heater stub can be polished to form a flange at the bottom thereof.

압력 본딩 다이, 스택, 및 압력 본딩 플레이트의 몇몇 세트는 몇몇 히터 어셈블 리가 동시에 형성될 수 있도록 단일 프레스로 배열될 수 있다. 소정 프레스 크기를 위해, 더 짧은 전체 히터 어셈블리 높이는 상당 수의 유사하게 더짧은 히터 어셈블리가 단일 동작으로 압력 본딩될 수 있게 할 것이다. 그러므로, 히터는 더 긴 스터브를 갖는 설계 보다 상당수의 히터 어셈블 리가 압력 본딩될 수 있게 하는 더 짧은 스터브로 설계된다. 훨씬 튼튼할 뿐만아니라, 짧은 세라믹 스터브를 갖는 세라믹 히터는 긴 세라믹 샤프트를 갖는 히터보다 더욱 쉽고 효율적으로 제조된다.Several sets of pressure bonding dies, stacks, and pressure bonding plates can be arranged in a single press such that several heater assemblies can be formed simultaneously. For certain press sizes, shorter overall heater assembly heights will allow a significant number of similarly shorter heater assemblies to be pressure bonded in a single operation. Therefore, heaters are designed with shorter stubs that allow a greater number of heater assemblies to be pressure bonded than designs with longer stubs. In addition to being much more robust, ceramic heaters with short ceramic stubs are made easier and more efficient than heaters with long ceramic shafts.

다른 실시예에서, 제 1 AlN 플레이트, RF 평면, 제 2 AlN 플레이트, 히터 엘리먼트, 및 제 3 AlN 플레이트의 서브어셈블리는 이미 개시된 바와 같이, 그러나 히터 스터브없이 압력 본딩될 수 있다. 상기 히터 스터브는 순차적으로 개별 동작으로 서브 어셈블리에 부착될 수 있다. 이것은 특히 상기 히터 스터브가 이전 단락에 개시된 바와 같이 길다면 바람직하다.In another embodiment, the subassemblies of the first AlN plate, the RF plane, the second AlN plate, the heater element, and the third AlN plate may be pressure bonded as already disclosed, but without heater stubs. The heater stub may be attached to the subassembly in a separate operation sequentially. This is particularly desirable if the heater stub is long as disclosed in the previous paragraph.

도 8에 도시된 다른 실시예에서, 세라믹 지지용 샤프트(821)는 히터 어셈블리(833)에 부착될 수 있다. 이것은 샤프트의 하부가 상대적으로 차기 때문에 열 쵸크의 필요성을 제거하며, 샤프트의 하부를 밀봉하는 가스밀폐 O-링 밀봉부(810A, 810B, 810C 및 810D)의 사용을 허용한다. 짧은 샤프트 단부(805)(알루미늄과 같은 양호한 열전도체로 제조된)의 제공과 물 또는 다른 액체가 흐를 수 있는 열교환 통로(도시안됨)의 제공은 추가로 상기 O-링 밀봉부를 냉각한다. 선택적으로, 냉각된 플레이트(도시 안됨)는 냉각을 제공하기 위하여 샤프트 단부(805)에 접속될 수 있다. O-링 밀봉부(810A)는 샤프트 단부(805)에 대해 세라믹 샤프트(821)를 밀봉한다. O-링 밀봉부(810B)는 스프링 하중의 용기에 넣어진 열전쌍(870) 둘레에 밀봉을 형성하고, O-링 밀봉부(810C)는 상기 RF 전극(859)을 밀봉한다. 유사한 O-링 밀봉부(도시 안됨)는 히터 엘리먼트 전극(도시 안됨)을 밀봉하며, O-링(810D)은 샤프트 단부(805)에 대해 VespelTM플러그(806)를 밀봉한다.In another embodiment shown in FIG. 8, a ceramic support shaft 821 can be attached to the heater assembly 833. This eliminates the need for heat chokes because the lower portion of the shaft is relatively cold and allows the use of gastight O-ring seals 810A, 810B, 810C and 810D to seal the lower portion of the shaft. The provision of a short shaft end 805 (made of good thermal conductor such as aluminum) and the provision of a heat exchange passage (not shown) through which water or other liquid can flow further cools the O-ring seal. Optionally, a cooled plate (not shown) may be connected to the shaft end 805 to provide cooling. O-ring seal 810A seals ceramic shaft 821 against shaft end 805. O-ring seal 810B forms a seal around thermocouple 870 encased in a spring loaded container, and O-ring seal 810C seals the RF electrode 859. A similar O-ring seal (not shown) seals the heater element electrode (not shown), and the O-ring 810D seals the Vespel plug 806 against the shaft end 805.

RF 스탠드오프 로드(856)는 와이어 코일(858)이 RF 로드(856)와 RF 전극(859)에 크림프될 수 있도록 세라믹 샤프트(821)의 하부 에지를 지나서 연장한다. 바람직한 실시예에서, RF 로드(856), RF 전극(859), 및 와이어 코일(858)은 니켈로 이루어진다. 와이어 코일(858)은 조립 또는 열적 주기 동안 히터 어셈블리(833) 또는 납땜된 결합부(855)의 파손 기회를 감소하도록 변형 완화(releif)를 제공한다. 유사한 코일(도시 안됨)이 상기 히터 로드(도시 안됨)를 이들이 개별 히터 전극(도시 안됨)에 접속한다. RF 스탠드오프 로드(856), 용기에 넣어진 열전쌍(870), 및 히터 스탠드오프 로드(도시 안됨)가 완전히 강성이더라도, VespelTM플러그와 같은 절연 플러그(808)가 와이어링이 서로 단락되는 것을 방지하는 전기적 절연을 제공하도록 포함될 수 있다. 각각의 전극과 사용된 C-링 클립(82671)은 하부 전극이 밀려지거나 당겨지는 것을 방지하는데 사용된다. 단부 캡(809)은 O-링(810B-D)을 압착하도록 샤프트 단부(805)에 볼트로 조여질 수 있다.The RF standoff rod 856 extends beyond the lower edge of the ceramic shaft 821 so that the wire coil 858 can be crimped to the RF rod 856 and the RF electrode 859. In a preferred embodiment, the RF rod 856, the RF electrode 859, and the wire coil 858 are made of nickel. Wire coil 858 provides a strain relief to reduce the chance of failure of heater assembly 833 or soldered joint 855 during assembly or thermal cycles. Similar coils (not shown) connect the heater rods (not shown) to the individual heater electrodes (not shown). Although the RF standoff rod 856, the enclosed thermocouple 870, and the heater standoff rod (not shown) are completely rigid, an insulating plug 808 such as a Vespel plug prevents the wiring from shorting to each other. Can be included to provide electrical isolation. Each electrode and C-ring clip 82671 used is used to prevent the lower electrode from being pushed or pulled. End cap 809 may be bolted to shaft end 805 to compress O-ring 810B-D.

열전쌍(870)은 히터 엘리먼트(807)를 지나서 RF 평면(803)의 바로 아래까지 연장한다. 이것은 히터 엘리먼트(807)와 기판(도시 안됨) 사이에, 얕은 열전쌍 보다 히터 어셈블리의 열적 매스내에 더 멀리 열전쌍을 배치하며, 더나은 온도 제어를 허용한다.Thermocouple 870 extends beyond heater element 807 to just below RF plane 803. This places the thermocouple farther within the thermal mass of the heater assembly than the shallow thermocouple, between the heater element 807 and the substrate (not shown), allowing for better temperature control.

세척(purge) 라인(853)은 세라믹 샤프트(8121)가 질소, 아르곤 또는 다른 가스와 같은 세척 가스로 챔버 압력을 일정하게 유지하도록 한다. 상기 세척 가스는 납땜된 접합부(855)와 같은 샤프트내의 부품을 산화 또는 부식으로부터 보호한다. 납땜된 접합부(855)는 몰리브덴 또는 텅스텐이 될 수 있는 RF 평면을 RF 스탠드오프 로드(856)에 결합한다. 유사한 납땜된 접합부(도시안됨)는 히터 엘리먼트와 히터 스탠드오프 로드를 접속할 수 있다. 또한 세라믹 샤프트(812)의 압력화는 RF 스탠드오프 로드(821)와 다른 부품 사이의 RF 아아킹을 억제한다. 세척 라인(853)은 이미 개시된 바와 같이 알루미늄-스테인레스 강 변이부(854)를 통합할 수 있다. 또한 이미 개시된 납땜된 접합부는 아래에 개시된 바와 같이 인시튜 공융 합금 본딩될 수 있다.Purge line 853 allows ceramic shaft 8121 to maintain a constant chamber pressure with a purge gas, such as nitrogen, argon or other gas. The cleaning gas protects components in the shaft, such as soldered joint 855, from oxidation or corrosion. The soldered junction 855 couples the RF plane, which may be molybdenum or tungsten, to the RF standoff rod 856. Similar soldered joints (not shown) may connect the heater element and the heater standoff rod. The pressure of the ceramic shaft 812 also suppresses RF arcing between the RF standoff rod 821 and other components. Wash line 853 may incorporate aluminum-stainless steel transition 854 as already disclosed. The already disclosed soldered joints can also be in situ eutectic alloy bonded as disclosed below.

도 9는 상기 RF 평면과 가열 엘리먼트에 대한 전기적 접속의 한 실시예를 도시하는 히터 어셈블리(33)의 개략적 부분 단면도이다. 상기 히터 어셈블리의 주요 표면에 수직한 히터 스터브내에 4개의 홀이 있다. 이런 홀중 2개는 히터 스탠드오프(115)를 포함한다. 제 3 홀은 RF 스탠드오프(117)를 포함한다. 제 4 홀은 열전쌍 어셈블리(도 6에서 470, 도 9에 도시 안됨)를 포함한다. 열전쌍 어셈블리는 그것이 상부 AlN 플레이트(101)내의 블라인드 홀(도시 안됨)의 상부에 대해 압착되도록 스프링 하중이 인가되는 이중 차폐된 열전쌍이며, 히터 제어기(도시 안됨)를 위한 제어 신호를 제공한다. 몰리브덴 히터 엘리먼트 전극(119)과 RF 전극(118)은 이미 개시된 바와 같이 상기 스택을 압력 본딩하기 이전에 히터 전극 포켓(116)과 RF 전극 포켓(120A와 120B)내에 각각 배치된다. 히터 전극 포켓(116)과 RF 전극 포켓(120A와 120B)은 설명의 목적만을 위해 히터 전극(119)과 RF 전극(118) 보다 더 크게 도시된다. 상기 금속 전극은 아마 몰리브덴이 압력 본딩 조건하에서 유연하게 되기 시작할 때 주위 세라믹 재료와 친밀히 접촉하게 된다. 마찬가지로, 몰리브덴 RF 전극(118)은 본질적으로 히터 전극(119)이 히터 엘리먼트(107)와 용접되는 것처럼 압력 본딩 처리 동안 몰리브덴 RF 평면(103)에 용접된다. 상기 전극이 단일 피스로 제조되는 것으로 도시되더라도, 유사한 전극은 다중 피스로 형성될 수 있다고 이해된다. 더욱이, 전극의 형태는 변경될 수 있다. 예를 들면, RF 전극(118)은 RF 전극 플랜지(118F) 없이 형성될 수 있다. 더욱이, RF 전극(118)은 니켈 RF 스탠드오프 로드(117)가 RF 평면(103)에 가까이 연장하도록 히터 엘리먼트(107)를 지나서 연장하는 것이 바람직하지만 더 짧게 될 수 있다.9 is a schematic partial cross-sectional view of a heater assembly 33 showing one embodiment of an electrical connection to the RF plane and heating element. There are four holes in the heater stub perpendicular to the main surface of the heater assembly. Two of these holes include heater standoffs 115. The third hole includes an RF standoff 117. The fourth hole includes a thermocouple assembly (470 in FIG. 6, not shown in FIG. 9). The thermocouple assembly is a double shielded thermocouple in which a spring load is applied such that it is pressed against the top of a blind hole (not shown) in the upper AlN plate 101 and provides a control signal for a heater controller (not shown). Molybdenum heater element electrode 119 and RF electrode 118 are disposed in heater electrode pocket 116 and RF electrode pockets 120A and 120B, respectively, prior to pressure bonding the stack as already disclosed. Heater electrode pocket 116 and RF electrode pockets 120A and 120B are shown larger than heater electrode 119 and RF electrode 118 for illustrative purposes only. The metal electrode is in intimate contact with the surrounding ceramic material when the molybdenum begins to soften under pressure bonding conditions. Likewise, the molybdenum RF electrode 118 is welded to the molybdenum RF plane 103 during the pressure bonding process essentially as the heater electrode 119 is welded to the heater element 107. Although the electrode is shown to be made of a single piece, it is understood that a similar electrode can be formed of multiple pieces. Moreover, the shape of the electrode can be changed. For example, RF electrode 118 may be formed without RF electrode flange 118F. Moreover, the RF electrode 118 may be shorter but preferably extend beyond the heater element 107 such that the nickel RF standoff rod 117 extends close to the RF plane 103.

압력 본딩 처리 이후에, 홀은 히터 스터브(110)와 몰리브덴 전극을 노출하도록 RF 전극(118) 위에 있는 다른 세라믹 재료를 통해 드릴링된다. 니켈 히터 스탠드오프 로드(115)와 니켈 RF 스탠드오프 로드(117)는 텅스텐 슬러그(227A와 227B)를 각각 수용하도록 카운터 보어링된다. 다음에 텅스텐 슬러그(227A와 227B)를 갖는 니켈 히터 스탠드오프 로드(115)와 니켈 RF 스탠드오프 로드(117)가 히터 전극(119)과 RF 전극(18)내에 삽입된다. 다른 실시예에서, 텅스텐 슬러그(227A와 227B)는 히터 로드(115)와 RF 로드(117)의 삽입 이전에 드릴링된 홀 내에 부가적으로 배치될 수 있다. 도 9에 도시된 바와 같이, 상기 로드(115와 117) 사이, 및 전극(119와 118) 사이의 콘택은 본질적으로 공동 평면이고 RF 평면(103)으로부터 마주하는 히터 엘리먼트(107)의 측면에 있지만, 이런 조건을 필수적으로 요구하지 않는다. 그러나, RF 평면 상의 열적 스트레스를 방지하기 위하여 RF 평면(103)으로부터 니켈 몰리브덴 변이부를 멀리 이동시키는 것이 바람직하다.After the pressure bonding process, the hole is drilled through another ceramic material over the RF electrode 118 to expose the heater stub 110 and the molybdenum electrode. Nickel heater standoff rod 115 and nickel RF standoff rod 117 are counterbored to receive tungsten slugs 227A and 227B, respectively. Next, a nickel heater standoff rod 115 and nickel RF standoff rod 117 having tungsten slugs 227A and 227B are inserted into the heater electrode 119 and the RF electrode 18. In another embodiment, tungsten slugs 227A and 227B may additionally be placed in the drilled holes prior to insertion of heater rod 115 and RF rod 117. As shown in FIG. 9, the contact between the rods 115 and 117 and between the electrodes 119 and 118 is essentially coplanar and on the side of the heater element 107 facing away from the RF plane 103. This does not necessarily require these conditions. However, it is desirable to move the nickel molybdenum transition away from the RF plane 103 to prevent thermal stress on the RF plane.

다음에 상기 전체 어셈블리는 공융물을 형성하기에 충분한 온도로 가열된다. 순수 니켈과 순수 몰리브덴이 사용된다면, 니켈-몰리브덴 공융 혼합물(eutectic)은 1315℃에서 형성될 것이고; 그러나, 상업적으로 입수가능한 니켈 200이 사용된다면, 다중 엘리먼트 공융 혼합물은 1315℃의 약간 이하의 온도에서 형성될 것이다. 소량의 공융 혼합물을 형성하는 것만이 필요하며, 따라서 처리 시간은 바람직하게 최소로 유지된다. 몰리브덴과 니켈 부품을 결합하는데 상기 처리 온도에서 10분이면 충분하다. 상기 니켈 몰리브덴 시스템은 특히 이미 개시된 바와 같이 일부 증착 환경에서 공통인 할로겐 류을 함유하는 HaynesTM242 합금과 유사한 공융 혼합물이 뛰어난 부식 저항을 가지기 때문에 바람직하다. 텅스텐 슬러그(227A와 227B)는 공융 혼합물내로의 부분적 용해와 얻어지는 합금의 응결에 의해 니켈 몰리브덴 공융 혼합물의 형성 범위를 제한하는 텅스텐 소스를 제공한다. 텅스텐 링(228A와 228B)은, 존재한다면, 니켈 몰리브덴 공융 혼합물 형태의 형성 범위를 추가로 제한한다. 특히, 이들은 니켈 로드까지, 그리고 접합부로부터 멀리 공융 혼합물의 휙킹(wicking)을 금지시킨다.The entire assembly is then heated to a temperature sufficient to form a eutectic. If pure nickel and pure molybdenum are used, the nickel-molybdenum eutectic will be formed at 1315 ° C .; However, if commercially available nickel 200 is used, the multi-element eutectic mixture will form at a temperature slightly below 1315 ° C. It is only necessary to form a small amount of eutectic mixture, so the treatment time is preferably kept to a minimum. Ten minutes at the treatment temperature is sufficient to bond the molybdenum and nickel components. The nickel molybdenum system is particularly preferred as the eutectic mixture similar to Haynes 242 alloy containing halogens common in some deposition environments, as already disclosed, has excellent corrosion resistance. Tungsten slugs 227A and 227B provide a tungsten source that limits the range of formation of the nickel molybdenum eutectic mixture by partial dissolution into the eutectic mixture and condensation of the resulting alloy. Tungsten rings 228A and 228B, if present, further limit the range of formation in the form of nickel molybdenum eutectic mixtures. In particular, they prohibit wicking of the eutectic mixture up to the nickel rod and away from the junction.

D. 열 쵸크 및 커플러 D. Thermal Chokes and Couplers

특정 실시예에 따르면, 도 6에 도시된 히터 어셈블리(33)는 커플러(122)를 사용하여 지지용 샤프트(121)에 부착된다. 스테인레스 강 또는 다른 유사한 금속으로 제조되는 커플러(122)는 상기 세라믹 히터 어셈블리를 금속 샤프트에 고착하는데 사용된다. 도 10은 열 쵸크 커플러(123)와 2피스 상부 클램프(124)를 포함하는 커플러(122)의 개략적 단면도이다. 도 11은 도 10의 하부에 있는 열 쵸크 커플러(123)의 개략적 등각 투영도이다. 열 쵸크 커플러(123)의 하부 플랜지는 이 커플러가 지지용 샤프트에 나사 맞춤되도록 나사산을 이룬 블라인드 홀(126)을 갖는다. 상부 포켓(127)은 히터 스터브 플랜지(도시되지 않음)를 수용하고, 포켓 면(128)상에 놓이고, 상부 클램프(도 10의 124)에 의해 체결되며, 텐션 암(129)에 의해 원주로 유지된다. 도 10을 또다시 참조하면, 상부 포켓(127)은 히터 스터브 플랜지 둘레에 있는 플랫에 대응하는 정렬 플랫(도 11의 454)을 갖는다. 물론, 상부 포켓(127)은 사용될 수 있는 기타 정렬 매커니즘 및 히터 스터브 플랜지의 형태와 부합하여야 한다. 상부 클램프(124)는 열 쵸크 커플러에 부착되기 전에 히터 스터브 플랜지 둘레에 함께 있게되는 C 형태의 반쪽들을 포함한다. 슬릿(130)은, 텐션 스크류(131)로부터의 텐션이 텐션 암(129)과 함께 히터 스터브상에 플랜지를 유지시키기 위해 텐션 암(129)을 당기도록, 대향 텐션 스크류(131)에 대향된 코드를 컷팅되지 않도록 한 채, 포켓 면(128)에 대해 실질적으로 동평면으로 컷팅된다. 슬릿(130)과 유사한 형태를 지닌 스페이서(도시되지 않음)는 텐션 암(129)을 지지하고 슬릿(130)을 통한 가스 흐름을 감소시키기 위해 슬릿(130)에 삽입될 수 있다. 변형 완화 슬롯(132) 쌍은, 텐션 스크류(131)에 의해 가해진 스트레스에 의한 가능한 변형을 증가시키고, 금속인 클램프가 세라믹 히터 스터브 플랜지 보다 크게 팽창하는 만큼 어셈블리가 가열되도록 텐션 암이 후프 텐션을 계속 가할 수 있도록 하기 위해 텐션 암(129)(변형 완화 슬롯(132) 쌍의 각각의 슬롯은 암(129)의 대향 측으로부터 기계가공됨) 내부로 기계가공된다. 본 실시예에서, 4 쌍의 변형 완화 슬롯이 도시되었지만, 이 수는 클램프 설계 및 재료에 따라 조정될 수 있다. 변형 완화 슬롯은 특정 실시예에 따라 폭이 약 40mil이고 약 0.3 인치 텐션 암의 약 0.1 인치 내로 컷팅된다. 변형 완화 슬롯의 단부는 제조 성능을 향상시키고 슬롯의 정점에서 스트레스 집중을 감소시키기 위해 라운딩될 수 있다.According to a particular embodiment, the heater assembly 33 shown in FIG. 6 is attached to the support shaft 121 using a coupler 122. Coupler 122 made of stainless steel or other similar metal is used to secure the ceramic heater assembly to the metal shaft. 10 is a schematic cross-sectional view of a coupler 122 that includes a thermal choke coupler 123 and a two-piece upper clamp 124. FIG. 11 is a schematic isometric view of thermal choke coupler 123 at the bottom of FIG. 10. The lower flange of the thermal choke coupler 123 has a blind hole 126 threaded such that the coupler is screwed into the support shaft. The upper pocket 127 receives a heater stub flange (not shown), rests on the pocket face 128, and is fastened by an upper clamp (124 of FIG. 10) and circumferentially by a tension arm 129. maintain. Referring again to FIG. 10, the upper pocket 127 has an alignment flat (454 in FIG. 11) corresponding to the flat around the heater stub flange. Of course, the upper pocket 127 must match the shape of the heater stub flange and other alignment mechanisms that may be used. The upper clamp 124 includes C shaped halves that stay together around the heater stub flange before being attached to the heat choke coupler. The slit 130 is a cord opposing the opposing tension screw 131 such that the tension from the tension screw 131 pulls the tension arm 129 to retain the flange on the heater stub with the tension arm 129. It is cut substantially coplanar with respect to pocket face 128, without cutting. A spacer (not shown) having a similar shape to the slit 130 may be inserted into the slit 130 to support the tension arm 129 and reduce gas flow through the slit 130. The pair of strain relief slots 132 increases the possible strain due to the stress exerted by the tension screw 131 and the tension arm continues to hoop tension so that the assembly heats up as much as the metal clamp expands larger than the ceramic heater stub flange. It is machined into a tension arm 129 (each slot of a pair of strain relief slots 132 machined from an opposing side of the arm 129) to enable application. In this embodiment, four pairs of strain relief slots are shown, but this number can be adjusted according to the clamp design and material. The strain relief slot is about 40 mils wide and is cut within about 0.1 inches of the about 0.3 inch tension arm, according to certain embodiments. The ends of the strain relief slots can be rounded to improve manufacturing performance and reduce stress concentration at the peaks of the slots.

도 10에 도시된 바와 같이, 열 쵸크 커플러(123)는 상부 포켓(127)과 하부 플랜지(125) 사이에 얇은 웨브(133)가 있도록 제조된다. 약 20-100 mil 두께이고, 바람직하게는 40-60 mil 두께인 이 웨브는 특정 실시예에서 히터 어셈블리와 지지용 샤프트 사이에 높은 열 저항 경로로서 작용한다. 이 웨브는 특정 실시예에서 약 0.2-0.5 인치 사이의 범위인 수직 웨브 부분의 높이와 함께 0.6-1.0 인치 사이의 유효 길이를 갖는다. 도시된 실시예에서, 약 25 와트 전력이 지지용 샤프트와 약 625℃ 온도에서 동작하는 히터 어셈블리 사이에 흐르며, 이것의 하부단부는 약 50℃ 이다. 커플러(122)의 기타 실시예는 웨브(133)의 전체 길이가 주어진 웨브 두께에 대해 고온 응용을 위해 더 길게 되거나 주어진 길이에 대해 웨브 두께가 감소되는 고온 응용에도 이용될 수 있다. 이 웨브는 기계적으로 충분히 강체이어야 할 뿐만 아니라 열 쵸크를 제공할 수 있을 정도로 얇아야 한다. 커플러(122)의 사용은 히터 어셈블리가 샤프트 상부에서 열적으로 부유하게 하며, 보정되지 않은 히터 엘리먼트 설계에서 개선된 온도 균일도로 웨이퍼 온도를 유지하는 데 요구되는 가열 엘리먼트로의 전력의 전달을 감소시킬 수 있게 한다.히터로부터 페데스탈을 통해 샤프트 아래로 열이 덜 흐르므로, 이 포텐셜 가열 도관 상부에 콜드 스폿을 형성할 기회가 덜 생기며, 이렇게 하여 웨이퍼 온도 컨포미티를 개선한다. 또한, 커플러(122)의 사용은 세라믹 히터 어셈블리에 걸쳐 산출된 열 변화를 감소시키며, 이것은 히터 어셈블리 크래킹을 감소시키고, 이렇게하여 히터 어셈블리의 동작 수명을 증가시킨다. 커플러(122)의 사용은 또한 더욱 짧고 컴팩트한 히터 어셈블리가 되고, 즉 긴 세라믹 스터브 또는 세라믹 지지용 샤프트를 갖는 히터 어셈블리를 제조하는 것이 더욱 용이하다.As shown in FIG. 10, the heat choke coupler 123 is made such that there is a thin web 133 between the upper pocket 127 and the lower flange 125. About 20-100 mils thick, preferably 40-60 mils thick, the web acts as a high thermal resistance path between the heater assembly and the support shaft in certain embodiments. This web has an effective length between 0.6-1.0 inches with the height of the vertical web portion in a particular embodiment ranging between about 0.2-0.5 inches. In the illustrated embodiment, about 25 watts of power flow between the support shaft and the heater assembly operating at a temperature of about 625 ° C., the lower end of which is about 50 ° C. Other embodiments of coupler 122 may also be used in high temperature applications where the overall length of web 133 is longer for high temperature applications for a given web thickness or the web thickness is reduced for a given length. This web must not only be mechanically rigid enough, but also thin enough to provide thermal choke. The use of coupler 122 allows the heater assembly to be thermally suspended above the shaft and can reduce the transfer of power to the heating element required to maintain wafer temperature with improved temperature uniformity in an uncorrected heater element design. Since less heat flows from the heaters through the pedestal down the shaft, there is less opportunity to form cold spots on top of this potential heating conduit, thereby improving wafer temperature conformance. In addition, the use of coupler 122 reduces the calculated thermal variation across the ceramic heater assembly, which reduces the heater assembly cracking, thereby increasing the operating life of the heater assembly. The use of coupler 122 also results in a shorter and more compact heater assembly, ie it is easier to manufacture a heater assembly with a long ceramic stub or ceramic support shaft.

상부 클램프(124)는 상부 클램프(124)의 관통 홀(451)과 열 쵸크 커플러(123)의 홀(452)에 배치된 클램핑 스크류를 사용하여 열 쵸크 커플러(123)에 결합된다. 홀(451)은 상부 클램프(124)의 블라인드 홀이다. 하부 플랜지(125)는 액세스 홀(134)을 가지고, 클램핑 스크류 보다 크며, 어셈블리가 아래에 있을 수 있게 한다. 이러한 유형에서, 액세스 홀(134)은 하부 플랜지(125)의 나사 홀(126)로부터 오프셋트되지만, 이 나사 홀이 상부 클램프 스크류를 액세스할 수 있을 정도로 충분히 크다면 동축일 수 있다. 일정 실시예에 따라, 상부 클램프상의 외부 정렬 립(135)은 클램프의 외경을 따라 비교적 매끄러운 표면을 형성하기 위해 열 쵸크 커플러(123)의 외부 정렬 레지(136)에 위치한다(도 10 및 13 참조). 다른 실시예에서, 상부 클램프의 외부 정렬 립(135)은 상부 클램프(124)의 외경이 열 쵸크 커플러(123)의 외경 보다 약간 크도록 열 쵸크 커플러(123)의 고체의 상부 에지(아무런 레지(136)도 형성되어 있지 않음) 위에 걸리거나 놓일 수 있다. 캔틸레버식 워셔(137)는 상부 클램프(124)의 일부로서 기계가공되고, 스크류는 상부 클램프(124)의 관통 홀(451)과 열 쵸크 커플러(123)의 홀(452)에 배치된 스크류는 히트 쵸크의 상부 포켓에 히터 스터브 플랜지를 체결식으로 유지하기 위해 히터 스터브 플랜지(도시되지 않음)에 압력을 가한다. 특정 실시예에서, 캔틸레버식 워셔(137)는 두께가 10-20 mil이고, 적절한 압력이 세라믹 히터 스터브를 파괴시키지 않고 이 세라믹 히터 스터브상에 유지될 수 있도록 그것을 컷팅하는 변형 완화 슬롯(138)을 갖는다. 이 변형 완화 슬롯은 텐션 암에서 컷팅된 것과 일반적인 형태가 유사할 수 있다.(상기한 바와 같이).The upper clamp 124 is coupled to the thermal choke coupler 123 using clamping screws disposed in the through hole 451 of the upper clamp 124 and the hole 452 of the thermal choke coupler 123. The hole 451 is a blind hole of the upper clamp 124. The bottom flange 125 has an access hole 134 and is larger than the clamping screw, allowing the assembly to be below. In this type, the access hole 134 is offset from the screw hole 126 of the lower flange 125 but may be coaxial if it is large enough to access the upper clamp screw. According to some embodiments, the outer alignment lip 135 on the upper clamp is located in the outer alignment ledge 136 of the thermal choke coupler 123 to form a relatively smooth surface along the outer diameter of the clamp (see FIGS. 10 and 13). ). In another embodiment, the outer alignment lip 135 of the upper clamp has a solid upper edge (no ledge) so that the outer diameter of the upper clamp 124 is slightly larger than the outer diameter of the thermal choke coupler 123. 136 is also not formed) can be hung or placed over. The cantilever washer 137 is machined as part of the upper clamp 124, and the screw is disposed in the through hole 451 of the upper clamp 124 and the hole 452 of the heat choke coupler 123. Pressure is applied to the heater stub flange (not shown) to securely hold the heater stub flange to the upper pocket of the choke. In a particular embodiment, the cantilevered washer 137 is 10-20 mils thick and has a strain relief slot 138 that cuts it so that an appropriate pressure can be maintained on the ceramic heater stub without breaking it. Have This strain relief slot may be similar in general shape to that cut in the tension arm (as described above).

도 12는 축(121)에 의해 지지된 상부 클램프(124)(C-단면으로 도시된 두 개중의 단지 하나)가 열 쵸크 커플러(123)의 상부 포켓(127)에 히터 스터브 플랜지(139)를 유지시키는 법을 도시하는 단면 등측 분해도를 나타낸다. 이 실시예에서, 커플러(122)는 세라믹 히터 어셈블리를 금속 지지용 샤프트에 결합시킨다. 다른 실시예는 히터 어셈블리(커플러를 이용하여 체결된)를 샤프트로부터 열적으로 격리시켜 결합시키기 위해, 히트 쵸크 및 커플러를 지지용 샤프트에 통합할 수 있을 것이다. 추가 실시예는 접지된 지지용 샤프트와, RF 전극으로 사용될 수 있는 금속 히터간에 전기적 절연을 제공하기 위해 지지용 샤프트와 금속 히터간에 세라믹 스페이서를 통합할 수 있다. 열 쵸크 커플러는 세라믹 부재를 견고성 있고 신뢰성 있게 금속 히터 및/또는 지지용 샤프트에 결합시키고 하나의 부재로부터 다른 부재로 열 흐름을 감소시키는 이점을 얻기 위해, 세라믹 스페이서의 일측 또는 양측 단부에 이용될 수 있다.12 shows that the upper clamp 124 (only one of the two shown in C-section) supported by the shaft 121 places the heater stub flange 139 in the upper pocket 127 of the thermal choke coupler 123. Cross-sectional isometric exploded view showing how to hold is shown. In this embodiment, coupler 122 couples the ceramic heater assembly to the metal support shaft. Another embodiment may incorporate heat chokes and couplers into the support shaft to thermally isolate and couple the heater assembly (fastened using a coupler) from the shaft. Further embodiments may incorporate ceramic spacers between the support shaft and the metal heater to provide electrical isolation between the grounded support shaft and the metal heater that may be used as the RF electrode. Thermal choke couplers can be used at one or both ends of the ceramic spacers in order to securely and reliably couple the ceramic members to the metal heater and / or support shaft and to reduce the heat flow from one member to the other. have.

E.히터 페데스탈 부품 E. Heater Pedestal Parts

도 6을 참조하여 페데스탈(32)이 상세히 설명된다. 이 페데스탈은 웨이퍼를 진공 챔버(30)내의 가공 위치로 리프팅시키거나 가공 동안 웨이퍼를 가열시키기는 기능을 한다. 본 명세서에 설명된 히터 페데스탈은 약 450℃ 이상 및 약 750℃ 이상의 온도에서 동작하는 가공 동안 특히 유용할 지라도, 히터 페데스탈은 마찬가지로 이 보다 더 낮은 온도에서 동작하는 가공을 위해서도 이용될 수 있다. 최초에, 페데스탈(32)은 본 명세서에 도시되고 설명된 예시적인 PECVD 시스템 보다 여러 가공 챔버에 직접 위치되기 위해, 또는 사용을 위해 수정될 수 있음을 유의해야 한다. 예를 들어, 히터/리프트 어셈블리(40)는 기타 CVD 챔버 또는 일반적으로 반도체 가공 챔버에 이용될 수 있다.The pedestal 32 is described in detail with reference to FIG. 6. This pedestal serves to lift the wafer to a processing position in the vacuum chamber 30 or to heat the wafer during processing. Although the heater pedestals described herein are particularly useful during processing operating at temperatures above about 450 ° C. and about 750 ° C., the heater pedestals can likewise be used for processing operating at lower temperatures. Initially, it should be noted that pedestal 32 may be modified for use or to be placed directly in various processing chambers than the exemplary PECVD system shown and described herein. For example, the heater / lift assembly 40 may be used in other CVD chambers or generally semiconductor processing chambers.

또 다른 특징 구조는 히터 어셈블리를 지지용 샤프트로부터 열적으로 격리시키는 것을 돕는다. 퓨징된 실리카 열 실드(431)는 히터 어셈블리의 최하부로 부터의 열 손실을 감소시킨다. 이 열 실드는 히터 표면상의 원치않는 증착을 방지하고, 용이하고 별개로 세척 및 재장착될 수 있고, 이렇게하여 세척 시간을 증가시키고 히터 수명을 증가시킨다. 상기한 도 4a-4e에 도시된 바와 같이, 열 실드는 다양한 구성으로 제조될 수 있다. 일 실시예(도시되지 않음)에서, 세척 가스가 히터 어셈블리의 최하부 플레이트와 열 실드 사이에 인가되고, 웨이퍼의 에지상에 서의 증착을 감소시키기 위해 히터 어셈블리의 에지와 흐름 제한 링 사이에 흐른다.Another feature structure helps to thermally isolate the heater assembly from the support shaft. Fused silica heat shield 431 reduces heat loss from the bottom of the heater assembly. This heat shield prevents unwanted deposition on the heater surface and can be easily and separately cleaned and remounted, thereby increasing the cleaning time and increasing the heater life. As shown in FIGS. 4A-4E above, the heat shield can be manufactured in various configurations. In one embodiment (not shown), a cleaning gas is applied between the bottom plate of the heater assembly and the heat shield and flows between the edge of the heater assembly and the flow restriction ring to reduce deposition on the edge of the wafer.

퓨징된 실리카로 된 열 실드(431)는 웨이퍼 리프트 핀용 홀 및 페데스탈의 스터브를 수용하기 위해 내부 직경을 갖춘 일 디스크 형태의 피스( 페데스탈의 측 에지를 포위하기 위해 외부 디스크 원주 둘레의 벽을 선택적으로 가짐)로 이루어 진다. 열 실드(431)는, 실드(431)가 칼러(141)의 니켈 정렬 핀(140)에 의해 제자리에 유지될 수 있도록, 내부 직경의 지점에서 자신의 최하부 면에 배치된 적어도 두 개의 홀을 갖는다. 칼러(141)는 알루미늄으로 이루어지고 스크류(142)로 지지용 샤프트(121)에 부착된다. 퓨징된 실리카 절연체(143)는 히터 어셈블리의 최하부 플레이트 아래에 직접 위치하므로써 히터 어셈블리로부터 지지용 샤프트으로 복사 열 손실을 더욱 감소시킨다. 절연체(143)는 두 개의 반원 피스로 이루어진다. 이것은 히터 어셈블리의 최하부 플레이트로 가두어지므로, 히터 스터브, 지지용 샤프트, 및 상부 클램프, 실리카 절연체(143)는 더 이상 조임 금속구(fastener)를 필요로 하지 않는다. 열 실드(431) 및 절연체(143)는 챔버 체적과 히터 페데스탈로 부터의 열 손실을 감소시키고 따라서 펌핑 시간을 감소시킨다.A heat shield 431 of fused silica is provided with one disk shaped piece having an inner diameter to accommodate the hole for the wafer lift pin and the stud of the pedestal (selectively a wall around the outer disk circumference to surround the side edge of the pedestal). Have a). The thermal shield 431 has at least two holes disposed on its bottommost surface at points of the inner diameter so that the shield 431 can be held in place by the nickel alignment pins 140 of the collar 141. . The collar 141 is made of aluminum and attached to the support shaft 121 with a screw 142. The fused silica insulator 143 is located directly below the bottom plate of the heater assembly to further reduce radiant heat loss from the heater assembly to the support shaft. The insulator 143 consists of two semicircular pieces. Since it is confined to the bottom plate of the heater assembly, the heater stub, support shaft, and top clamp, silica insulator 143 no longer require fasteners. Heat shield 431 and insulator 143 reduce heat loss from the chamber volume and heater pedestal and thus reduce pumping time.

일 실시예에서, 지지용 샤프트(121)는 플러그(144,145A-C,146)로 채워지고, 이 플러그의 각각은 히터 스터브의 4개 홀에 대응하는 4개 관통 홀을 갖는다. 실질적으로 샤프트 내부에 모든 체적을 취하므로써, 고체 플러그는 진공에서 중공 샤프트으로 발생할 수 있는 RF 아아킹 가능성을 감소시킨다. 퓨징된 실리카 플러그(144)는 전도성 열 전달을 감소시키고, 세라믹 플러그(145A-C 및 146)는 써모커플의 삽입/추출을 위한 가이드를 제공할 뿐만 아니라 전극간에 전기적 절연체로서의 역할을 한다. 이 플러그는 통상적인 동작 압력으로 소개될 것이 요구되는, 중공 샤프트에 비해 펌핑 체적을 감소시킨다. 고체 플러그는 필요한 펌핑을 감소시키고, 압력 사이클 동안 교환된 볼륨을 최소화하므로써, 챔버와 축 사이의 부식 물질 및 오염 물질의 이송을 감소시킨다. 최상부 퓨징된 실리카 플러그(144)는 히터 어셈블리(33)와 세라믹 플러그로 된 지지용 샤프트(121) 사이에 열적 절연를 더 제공한다. 플러그(144A-C 및 146)는 최대 동작 온도에 좌우되어, 퓨징된 실리카, 또는 폴리머 물질로 이루어 질 수 있다. 본 실시예에서, 플러그(144A-C 및 146)는 알루미늄-기초 세라믹 물질로 이루어 질 수 있다. 기타 실시예에서, 플러그(144A-C 및 146)는 단일, 긴 플러그; 다소의 플러그; 또는 세라믹 충진재와 같은 높은 전기 저항성을 갖는 충진재로 대체될 수 있다. 하부 스탠드오프(147)는 VespelTM로 이루어진다. 하부 엔드 캡(148)은 DerlinTM로 이루어진다. 스탠드오프(147) 및 엔드 캡(148)은 샤프트내에 와이어링을 수용하기 위해 내부에 형성된 통로를 갖는다. 각각의 전극과 함께 사용되는 C-링 클립(771)은 최하부 전극이 밀어지거나 당겨지는 것을 방지하는 데 이용된다. 또한, O-링(773)은 샤프트(121)의 단부 및 하부 스탠드오프(147)를 밀봉하고, O-링(775)(각각의 전극과 함께 사용되는)은 하부 스탠드오프(147)와 엔드 캡(148) 사이를 밀봉한다. 따라서, 샤프트은 어떤 실시예에선 세척 가스 도입을 위해 밀봉될 수 있다.In one embodiment, the support shaft 121 is filled with plugs 144, 145AC, 146, each of which has four through holes corresponding to the four holes of the heater stub. By taking virtually all of the volume inside the shaft, the solid plug reduces the possibility of RF arcing that can occur from the vacuum to the hollow shaft. Fused silica plug 144 reduces conductive heat transfer, and ceramic plugs 145A-C and 146 not only provide a guide for insertion / extraction of thermocouples, but also serve as electrical insulators between electrodes. This plug reduces the pumping volume compared to the hollow shaft, which is required to be introduced at normal operating pressure. Solid plugs reduce the pumping required and minimize the volume exchanged during the pressure cycle, thereby reducing the transport of corrosive and contaminants between the chamber and the shaft. The top fused silica plug 144 further provides thermal insulation between the heater assembly 33 and the support shaft 121 of the ceramic plug. Plugs 144A-C and 146 may be made of fused silica, or polymeric material, depending on the maximum operating temperature. In this embodiment, the plugs 144A-C and 146 may be made of an aluminum-based ceramic material. In other embodiments, plugs 144A-C and 146 may be single, long plugs; Some plugs; Or a filler with high electrical resistance, such as a ceramic filler. Lower standoff 147 is made of Vespel . Lower end cap 148 is made of Derlin . Standoff 147 and end cap 148 have passages formed therein for receiving wiring in the shaft. The C-ring clip 771 used with each electrode is used to prevent the bottom electrode from being pushed or pulled. In addition, the O-ring 773 seals the end of the shaft 121 and the lower standoff 147, and the O-ring 775 (used with each electrode) ends with the lower standoff 147. Seal between the caps 148. Thus, in some embodiments the shaft may be sealed for introduction of the cleaning gas.

세라믹 라이너부(149)는 특히 라이너 조인트(151)가 플러그 조인트(152)로부터 오프세트되어 있기 때문에, 히터 와이어(150) 및 RF 피드쓰루(도시되지 않음)를 위해 부식을 방지하는 추가 보호를 제공한다. 라이너부(149)는 와이어링, 세척 및 써모커플 통로의 각각을 위해 단일한 긴 라이너로 대체될 수 있다. 세척 가스가 입구 튜브(153)로부터 가해질 수 있거나, 진공으로 유도될 수 있다. 주입 튜브(153)는 알루미늄으로 만들어지고 알루미늄 지지용 샤프트(121)에 용접될 수 있다. 알루미늄-스테인리스 강 변이부(154)는 스테인레스 강 가스 라인 부속품(155)을 알루미늄 주입 튜브에 결합시킨다. 이 변이부는 통상 지지용 샤프트의 내경에 가두어지므로 컴팩트하여야 한다. 납땜 및 폭발 본딩은 통상 알루미늄-스테인레스 강 변이부 결합(조인트)을 만드는 데에도 사용된다.The ceramic liner portion 149 provides additional protection against corrosion for the heater wire 150 and the RF feedthrough (not shown), especially since the liner joint 151 is offset from the plug joint 152. do. The liner portion 149 may be replaced with a single long liner for each of the wiring, cleaning and thermocouple passages. Cleaning gas may be applied from the inlet tube 153 or may be directed to a vacuum. The injection tube 153 is made of aluminum and can be welded to the aluminum support shaft 121. The aluminum-stainless steel transition 154 couples the stainless steel gas line accessory 155 to the aluminum injection tube. This transition part is usually confined to the inner diameter of the support shaft and should be compact. Soldering and explosion bonding are also commonly used to make aluminum-stainless steel transition bonds (joints).

히터 어셈블리(33)의 스터브로부터 돌출하는 니켈 로드(156)는 도 1, 도 2 및 도 6에서 알 수 있는 바와 같이 길이가 변할 수 있다. 로드(156)는 히터 어셈블리(33)의 제작을 용이하게 하기 위해, 그리고 히터 어셈블리(33)와 함께 절연체(144) 및 플러그(145A-C)의 어셈블리를 위해 길이가 짧다. 로드(156)는 실시예에서 히터 스터브를 지나 바람직하게 약 2-5 인치 연장한다. 히터 공급 라인(150)은 크림프 연결부(157)로 니켈 로드(157)에 부착된다. 로드(156)의 길이는 연결부(157)가 플러그와 플러그 결합에 대해 위치되는 곳을 결정한다. 히터 공급 라인(150)은 어셈블리 및 고온 열 순환 동안 변형 완화를 제공하기 위해 세라믹 라이너(149)의 내부에서 코일 형상일 수 있다. RF 전력 공급 라인(도시되지 않음)은 히터 공급 라인과 마찬가지로 코일 형상일 수 있다. 페데스탈 내부의 모든 전기 라인은 코일 형상의 스트랩(strap)이지만, 코일 형상의 와이어는 선택적으로 사용될 수도 있다. 코일 라인은 충분한 양의 와이어링을 제공하여 히터 파손을 최소화한다. 이들 니켈 로드 팽창에 의해 야기된 변형을 감소시키는 이들 코일 라인의 사용없이, 니켈 로드는 페데스탈의 세라믹 플레이트에 대해 팽창할 수 있어서 페데스탈을 파손시킨다. 더욱이, 코일 라인을 사용하면, 외부 커넥터의 삽입에 의해 야기된 임의의 상향 힘 때문에 페데스탈 파손의 위험이 방지된다.The nickel rod 156 protruding from the stub of the heater assembly 33 may vary in length as can be seen in FIGS. 1, 2, and 6. The rod 156 is short in length to facilitate manufacture of the heater assembly 33 and for assembly of the insulator 144 and the plugs 145A-C with the heater assembly 33. Rod 156 extends preferably about 2-5 inches past the heater stub in the embodiment. Heater supply line 150 is attached to nickel rod 157 with a crimp connection 157. The length of the rod 156 determines where the connection 157 is positioned relative to the plug and plug engagement. Heater supply line 150 may be coiled inside of ceramic liner 149 to provide strain relief during assembly and high temperature thermal cycling. The RF power supply line (not shown) may be coiled like the heater supply line. All electrical lines inside the pedestal are coil shaped straps, but coil shaped wires may optionally be used. Coil lines provide a sufficient amount of wiring to minimize heater breakage. Without the use of these coil lines to reduce the strain caused by these nickel rod inflation, the nickel rod can expand against the ceramic plate of the pedestal and break the pedestal. Moreover, using a coil line prevents the risk of pedestal failure due to any upward force caused by the insertion of the external connector.

도 13은 상부 클램프(124)와 관련하여 열 쵸크 커플러(123)에 지지용 샤프트(121)를 결합하는 나사(158)의 단면도를 도시한다. 나사(158)가 고정된후 (슬롯(455)내에 고정하는 나사 드라이브를 사용하여) 나사식으로 고정되는 커버 플러그 또는 캡(159)은 챔버의 부식 환경으로부터 나사(158)를 보호한다. 지지용 샤프트 표면의 홀에 대한 플러그로서 동작할 때, 커버 플러그(159)는 지지용 샤프트의 금속과 유사한 금속, 본 경우에는 알루미늄 합금으로 만들어진다. 플러그(159)를 위해 사용되는 재료는 바람직하게 양호한 부식 특성을 가진다. 플러그(159) 및 샤프트에 대한 재료가 동일하게 팽창하기 때문에 플러그(159) 및 샤프트에 대해 동일한 재료를 사용하는 것은 바람직하며, 다른 재료를 사용함으로서 발생하는 갈바니 반응의 위험은 감소된다. 유사하게, 커버 플러그(도시안됨)는 유사한 목적을 위해 지지용 샤프트에 고리를 결합하는 나사를 덮기 위해 사용될 수 있다.FIG. 13 shows a cross-sectional view of a screw 158 that couples the support shaft 121 to the thermal choke coupler 123 in relation to the upper clamp 124. The cover plug or cap 159 which is screwed after the screw 158 is secured (using a screw drive that secures in the slot 455) protects the screw 158 from the corrosive environment of the chamber. When operating as a plug for a hole in the support shaft surface, the cover plug 159 is made of a metal similar to the metal of the support shaft, in this case an aluminum alloy. The material used for the plug 159 preferably has good corrosion properties. It is preferable to use the same material for the plug 159 and the shaft because the material for the plug 159 and the shaft expands equally, and the risk of galvanic reactions occurring by using different materials is reduced. Similarly, a cover plug (not shown) can be used to cover a screw that couples the ring to the support shaft for a similar purpose.

도 13에 도시된 실시예는 외부 정렬 립(135) 및 열 쵸크 커플러(123)가 샤프트(121)의 내부 표면으로부터 분리된 평면을 형성하도록 상부 클램프(124)와 함께 열 쵸크 커플러(122)내에 형성된 정렬 레지(136)를 가진다. 이 갭은 샤프트(121) 및 결합기(122)사이의 접촉을 막는다. 갭은 열팽창 계수의 차이를 수용할 뿐만 아니라, 히터 스터브 플랜지(139)로부터 샤프트(121)까지의 열손실을 최소화하기 위하여 열적 절연체를 제공할 수 있도록 충분히 커야 한다. 열 쵸크 커플러(123)가 레지(135)를 가지지 않고 상부 클램프(124)가 열 쵸크 커플러(123)보다 큰 외부 직경을 가져서 립(135)이 열 쵸크 커플러(123)의 고체 에지상에 고정되는 실시예에 있어서, 샤프트(121)의 내부면은 공간이 샤프트(121)로부터 결합기(122)를 분리하도록 가공될 수 있다.The embodiment shown in FIG. 13 is in the thermal choke coupler 122 with the upper clamp 124 such that the outer alignment lips 135 and thermal choke coupler 123 form a plane separated from the inner surface of the shaft 121. It has an alignment ledge 136 formed. This gap prevents contact between the shaft 121 and the coupler 122. The gap must be large enough to accommodate the difference in coefficient of thermal expansion, as well as to provide a thermal insulator to minimize the heat loss from the heater stub flange 139 to the shaft 121. The thermal choke coupler 123 does not have a ledge 135 and the upper clamp 124 has a larger outer diameter than the thermal choke coupler 123 so that the lip 135 is fixed on the solid edge of the thermal choke coupler 123. In an embodiment, the inner surface of the shaft 121 may be machined such that the space separates the coupler 122 from the shaft 121.

F.RF 공급 시스템 F. RF Supply System

도 14는 히터 어셈블리(202) 및 지지용 샤프트(203)의 단순화된 단면도를 포함하는 RF 전원 시스템의 단순화된 도면이다. 도시된 구조에서, 챔버(204) 및 샤워헤드(205)는 접지되며, RF 평면(206)에는 전력가 공급된다. 다른 구조에서, 샤워헤드(205)에는 전력가 공급되는 반면에, RF 평면(206)은 접지되며, 또는 샤워헤드(205) 및 RF 평면(206)에서는 전력가 분배될 수 있으며, 샤워헤드(205) 및 RF평면(206) 각각은 접지 전위에 접속된 챔버(204)에 비례하여 RF 전력를 수신할 수 있다. 또 다른 실시예에 있어서, 하나의 RF 주파수는 샤워헤드(205)에 공급될 수 있으며, 다른 RF 주파수는 RF평면(206)에 공급된다. 도 14에 도시된 구조는 하부 전력 플라즈마로써 공지된 것을 발생시킨다. 면판에 전력가 공급되고 RF 평면이 접지되는 다른 구조는 상부 전력 플라즈마로써 공지된 것을 발생시키며 임의의 응용에 바람직하다. 부가적으로, 플라즈마 시스템은 막의 증착 및 특성을 최적화하기 위하여 RF 평면(206) 및 샤워헤드(205)사이에 DC 바이어스 전압(RF 전력에 부가하여)을 인가할 수 있다.14 is a simplified diagram of an RF power system that includes a simplified cross-sectional view of the heater assembly 202 and the support shaft 203. In the illustrated structure, chamber 204 and showerhead 205 are grounded and RF plane 206 is powered. In another structure, the showerhead 205 is powered, while the RF plane 206 is grounded, or power is distributed in the showerhead 205 and the RF plane 206, and the showerhead 205 and Each of the RF planes 206 may receive RF power in proportion to the chamber 204 connected to a ground potential. In another embodiment, one RF frequency may be supplied to the showerhead 205 and another RF frequency may be supplied to the RF plane 206. The structure shown in FIG. 14 generates what is known as a bottom power plasma. Other structures in which the faceplate is powered and the RF plane is grounded generate what is known as the top power plasma and is desirable for any application. Additionally, the plasma system may apply a DC bias voltage (in addition to RF power) between the RF plane 206 and the showerhead 205 to optimize the deposition and properties of the film.

RF 평면(206)은 도 14에 도시된 바와같이 웨이퍼(36) 아래에 있는 히터 어셈블리(202)내에 놓인다. RF 발생기(207)는 매칭 네트워크(208)를 통해 RF 평면(206)에 RF 전력를 공급한다. RF 피드스루(209)는 챔버(204)로부터 RF 공급라인(210)을 분리시킨다. RF 피드스루(209)와 동일하거나 다를 수 있는 히터 피드스루(218)는 챔버(204)로부터 히터 라인(212)을 분리시킨다. 일부 RF 에너지는 와류 커패시턴스(213, 214)를 통해 RF 평면(206)으로부터 히터 엘리먼트(211)로 그리고 RF 공급라인(210)으로부터 히터 공급라인(212)으로 용량성 결합된다. 따라서, RF 피드스루(209) 및 히터 피드스루(218)는 발생된 RF 전압에 견디기 위하여 고전압 피드스루일 수 있다. 설명을 위해 이미 커패시터로써 도시된 와류 커패시턴스(213, 214)는 개별 커패시터가 아니나, 각각의 컨덕터 근방으로부터 발생하는 용향성 결합 효과를 나타낸다. 전술한 것처럼 고체 세라믹, 다공성 세라믹 또는 퓨징된 실리카로 만들어질 수 있는 지지용 샤프트(203)내의 플러그(도시안됨)는 RF라인(210) 및 히터 라인(212)사이에 DC 절연(또는 DC 블로킹)을 제공한다, 지지용 샤프트(203)의 베이스에 가능한 근접하게 배치되기 때문에, 필터(215)는 히터 공급라인상에 결합된 RF 에너지를 차단하며, 상기 히터 공급라인은 제어기와 같은 다른 시스템 소자와 무선주파수 간섭 또는 전자기 간섭을 유발할 수 있다. 필터(215)는 양 히터 공급라인(212)의 RF 주파수에 고임피던스를 제공하며, 양 히터 공급라인이 히터 공급라인(216)의 주파수에서 저임피던스를 가지면서 증착 시스템의 동작 주파수 또는 주파수들에서 고임피던스를 가지도록 최적화된다. 히터 전원(216)은 교류전류(AC) 공급장치(217)에 의해 전력가 공급된다. 필터(215)는 히터 공급장치(216)를 보호하여 전자잡음 및 간섭을 감소시키는 기능과 챔버내에서 RF 에너지를 유지하는 기능을 제공한다. 필터(215)에 의해 제공된 RF 에너지에 대한 고임피던스는 발생기(207)로부터 플라즈마(도시안됨)로의 RF 에너지의 효율적인 전달을 허용한다. RF에서 저임피던스를 가진 필터는 플라즈마가 공격되지 않도록(글로우 방전이 설정되도록) 히터 전원(216)을 통해 큰 RF 에너지를 차단한다. RF 발생기(207)는 약 100kHz 내지 약 500kHz, 바람직하게 약 400kHz의 임의의 주파수, 13.56MHz의 주파수 또는 다른 주파수에서 동작할 수 있다.The RF plane 206 lies in the heater assembly 202 under the wafer 36 as shown in FIG. The RF generator 207 supplies RF power to the RF plane 206 via the matching network 208. RF feedthrough 209 separates RF supply line 210 from chamber 204. Heater feedthrough 218, which may be the same or different than RF feedthrough 209, separates heater line 212 from chamber 204. Some RF energy is capacitively coupled from the RF plane 206 to the heater element 211 and from the RF supply line 210 to the heater supply line 212 via vortex capacitances 213 and 214. Thus, RF feedthrough 209 and heater feedthrough 218 may be high voltage feedthroughs to withstand the generated RF voltage. Vortex capacitances 213 and 214, already shown as a capacitor for illustration, are not individual capacitors but exhibit directional coupling effects from the vicinity of each conductor. As described above, the plug (not shown) in the support shaft 203, which may be made of solid ceramic, porous ceramic, or fused silica, may provide DC insulation (or DC blocking) between the RF line 210 and the heater line 212. Because it is disposed as close as possible to the base of the support shaft 203, the filter 215 blocks the RF energy coupled on the heater supply line, which is in contact with other system elements such as a controller. It may cause radio frequency interference or electromagnetic interference. The filter 215 provides high impedance at the RF frequency of both heater supply lines 212, with both heater supply lines having low impedance at the frequency of heater supply line 216 and at high operating frequencies or frequencies of the deposition system. Optimized to have impedance. The heater power source 216 is powered by an AC current supply device 217. The filter 215 provides a function of protecting the heater supply 216 to reduce electromagnetic noise and interference and to maintain RF energy in the chamber. High impedance for RF energy provided by filter 215 allows for efficient transfer of RF energy from generator 207 to plasma (not shown). A filter with low impedance at RF blocks large RF energy through heater power source 216 so that the plasma is not attacked (glow discharge is set). The RF generator 207 may operate at any frequency of about 100 kHz to about 500 kHz, preferably at about 400 kHz, at a frequency of 13.56 MHz, or at other frequencies.

도 15는 두 개의 다른 RF 시스템을 이용하는 본 발명에 따른 증착 시스템의 도면이며, 상기 RF 시스템의 각각은 다른 주파수를 공급한다. 이 실시예에 있어서, 고주파수 RF 시스템(219)은 저주파수 RF 시스템(220)에 의해 RF 평면(206)에 공급된 주파수, 예를들어 100-500kHz보다 높은 주파수, 예를들어 약 13.56MHz에서 샤워헤드(205)에 전력를 공급한다. 고주파수 RF시스템(219)은 고주파수 RF 전력가 고주파수 RF 발생기(222)로부터 샤워헤드(205)로 공급되게 하며 저주파수 RF 에너지가 고주파수 RF 발생기(222)에 입력되는 것을 차단하는 고역통과 필터(221)를 포함한다. 저역통과 분기 필터(223)는 저주파수 에너지에 대한 접지에 경로를 제공하여, 샤워헤드(205)가 낮은 RF 주파수에서 RF평면(206)에 대한 보충(접지된) 전극으로써 동작할 수 있게 한다. 저역통과 필터(224)는 저주파수 RF 발생기(225)로부터의 RF 에너지가 RF 평면(206)으로 공급되게 하며 고주파수 RF 에너지가 저주파수 RF 발생기(225)에 입력되는 것을 차단한다. 고역통과 분기 필터(226)는 RF 평면(206)이 높은 RF 주파수에서 샤워헤드(205)에 대한 보충 전극으로써 동작할 수 있다. 다른 실시예에 따르면, 높은 RF 주파수는 RF평면(206)에 공급될 수 있으며 낮은 RF 주파수는 샤워헤드(205)에 공급될 수 있다. 그 경우에, 고주파수 RF 시스템(219) 및 저주파수 RF 시스템(220)은 순서가 바꿔진다. 매칭 네트워크(227, 228)는 발생기로부터 그들의 로드로의 전력전달을 개선하기 위하여 각각의 RF 발생기 및 각각의 필터사이에 부가될 수 있다. 매칭 네트워크(227, 228)는 필터(224, 221)와 각각 집적될 수 있다.15 is a diagram of a deposition system in accordance with the present invention using two different RF systems, each of which supplies a different frequency. In this embodiment, the high frequency RF system 219 is a showerhead at a frequency supplied to the RF plane 206 by the low frequency RF system 220, for example a frequency higher than 100-500 kHz, for example about 13.56 MHz. Power is supplied to 205. The high frequency RF system 219 includes a high pass filter 221 which allows high frequency RF power to be supplied from the high frequency RF generator 222 to the showerhead 205 and blocks low frequency RF energy from being input to the high frequency RF generator 222. do. The lowpass branch filter 223 provides a path to ground for low frequency energy, allowing the showerhead 205 to act as a supplemental (grounded) electrode for the RF plane 206 at low RF frequencies. The low pass filter 224 allows RF energy from the low frequency RF generator 225 to be supplied to the RF plane 206 and blocks high frequency RF energy from entering the low frequency RF generator 225. Highpass branch filter 226 may operate as a supplemental electrode for showerhead 205 at high RF frequency where RF plane 206 is high. According to another embodiment, a high RF frequency may be supplied to the RF plane 206 and a low RF frequency may be supplied to the showerhead 205. In that case, the high frequency RF system 219 and the low frequency RF system 220 are reversed. Matching networks 227 and 228 may be added between each RF generator and each filter to improve power transfer from the generator to their load. Matching networks 227 and 228 may be integrated with filters 224 and 221, respectively.

G.가스 분배 시스템 G. Gas Distribution System

도 16A는 내부 리드 어셈블리(170)의 단순화된 확대 단면도를 도시한다. 내부 리드 어셈블리(170)는 어셈블리(170)의 여러 부분사이에 배치된 O-링과 같은 밀봉부재(도시안됨)와 함께, 가스 박스(173), 가스 분산 플레이트(또는 가스 브로커 플레이트)(172), 가스 분배 플레이트(40), 세라믹 절연체 링(52) 및 챔버 리드(66)를 포함한다. 내부 리드 어셈블리(170)는 가스 입력 매니폴드(176)로부터 가스 박스(173)에서 사용하는 가스 출력 매니폴드(177)내의 혼합 영역으로 연장되는 가스 통로(175)(부분적으로 도시됨)를 장착한 가스 피드스루 박스(173)를 포함한다. 가스 통로(175)는 인입 튜브(44)를 통해 전달하기 전에 가스가 혼합되는 혼합 영역에 가스를 전달한다. 가스 피드스루 박스(173), 가스 입력 매니폴드(176) 및 가스 출력 매니폴드(177)는 몇몇의 특정 실시예에서 니켈 또는 니켈 도금 알루미나와 같은 재료로 만들어진다. 플라즈마 처리동안, 가스 피드스루 박스(173)는 가스 항복없이 그리고 가스 분배 시스템에서 가스 분산없이 고전압 RF 전력를 가스 박스(173)에 인가할 수 있다. 전형적인 가스 피드스루 박스는 여기에서 참조로 사용되는 Wang의 공동양도 미합중국특허 제 4,872,947에 개시되어 있다.16A shows a simplified enlarged cross-sectional view of the inner lid assembly 170. The inner lid assembly 170 is a gas box 173, a gas distribution plate (or gas broker plate) 172, with a sealing member (not shown), such as an O-ring, disposed between the various portions of the assembly 170. , Gas distribution plate 40, ceramic insulator ring 52, and chamber lid 66. The inner lid assembly 170 is equipped with a gas passage 175 (partially shown) that extends from the gas input manifold 176 to the mixing region within the gas output manifold 177 for use in the gas box 173. Gas feedthrough box 173. The gas passage 175 delivers the gas to the mixing region where the gases are mixed before delivery through the inlet tube 44. Gas feedthrough box 173, gas input manifold 176 and gas output manifold 177 are made of a material such as nickel or nickel plated alumina in some specific embodiments. During plasma processing, the gas feedthrough box 173 may apply high voltage RF power to the gas box 173 without gas breakdown and without gas dispersion in the gas distribution system. A typical gas feedthrough box is disclosed in Wang's co-assigned US Pat. No. 4,872,947, which is incorporated herein by reference.

가스 분산 플레이트(172)는 일반적으로 가스 박스(173)의 하부표면을 형성하는 원형 디스크이다. 가스 폐쇄 플레이트(172)는 가스 폐쇄 플레이트(172) 및 샤워헤드(40)사이에 형성된 스페이스(도 2에서 부호 54로 표시됨)로 가스를 분산시키기 위하여 다수의 가스분산 홀을 포함한다. 다른 공간(도 2에서 부호 48로 표시됨)은 샤워헤드(40)에 대향하는 가스 폐쇄 플레이트(172)의 측면상에 가스 박스(173)내에 존재한다. 가스 분산 플레이트(172)를 위해 선택된 재료는 예정된 처리동안 일정해야 한다. 예를들어, 알루미늄은 저온 비부식 증착에 적절할 수 있으며 니켈 함유 금속은 고온 염소 환경에 적절할 수 있다. 가스 폐쇄 플레이트(172)의 분산홀(도시안됨)은 보통 약 10-40mil의 직경을 가질 수 있다. 물론, 폐쇄 플레이트(172)가 본 발명의 실시예에 포함될 수 있다는 것은 당업자에 의해 인식될 것이다.Gas distribution plate 172 is generally a circular disk that forms the bottom surface of gas box 173. The gas closure plate 172 includes a plurality of gas distribution holes to disperse the gas into a space (indicated by reference numeral 54 in FIG. 2) formed between the gas closure plate 172 and the showerhead 40. Another space (indicated by reference numeral 48 in FIG. 2) resides in the gas box 173 on the side of the gas closure plate 172 opposite the showerhead 40. The material selected for the gas distribution plate 172 must be constant for the intended treatment. For example, aluminum may be suitable for low temperature, non-corrosive deposition and nickel containing metals may be suitable for high temperature chlorine environments. The dispersion holes (not shown) of the gas closure plate 172 may usually have a diameter of about 10-40 mils. Of course, it will be appreciated by those skilled in the art that the closure plate 172 can be included in embodiments of the present invention.

도 16A에 도시된 바와같이, 샤워헤드(40)의 가스 분배 홀(42)의 크기 및 구조는 처리 특성에 따라 변화할 것이다. 예를들어, 홀(42)은 웨이퍼상에 가스를 균일하게 분배하기 위하여 균일하게 이격될 것이다. 다른 한편으로, 홀(42)은 필요한 경우 불균일하게 이격되거나 배열될 수 있다. 홀(42)은 보통 약 5-100mil 정도의 직경을 가지며, 바람직하게 약 10-50mil의 직경을 가진다. 게다가, 샤워헤드(40)는 알루니늄 합금으로 편리하게 만들어지며, 산화처리 또는 다른 표면처리(티타늄 코팅, 실리콘 카바이드 코팅 또는 니켈 도금과 같은)는 상승된 온도에서 염소류에 의해 부식되는 샤워헤드를 보호하기 위하여 필요할 수 있다. 이 경우에, 홀(42)은 표면 처리후의 직경이 적정 값을 가지도록 초기에 제조되어야 한다. 선택적으로, 샤워헤드(40)는 표면처리에 이용할 수 있는 니켈, 티타늄 또는 그래파이트와 같은 부식저항 도전 재료로 만들어질 수 있다. 바람직하게, 가스 분배 홀(42)은 반도체 웨이퍼상의 증착의 균일성이 촉진되도록 설계된다. 홀( 및 앞서 기술된 샤워헤드 온도)은 면판의 외부(하부)표면상의 증착을 방지하도록, 특히 처리동안 그리고 처리후 웨이퍼상에서 벗겨질 수 있는 표면상에 증착되는 것을 방지하도록 설계된다. 전형적인 실시예에 있어서, 홀 어레이는 홀(42)의 일반적인 동심 링중 하나이다. 인접한 링(링간의 간격)사이의 거리는 대략 동일하며, 각 링내의 홀간의 간격은 대략 동일하다. 가스 분배 홀에 대한 적절한 구조는 여기에 참조에 의해 통합되는 Wang의 공동양도된 미합중국 특허 제 4,872,947호에 개시된다.As shown in FIG. 16A, the size and structure of the gas distribution holes 42 of the showerhead 40 will vary depending on the processing characteristics. For example, the holes 42 will be evenly spaced to distribute the gas evenly on the wafer. On the other hand, the holes 42 can be spaced or arranged non-uniformly if necessary. The hole 42 usually has a diameter of about 5-100 mils, preferably about 10-50 mils in diameter. In addition, the showerhead 40 is conveniently made of an aluminum alloy, and oxidation or other surface treatments (such as titanium coating, silicon carbide coating or nickel plating) can be eroded by chlorine at elevated temperatures. It may be necessary to protect it. In this case, the hole 42 must be manufactured initially so that the diameter after the surface treatment has an appropriate value. Optionally, the showerhead 40 may be made of a corrosion resistant conductive material such as nickel, titanium or graphite that can be used for surface treatment. Preferably, the gas distribution holes 42 are designed to promote uniformity of deposition on the semiconductor wafer. The holes (and the showerhead temperatures described above) are designed to prevent deposition on the outer (lower) surface of the faceplate, in particular to prevent deposition on surfaces that can peel off the wafer during and after processing. In a typical embodiment, the hole array is one of the common concentric rings of the holes 42. The distance between adjacent rings (gap between rings) is about the same, and the spacing between holes in each ring is about the same. Suitable constructions for gas distribution holes are disclosed in Wang's commonly assigned US Pat. No. 4,872,947, which is incorporated herein by reference.

도 16A는 리드 림으로부터 내부 리드 어셈블리를 전기적으로 절연시킬 수 있는 세라믹 절연체 링(52)을 도시한다. 점선은 가스 박스(173)가 샤워헤드(40)내에 배치되며, 샤워헤드(40)가 절연체 링(52)내에 배치되며, 절연체 링(52)이 챔버 리드(66)내에 배치되는 것을 도시한다. O-링(도시안됨)은 내부 리드 소자사이의 가스 밀봉을 형성한다.16A shows a ceramic insulator ring 52 that can electrically insulate the inner lead assembly from the lead rim. The dashed line shows that gas box 173 is disposed within showerhead 40, showerhead 40 is disposed within insulator ring 52, and insulator ring 52 is disposed within chamber lid 66. O-rings (not shown) form a gas seal between the internal lead elements.

웨이퍼 온도는 처리가스들이 웨이퍼 표면에서 함께 반응하여 웨이퍼 표면상에 층을 증착하도록 히터 어셈블리(33)에 의해 최소 증착온도 이상으로 유지된다.The wafer temperature is maintained above the minimum deposition temperature by the heater assembly 33 such that the process gases react together at the wafer surface to deposit a layer on the wafer surface.

웨이퍼의 온도는 전형적으로 처리가스가 웨이퍼 표면에서 함께 반응하고 그위에 층을 증착하도록 히터 어셈블리(33)에 의해 최소 증착온도를 유지한다. 특히, 전류는 특정 실시예에 따라 약 200℃-800℃의 온도로 웨이퍼를 가열하기 위하여 도체 와이어(150)를 통하여 히터 엘리먼트(107)로 향하게 된다. 바람직한 실시예에서, 온도는 히터 제어루틴(167)을 위해 제어된다. 이 처리동안, 리드 어셈블리(170)는 그것을 통과하는 가스를 포함하는 다양한 가스 소스, 가열된 반도체 웨이퍼, 및 웨이퍼 가열원으로부터 열을 수용한다. 리드 어셈블리(170)의 부품를 최소 증착온도 이하로 유지하여 이들 부품상에 가스 반응 및 증착을 피하기 이하여, 열 교환 액체가 가스 박스(173) 및 샤워헤드(40)으로 형성된 열교환 채널(도 16a에서는 도시하지 않음)내로 유입된다. 도 16b에서 도시된 바와 같이, 적어도 400℃ 이상의 온도까지 가열될 수 있는 히터 페데스탈에 근접한 것에 기인하여 가열될 수 있는, 샤워헤드의 온도를 감소시키기 위하여 열교환 통로(203)를 갖는다. 바이어스(도시하지 않음)는 가스 박스(173)내의 열교환 채널에 열교환 통로(203)를 연결시킨다. 가스 분배 홀(42)의 바람직하지 못한 증착 및 클로깅은 샤워헤드 온도를 감소시킴으로써 최소화된다.The temperature of the wafer is typically maintained at a minimum deposition temperature by the heater assembly 33 such that the process gases react together at the wafer surface and deposit a layer thereon. In particular, current is directed to the heater element 107 through the conductor wire 150 to heat the wafer to a temperature of about 200 ° C.-800 ° C. in accordance with certain embodiments. In a preferred embodiment, the temperature is controlled for the heater control routine 167. During this process, the lid assembly 170 receives heat from various gas sources, including heated gases, semiconductor wafers, and wafer heating sources. In order to keep the components of the lid assembly 170 below the minimum deposition temperature to avoid gas reactions and deposition on these components, heat exchange channels in which heat exchange liquids are formed of the gas box 173 and the showerhead 40 (in FIG. 16A). (Not shown). As shown in FIG. 16B, there is a heat exchange passage 203 to reduce the temperature of the showerhead, which may be heated due to proximity to a heater pedestal that may be heated to a temperature of at least 400 ° C. or higher. A bias (not shown) connects the heat exchange passage 203 to the heat exchange channel in the gas box 173. Undesirable deposition and clogging of gas distribution holes 42 is minimized by reducing the showerhead temperature.

H.배기 시스템 H. Exhaust System

도 1a를 참조하면, 밸브 어셈블리(드로틀 밸브 시스템)는 절연 밸브(78) 및 펌핑 채널(60)을 통하는 가스의 흐름 속도를 제어하기 위해 방전 라인(178)을 따라 증착된 드로틀 밸브(83)를 포함한다. 처리 챔버(30)내의 압력은 마노미터(도시하지 않음)로 모니터링되고 드로틀 밸브(82)로 도관(178)의 흐름단면적을 변화시킴으로써 제어된다. 바람직하게는, 처리기(85)는 챔버 압력을 지시하는 마노미터로부터 신호를 수신한다. 처리기(85)는 오퍼레이터(도시하지 않음)에 의해 들어간 설정 압력값과 상기 측정된 압력값을 비교하고, 챔버내의 적정 압력을 유지하는데 필요한 드로틀 밸브의 필요한 조절 값을 결정한다. 처리기(85)는 구동 모터(도시하지 않음)에 조절신호를 릴레이하며, 구동 모터는 설정 압력 값에 상응하는 설정으로 드로틀 밸브를 조절한다. 본 발명에 사용하기 위한 적당한 드로틀 밸브는 공통으로 할당된 계류중인 미국특허출원 제 08/672,891호(Improved Apparatus and Methods for Controlling Process Chamber Pressure(Attorney Docket No. 891/DCVD-II/MBE), 1996년 6월 28일 출원)에 개시되어 있다. 그러나, TiCl4로부터 티타늄의 증착과 같은 높은 가스 흐름속도를 요구하는 처리에 있어서, 배기 시스템의 용량은 증가되어야 한다. 이것은 배기 포트(80)의 단면적을 증가시킬 뿐만아니라, 방전 라인(178) 및 드로틀 밸브(80)의 직경을 증가시킨다. 일 실시예에서, 약 5 Torr의 챔버압력에서 15리터/분의 흐름 속도를 도모하기 위하여, 배기 포트(80)는 5 리터/분 처리에 적합한 약 1.5 인치의 직경으로부처 약 2 인치의 직경으로 증가되었다. 동일한 예에서, 드로틀 밸브 및 방전 라인 직경은 이와 유사하게 약 1.5 인치로부터 약 2 인치로 증가되었다. 이들 직경은 가스 흐름에 의존하는 다른 실시예와는 다르다.Referring to FIG. 1A, a valve assembly (throttle valve system) is provided with a throttle valve 83 deposited along discharge line 178 to control the flow rate of gas through the isolation valve 78 and pumping channel 60. Include. The pressure in the processing chamber 30 is monitored by a manometer (not shown) and controlled by varying the flow cross sectional area of the conduit 178 with the throttle valve 82. Preferably, processor 85 receives a signal from a manometer indicating chamber pressure. The processor 85 compares the measured pressure value entered by the operator (not shown) with the measured pressure value and determines the necessary adjustment value of the throttle valve required to maintain the proper pressure in the chamber. Processor 85 relays an adjustment signal to a drive motor (not shown), which drives the throttle valve to a setting corresponding to the set pressure value. Suitable throttle valves for use in the present invention are described in commonly assigned Pending U.S. Patent Application Serial No. 08 / 672,891 (Attorney Docket No. 891 / DCVD-II / MBE), 1996. Filed June 28). However, in processes requiring high gas flow rates, such as the deposition of titanium from TiCl 4 , the capacity of the exhaust system must be increased. This not only increases the cross sectional area of the exhaust port 80 but also increases the diameter of the discharge line 178 and the throttle valve 80. In one embodiment, in order to achieve a flow rate of 15 liters / minute at a chamber pressure of about 5 Torr, the exhaust port 80 has a diameter of about 2 inches from a diameter of about 1.5 inches suitable for 5 liters / minute treatment. Increased. In the same example, the throttle valve and discharge line diameters similarly increased from about 1.5 inches to about 2 inches. These diameters are different from other embodiments that depend on gas flow.

절연 밸브(78)는 펌프의 펌핑 작용에 기인한 챔버 압력의 감소를 최소화하기 위하여 진공 펌프(82)로부터 처리 챔버(30)를 절연시키기 위해 사용된다. 도 1a에서 알수 있는 바와 같이, 드로틀 밸브(83)와 함께 절연 밸브(78)는 CVD 장치(10)의 매스 흐름 제어기(도시하지 않음)를 조정하는데 사용된다. 일부 처리에 있어서, 액체 소스는 증발되고 이어서 반송 가스를 따라 처리 챔버(30)내로 전달된다. 매스 흐름 제어기는 챔버(30)내로의 가스나 액체의 흐름 속도를 모니터링하는데 사용된다. MFC의 조정동안, 절연 밸브(78)는 MFC 조정을 용이하게 하는 챔버(30)내의 압력 증가를 최대로하기 위하여 드로틀 밸브(83)로의 가스 흐름을 한정 또는 제한한다.Isolation valve 78 is used to insulate the processing chamber 30 from the vacuum pump 82 to minimize the decrease in chamber pressure due to the pumping action of the pump. As can be seen in FIG. 1A, an isolation valve 78 together with a throttle valve 83 is used to adjust the mass flow controller (not shown) of the CVD apparatus 10. In some processes, the liquid source is evaporated and then transferred into the processing chamber 30 along with the carrier gas. Mass flow controllers are used to monitor the flow rate of gas or liquid into the chamber 30. During the adjustment of the MFC, the isolation valve 78 limits or restricts the gas flow to the throttle valve 83 to maximize the pressure increase in the chamber 30 that facilitates the MFC adjustment.

상기한 CVD 시스템은 예시적이며 본 발명의 범위를 한정하면서 고려될 필요는 없다. 예시적인 CVD 시스템(10)은 단일 웨이퍼 진공 챔버시스템이다. 그러나, 다중 웨이퍼 챔버 시스템인 다른 CVD 시스템이 본 발명의 다른 실시예에서 사용될 수 있다. 그러나, 본 발명의 어떤 특징이 다중챔버 처리 시스템에서 CVD 챔버의 일부로서 도시되고 기술되었다 하더라도, 본 발명은 이러한 방법에 제한되도록 의도될 필요는 없다. 즉, 본 발명의 다양한 특징은 에칭 챔버, 확산 챔버등과 같은 다양한 처리 챔버에 사용될 수 있다. 구성의 변화, 히터 구성, RF 전력 연결의 위치, 소프트웨어 동작 및 구조, 일부 소프트웨어 서브루틴에 사용된 특정 알고리즘, 가스 주입 라인 및 밸브의 구성, 및 다른 수정과 같은 상기한 시스템의 변화가 가능하다. 더욱이, 상기한 특정 치수는 특정 실시예를 위하여 제공되지만, 물론 다른 실시예들은 다른 치수를 가질 수 있다. 부가적으로, 본 발명의 일부 실시예들은 전자 사이클로트론 공명기(ECR) 플라즈마 CVD 장치, 유도결합된 RF 고밀도 플라즈마 CVD 장치 등과 같은 CVD 설비를 포함하는 기판 처리 장치에 사용될 수 있다. 티타늄 막과 같은 층을 형성하기 위한 방법은 어떤 특정 플라즈마 여기 방법이나 어떤 특정 장치에 한정될 필요는 없다.The CVD system described above is exemplary and need not be considered while limiting the scope of the present invention. Exemplary CVD system 10 is a single wafer vacuum chamber system. However, other CVD systems that are multiple wafer chamber systems may be used in other embodiments of the present invention. However, although certain features of the present invention are shown and described as part of a CVD chamber in a multichamber processing system, the present invention need not be intended to be limited to this method. That is, various features of the present invention can be used in various processing chambers, such as etching chambers, diffusion chambers, and the like. Changes to the above systems are possible, such as configuration changes, heater configurations, locations of RF power connections, software operations and structures, specific algorithms used in some software subroutines, configuration of gas injection lines and valves, and other modifications. Moreover, the specific dimensions described above are provided for specific embodiments, but of course other embodiments may have other dimensions. Additionally, some embodiments of the present invention may be used in substrate processing apparatus including CVD facilities, such as electron cyclotron resonator (ECR) plasma CVD apparatuses, inductively coupled RF high density plasma CVD apparatuses, and the like. The method for forming a layer such as a titanium film need not be limited to any particular plasma excitation method or any particular device.

II.CVD 반응기 시스템을 이용하는 고온 다단계 처리 II. High Temperature Multistage Processing Using CVD Reactor Systems

A.예시적인 구조 및 적용 A. Exemplary Structure and Application

도 17은 본 발명에 따른 집적회로(900)의 개략단면도를 도시한다. 도시한 바와 같이, 통합 회로(900)는 실리콘의 부분산화(LOCOS)나 다른 기술에 의해 형성된 필드 산화물 영역(920)에 의해 서로 분리되고 전기절연된 NMOS 및 PMOS를 포함한다. 택일적으로, 트랜지스터(903,906)는 트랜지스터(903,906)가 NMOS 및 PMOS일 때 그림자 트렌치 절연(도시하지 않음)에 의해 서로 분리되어 전기으로 절연될수 있다. 각 트랜지스터(903,906)는 소오스 영역(912), 드레인 영역(915), 및 게이트 영역(918)을 포함한다.17 shows a schematic cross-sectional view of an integrated circuit 900 in accordance with the present invention. As shown, the integrated circuit 900 includes NMOS and PMOS that are separated from each other and electrically isolated by the field oxide regions 920 formed by LOCOS or other techniques of silicon. Alternatively, transistors 903 and 906 can be electrically isolated from each other by shadow trench isolation (not shown) when transistors 903 and 906 are NMOS and PMOS. Each transistor 903, 906 includes a source region 912, a drain region 915, and a gate region 918.

예비금속 유전체(PMD)층(921)은 콘택(924)에 의해 만들어진 트랜지스터 및 금속층(940) 사이의 연결로 금속층(940)으로부터 트랜지스터(903,906)을 분리한다. 금속층(940)은 통합회로(900)에 포함된 4개의 금속층(940,942,944, 및 946)중 하나이다. 각 금속층(940,942,944, 및 946)은 각 내부 금속 유전체층(927,928, 및 929)에 의해 인접한 금속층으로부터 분리되어 있다. 인접한 금속층은 바이어스(926)에 의해 선택된 개구부에 연결되어 있다. 금속층(946)위에는 평탄화된 패시베이션층(930)이 증착된다. CVD 장치(10)는 금속층(940,942,944 또는 946)으로서 사용된 막을 증착하는데 사용될 수 있다. 이들 층은 알루미늄 위에 놓인 티타늄층, 금, 플라티늄, 또는 텅스텐층과 같은 다중 서브층으로 이루어질 수 있다. CVD 장치(10)는 장치 구조에서 콘택(924)나 플러그를 증착하는데 사용될 수 있다.The premetal dielectric (PMD) layer 921 separates the transistors 903 and 906 from the metal layer 940 by a connection between the transistor made by the contact 924 and the metal layer 940. The metal layer 940 is one of four metal layers 940, 942, 944, and 946 included in the integrated circuit 900. Each metal layer 940, 942, 944, and 946 is separated from adjacent metal layers by respective internal metal dielectric layers 927, 928, and 929. The adjacent metal layer is connected to the opening selected by the bias 926. A planarized passivation layer 930 is deposited over the metal layer 946. CVD apparatus 10 may be used to deposit a film used as metal layer 940, 942, 944 or 946. These layers may consist of multiple sublayers such as titanium, gold, platinum, or tungsten layers overlying aluminum. The CVD apparatus 10 may be used to deposit contacts 924 or plugs in the device structure.

도 18은 본 발명의 실시예가 사용될 수 있는 도 17의 바이어스(926) 또는 콘택(924)와 같은 예시적인 콘택 구조의 단면도이다. 도 18에서 볼 수 있는 바와 같이, 산화물층(950), 전형적으로 SiO2는 결정 실리콘이나 폴리실리콘의 표면을 가지는 기판(952)위에 약 1㎛의 두께로 증착된다. 산화물층(950)은 내부-레벨 유전체층으로서 또는 에비금속 유전체층으로서 작용할 수 있지만, 레벨 사이에 전기적 콘택을 제공하기 위하여 콘택 홀(954)은 알루미늄과 같은 금속으로 채워진 산화물층(950)을 통하여 에칭된다. 그러나, 앞으로의 집적회로에서, 콘택 홀(954)은 좁고, 종종 0.35㎛ 미만이며, 6:1 이상의 가로대 세로비를 가진다. 이와같이 홀을 채우는 것은 어렵지만, 어느정도의 기준 처리는 먼저 홀(954)이 상응하게 티타늄층(956)으로 코팅되고 이어서 티타눔층(956)이 티타늄 질화물층(958)으로 코팅되어 행해졌다. 이후, 알루미늄층(960)은 콘택 홀(954)을 채우고 상위 레벨로 전기 상호연결 라인을 제공하기 위하여 종종 물리적 진공 증착으로 증착된다. 티타늄층(956)은 아래의 실리콘 및 측벽상의 산화물에 글루층을 제공한다. 또한, Ti층은 오믹 콘택을 형성하기 위하여 아래의 실리콘으로 규소화될 수 있다. TiN 층(958)은 Ti층(956)에 웰을 결합시키고, 알루미늄층(960)은 알루미늄이 내부에 공극을 형성하지 않고 콘택 홀(954)을 더 잘 채울 수 있도록 TiN층(958)에 웰을 습식처리한다. 또한, TiN층(956)은 알루미늄(960)이 실리콘(952)내로 이주하여 그 도전성에 영향을 미치는 것을 방지하기 위하여 배리어로서 작용할 수도 있다. 기판(952)이 알루미늄 표면 특징을 포함하는 비아 구조에 있어서, Ti층(956)은 필요없을 수도 있다. 티타늄 및 티타늄 질화물의 도전성이 알루미늄처럼 높지 않을 지라도, 양호한 전기 콘택을 제공하기 위하여 얇은 층에서는 충분히 도전성을 갖는다. 본 발명의 바람직한 실시예는 Ti층(956)을 증착하는데 사용되며, 다른 실시예는 다른 층과 아울러 TiN층(958)을 증착하는데 사용될 수 있다.18 is a cross-sectional view of an exemplary contact structure such as bias 926 or contact 924 of FIG. 17 in which an embodiment of the present invention may be used. As can be seen in FIG. 18, an oxide layer 950, typically SiO 2, is deposited to a thickness of about 1 μm over a substrate 952 having a surface of crystalline silicon or polysilicon. The oxide layer 950 can act as an inner-level dielectric layer or as an evmetal dielectric layer, but the contact holes 954 are etched through the oxide layer 950 filled with a metal such as aluminum to provide electrical contact between the levels. . However, in future integrated circuits, the contact holes 954 are narrow, often less than 0.35 μm, and have an aspect ratio of 6: 1 or greater. Although it is difficult to fill the holes in this way, some reference treatment has been done in that the holes 954 are first coated with the titanium layer 956 correspondingly and the titanum layer 956 is coated with the titanium nitride layer 958. The aluminum layer 960 is then often deposited by physical vacuum deposition to fill the contact holes 954 and to provide electrical interconnect lines to a higher level. Titanium layer 956 provides a layer of glue to the underlying silicon and oxide on the sidewalls. In addition, the Ti layer may be siliconized with silicon below to form an ohmic contact. The TiN layer 958 couples the wells to the Ti layer 956, and the aluminum layer 960 fills the wells in the TiN layer 958 to better fill the contact holes 954 without forming voids therein. Wet. TiN layer 956 may also act as a barrier to prevent aluminum 960 from migrating into silicon 952 and affecting its conductivity. For via structures in which the substrate 952 includes aluminum surface features, the Ti layer 956 may not be necessary. Although the conductivity of titanium and titanium nitride is not as high as aluminum, it is sufficiently conductive in thin layers to provide good electrical contact. Preferred embodiments of the present invention are used to deposit Ti layer 956, while other embodiments may be used to deposit TiN layer 958 as well as other layers.

도 17의 개략된 집적회로(900) 및 도 18의 콘택 구조는 둘 다 단지 설명을 위한 것이라는 것을 이해하여야 한다. 당업자는 별도의 장치 뿐만아니라, 마이크로프로세서, 적용 특정 통합회로(ASIC), 메모리장치 등과 같은 다른 집적회로의 제조를 위하여 본 발명을 실시할 수 있다. 더욱이, 본 발명은 PMOS, NMOS, 바이폴라, 또는 BiCMOS 장치에 인가될 수 있다. 금속 막의 증착에 관련된 적용이 전술되었지만, 본 발명은 금속간 증착, 금속 증착으로부터 금속간 막의 자동 형성, 또는 도핑된 막 증착과 같이 다른 적용에 사용될 수 있다. 특히, 처리는 BST와 같이 금속 산화물의 CVD에 유리하게 인가될 수 있다. 본 발명은 많은 다른 형태의 금속 CVD 처리에 적용될 수 있으며 유전체 CVD 및 다른 플라즈마 적용에 사용될 수 있다.It should be understood that both the outlined integrated circuit 900 of FIG. 17 and the contact structure of FIG. 18 are for illustration only. Those skilled in the art can practice the invention for the manufacture of other integrated circuits, such as microprocessors, application specific integrated circuits (ASICs), memory devices, etc., as well as separate devices. Moreover, the present invention can be applied to PMOS, NMOS, bipolar, or BiCMOS devices. Although applications relating to the deposition of metal films have been described above, the present invention can be used for other applications such as intermetallic deposition, automatic formation of intermetallic films from metal deposition, or doped film deposition. In particular, the treatment can be advantageously applied to the CVD of metal oxides, such as BST. The present invention can be applied to many other forms of metal CVD processes and can be used for dielectric CVD and other plasma applications.

B.예시적인 처리 B. Example Processing

도 19는 본 발명의 실시예에 따라서 기판상에 티타늄 막과 같은 막을 증착하기 위하여 상기 PECVD 시스템에 사용될 수 있는 예시적인 처리 단계의 플로우 차트를 도시한다. 이들 예시적인 처리들은 약 100Å/분 속도로 티타늄 막을 형성하는 다른 처리들과는 대조적으로, 증발된 액체 TiCl4소스로부터 약 400Å/분 이상까지, 적어도 200Å/분까지 증착속도로 티타늄 막을 생성하기 위하여 플라즈마-보강된 화학 진공 증착(PECVD)을 사용한다. 증가된 증착 속도는 액체 전달 시스템, 건조 세척 처리에 의한 효율, 및 면판, 히터(예를 들면,상기한 세라믹 히터 어셈블리) 등과 같은 부식저항, 고온 챔버 부품에 기인하여 달성된다. 증가된 증착속도는 웨이퍼당 처리시간을 더 짧게 하고 증착 시스템으로부터 웨이퍼 스루풋을 더 크게 한다. 세라믹 히터 어셈블리에 사용된 전기저항 가열 엘리먼트는 예를 들면, 종래의 다른 CVD 시스템으로 달성되는 것 보다 더 높은 온도를 제공한다. 이들 처리를 수행하기에 적합한 예시적인 기판 처리 시스템은 캘리포니아, 산타클라라 소재의 어플라이드 머티어리얼스, 인코포레이트사에 이용될 수 있는 TixZ 시스템(300 mm 또는 다른 사이즈의 웨이퍼로 스케일링되거나 200mm로 설비됨)이다.19 shows a flow chart of an exemplary processing step that may be used in the PECVD system to deposit a film, such as a titanium film, on a substrate in accordance with an embodiment of the present invention. These exemplary processes are plasma-generated to produce a titanium film at a deposition rate up to at least 200 kPa / min, from at least about 400 kPa / min, from an evaporated liquid TiCl 4 source, in contrast to other processes that form a titanium film at about 100 kPa / min. Enhanced chemical vacuum deposition (PECVD) is used. Increased deposition rates are achieved due to liquid delivery systems, efficiency by dry cleaning treatments, and corrosion resistance such as face plates, heaters (eg, ceramic heater assemblies described above), high temperature chamber components. Increased deposition rate results in shorter processing times per wafer and greater wafer throughput from the deposition system. Electrically resistive heating elements used in ceramic heater assemblies provide, for example, higher temperatures than those achieved with other conventional CVD systems. Exemplary substrate processing systems suitable for performing these processes are TixZ systems (scaled to 300 mm or other size wafers or installed at 200 mm) available from Applied Materials, Inc., Santa Clara, Calif. ).

이 처리를 위한 반응 및 소스 가스의 흐름 속도는 테트라키스-디메틸라미도-티타늄과 같은 유기금속 소스로부터 티타늄 질화물 증착과 같은 유사한 처리를 위한 흐름 속도보다 약 세 배정도 더 크다. 따라서, 도 1a에 도시된 바와 같이, 배기 포트(80) 및 드로틀 밸브(83)는 상기한 바와 같이 유사한 챔버 부피의 종래의 PECVD 시스템으로부터 증가된 단면적을 가진다. 상기한 바와 같이, 샤워헤드(40) 및 배플플레이트(52)는 증가된 가스 흐름을 수용하도록 제조된다. 부가적으로, TiCl4로부터 티타늄의 증착이 클로라인 가스, 클로라인 이온, 및 부산물로서 하이드로클로릭 엑시드를 생성하기 때문에, 배플(또는 폐쇄) 플레이트(52)는 특정 실시예에 따라 산화된 알루미늄의 샤워헤드(40) 및 니켈로 만들어진다. 더욱이, 반응의 고온 때문에, 샤워헤드(40)는 액체 열교환 통로(81)를 포함하며, 이는 특히 플라즈마의 존재시에 클로라인 종에 의해 샤워헤드의 부식을 감소시키고 샤워헤드상의 증착을 감소시킨다. 이 처리동안, 웨이퍼 온도는 일정하게 유지되며 드로틀 밸브는 완전히 개방된다. 이러한 처리 동안, 웨이퍼 온도는 일정하게 유지되며, 드로틀 밸브는 완전히 오픈된다. 드로틀 밸브가 압력 판독을 바탕으로 제어되지 않기 때문에, 챔버 압력은 반응, 소스 및 세척 가스의 유입에 의해 설정된다; 다른 요소중에 이들 가스의 결합 또는 분열, 및 펌핑 능력 비율, 챔버 압력은 증착동안 약 1-10 Torr 사이이지만, 바람직하게 특정 실시예에서 4.5-5 Torr이다.The reaction and source gas flow rates for this treatment are about three times greater than the flow rates for similar treatments such as titanium nitride deposition from organometallic sources such as tetrakis-dimethylramido-titanium. Thus, as shown in FIG. 1A, the exhaust port 80 and the throttle valve 83 have an increased cross-sectional area from a conventional PECVD system of similar chamber volume as described above. As noted above, the showerhead 40 and baffle plate 52 are manufactured to accommodate increased gas flow. Additionally, because the deposition of titanium from TiCl 4 produces chlorine gas, chlorine ions, and hydrochloric acid as by-products, the baffle (or closed) plate 52 may be formed of aluminum oxidized according to certain embodiments. The showerhead 40 is made of nickel. Moreover, because of the high temperature of the reaction, the showerhead 40 includes a liquid heat exchange passage 81, which reduces corrosion of the showerhead and deposition on the showerhead, especially by the chlorine species in the presence of plasma. During this process, the wafer temperature is kept constant and the throttle valve is fully open. During this process, the wafer temperature is kept constant and the throttle valve is fully open. Since the throttle valve is not controlled based on the pressure reading, the chamber pressure is set by the ingress of the reaction, source and wash gas; The combination or cleavage of these gases, among other factors, and the pumping capacity ratio, chamber pressure, is between about 1-10 Torr during deposition, but in particular embodiments is 4.5-5 Torr.

상기 공정에서 제 1 단계는 온도(단계 1008)를 설정하는 것이다. 이 단계동안, 챔버는 증착이 발생하는 압력 이상으로 아르곤 같은 부식하지 않는 가스로 기압이 유지된다. 이것은 세척 가스로 챔버내의 보이드 또는 중공, 특히 히터 페데스탈의 내부를 미리 충전한다. 이런 세척 가스는 챔버 압력이 특정 실시예(단계 1009)에서 약 4.5 Torr의 증착 압력으로 감소될 때 배출하여, 히터 페데스탈 또는 챔버 부분을 부식하거나 산화시키는 처리 가스의 침입을 최소화한다. 상기 공정은 약 400-750℃ 사이의 온도에서, 특정 실시예에서 약 625℃에서 수행된다. 단계(1008)에서, 온도는 처음에 약 635℃로 설정되고, 웨이퍼는 챔버에 로딩된다. 초기 온도는 공정 가스가 흐르기 시작할 때 가열 및 웨이퍼를 냉각하기 때문에 공정 온도보다 높게 설정된다. 처음에 공정 온도 이상으로 웨이퍼를 가열하는 것은 보다 짧은 웨이퍼 사이클 시간을 유발하고 가스가 흐르기 시작한 후 공정 온도로 히터를 되돌리도록 히터 전력가 증가될 때 연속적으로 발생하는 히터 엘리먼트 및 히터 표면 사이의 열 변화로부터 발생하는 히터로 인한 열적 충격을 감소시킨다.The first step in the process is to set the temperature (step 1008). During this step, the chamber is kept at atmospheric pressure with a non-corrosive gas such as argon above the pressure at which deposition occurs. This prefills the interior of the voids or hollows in the chamber, in particular the heater pedestal, with the cleaning gas. This cleaning gas is discharged when the chamber pressure is reduced to a deposition pressure of about 4.5 Torr in certain embodiments (step 1009) to minimize the ingress of process gases that corrode or oxidize the heater pedestal or chamber portion. The process is carried out at a temperature between about 400-750 ° C., in certain embodiments at about 625 ° C. In step 1008, the temperature is initially set to about 635 ° C. and the wafer is loaded into the chamber. The initial temperature is set higher than the process temperature because it heats up and cools the wafer when the process gas begins to flow. Initially heating the wafer above the process temperature results in shorter wafer cycle times and from thermal changes between the heater element and the heater surface that occur continuously when the heater power is increased to return the heater to the process temperature after the gas begins to flow. Reduces thermal shock due to heaters generated

웨이퍼를 로딩후 약 15 초 가량, 아르곤 같은 세척 가스가 챔버로 흐를때(단계 1009), 온도는 예를들어 약 625℃의 동작 온도로 설정된다. 동시에 초기 가스가 흐르는 동안 히터(단계 1009)의 설정 온도를 감소시키는 것은 히터의 열 용량이 가스 흐름 개시로부터 발생하는 약간의 냉각에 대한 원인이 되게 한다. 이런 방식으로, 동작 온도로부터 온도 편차가 감소되고 보다 작은 히터 전력가 동작 온도로 히터를 회복시키기 위하여 요구된다. 예를들어, 만약 설정 온도가 가스 흐름 개시시 약 625℃(최대 히터 전력의 약 50%를 유지하기 위하여 요구)이면, 히터 전력 제어기는 히터를 약 625℃로 회복하기 위하여 히터 엘리먼트에 최대 100% 전력을 공급할 수 있다. 만약 가스 흐름 개시시 약 625℃인 본래의 설정 온도가 약 635℃이면, 히터 전력 제어기는 히터를 625℃로 회복하도록 약 65% 최대 전력를 공급하는 것이 필요하고, 그것에 의해 히터 엘리먼트 및 히터 표면 사이의 열 기울기를 감소시킨다. 전력의 정확한 양은 다른 요인중에서 히터의 열 용량, 전력 제어기 형태, 및 가스 흐름에 의해 변화한다.For about 15 seconds after loading the wafer, when a cleaning gas such as argon flows into the chamber (step 1009), the temperature is set to an operating temperature of, for example, about 625 ° C. At the same time, reducing the set temperature of the heater (step 1009) while the initial gas is flowing causes the heat capacity of the heater to cause some cooling resulting from the gas flow initiation. In this way, the temperature deviation from the operating temperature is reduced and less heater power is required to restore the heater to the operating temperature. For example, if the set temperature is about 625 ° C. (required to maintain about 50% of the maximum heater power) at the onset of gas flow, the heater power controller may provide a maximum of 100% to the heater element to restore the heater to about 625 ° C. Can supply power If the original set temperature of about 625 ° C. at about 625 ° C. at the onset of gas flow is about 635 ° C., the heater power controller needs to supply about 65% maximum power to return the heater to 625 ° C., thereby providing a connection between the heater element and the heater surface. Reduce the thermal gradient. The exact amount of power varies among other factors by the heat capacity of the heater, the power controller type, and the gas flow.

세척 가스의 적당한 흐름 속도는 약 5.5 리터의 체적을 가지는 챔버에 대하여 약 500-3000 sccm 사이, 바람직하게 약 1000 sccm 범위이다. 이런 시간동안 웨이퍼는 샤워헤드로부터 약 550 밀로 유지되고, 챔버는 약 4.5 Torr로 드로틀 밸브를 완전히 개방함으로써 펌핑 다운된다. 드로틀 밸브는 이런 실시예의 공정 나머지 동안 개방되어 있지만, 개방 루프 또는 폐쇄 루프(압력 센서 판독으로부터 제어됨) 방식으로 부분적으로 폐쇄될 수 있다. 일실시예에서, 세척 가스는 챔버의 하부 부분(흐름 제한기 링보다 아래 부분)이 이 지역에서 목표되지 않은 증착을 줄이기 위하여 세척 가스로 덮혀지도록 하부 배출구(도시되지 않음)를 통하여 챔버로 유입된다. 아르곤 같은 플라즈마 가스는 약 1000-10000 sccm, 바람직하게 약 5000 sccm(단계 1009) 사이의 흐름 속도로 샤워헤드를 통하여 챔버로 동시에 유입된다. 플라즈마 가스는 RF 에너지의 적당한 인가로 인해 플라즈마로 쉽게 형성된다. 반응 및 소스 가스와 플라즈마 가스의 혼합은 반응 및 소스 가스로부터 플라즈마 형성을 용이하게 한다. 동시에, 수소(H2)같은 반응 가스는 초기 흐름 속도에서 턴온된다(단계 1009). 반응 가스는 목표된 필름을 형성하기 위하여 소스 가스의 분해를 위하여 요구된 에너지를 낮추고 Cl-또는 Cl2를 남기지 않고 약간의 염소를 수소 염화물로 전환함으로써 증착 부산물의 부식을 감소시킨다. 반응 가스의 흐름 속도은 초기 흐름 속도로부터 최종 흐름 속도로 점차적으로 증가된다(또는, 선택적으로, 상승된다). 이것은 반응 가스의 최종 흐름 속도가 아주 높고 만약 한꺼번에 턴온될 때 심하게 냉각되면 히터에 대한 열적 충격이 감소한다. 이런 계단식 또는 경사식 가스 흐름 개시는 헬륨 또는 수소같은 가스에 특히 중요하고, 이들 가스는 높은 열적 전달 특성을 나타낸다. 반응 가스의 초기 비율은 몇몇 특정 실시예에서 최종 흐름 속도의 대략 11%이다. 이런 조건은 약 5 초동안 유지된다.Suitable flow rates of the cleaning gas range between about 500-3000 sccm, preferably about 1000 sccm, for chambers having a volume of about 5.5 liters. During this time the wafer is held at about 550 mils from the showerhead and the chamber is pumped down by fully opening the throttle valve to about 4.5 Torr. The throttle valve is open for the rest of the process of this embodiment, but may be partially closed in an open loop or closed loop (controlled from pressure sensor readings). In one embodiment, the cleaning gas enters the chamber through a lower outlet (not shown) such that the lower portion of the chamber (below the flow restrictor ring) is covered with the cleaning gas to reduce undesired deposition in this region. . Plasma gas, such as argon, is simultaneously introduced into the chamber through the showerhead at a flow rate between about 1000-10000 sccm, preferably about 5000 sccm (step 1009). The plasma gas is easily formed into a plasma due to the proper application of RF energy. The reaction and the mixing of the source gas and the plasma gas facilitate the plasma formation from the reaction and the source gas. At the same time, the reaction gas, such as hydrogen (H 2 ), is turned on at the initial flow rate (step 1009). The reaction gas lowers the energy required for the decomposition of the source gas to form the desired film and reduces the corrosion of the deposition byproducts by converting some chlorine into hydrogen chloride without leaving Cl or Cl 2 . The flow rate of the reactant gas is gradually increased (or optionally raised) from the initial flow rate to the final flow rate. This reduces the thermal shock to the heater if the final flow rate of the reactant gas is very high and if it is severely cooled when turned on all at once. This stepped or gradient gas flow initiation is particularly important for gases such as helium or hydrogen, which exhibit high thermal transfer properties. The initial proportion of reactant gas is approximately 11% of the final flow rate in some specific embodiments. This condition is maintained for about 5 seconds.

다음 단계에서, 반응 가스 흐름은 최종 흐름 속도의 대략 32%로 증가된다(단계 1011). 약 5 초를 기다린후, 반응 가스 흐름은 최종 흐름 속도의 약 53%로 증가되고, 소스 가스는 턴온된다(단계 1012). 바람직하게, 반응 소스 가스 흐름 속도은 특정 실시예에서 약 250 : 1 이하이다. 일실시예에서, 소스 가스는 약 60℃로 가열된 티타늄 테트라클로라이드(TiCl4)의 액체 소스를 통하여 버블(bubbled)되는 핼륨 가스로 구성된다. 액체 이상의 총 압력은 헬륨 압력 및 증기 압력의 결합이다. 약 60℃의 온도로 액체 TiCl4를 가열하는 것은 약 60 Torr의 TiCl4증기 압력을 유발한다.In the next step, the reactant gas flow is increased to approximately 32% of the final flow rate (step 1011). After waiting about 5 seconds, the reaction gas flow is increased to about 53% of the final flow rate and the source gas is turned on (step 1012). Preferably, the reaction source gas flow rate is about 250: 1 or less in certain embodiments. In one embodiment, the source gas consists of helium gas that is bubbled through a liquid source of titanium tetrachloride (TiCl 4 ) heated to about 60 ° C. The total pressure above the liquid is the combination of helium pressure and vapor pressure. Heating liquid TiCl 4 to a temperature of about 60 ° C. results in a TiCl 4 vapor pressure of about 60 Torr.

액체 소스 버블러를 통한 헬륨의 흐름은 약 200 sccm으로 설정된다. TiCl4증기 및 헬륨의 결과적인 결합 흐름 속도은 출력 소스 라인상 매스 흐름 제어기(MFC)(이것은 TiCl4증기가 측정된다)를 통하여 58 sccm의 흐름 속도에 대응한다. MFC는 특정 가스를 측정하고, 헬륨 버블러 압력 및 헬륨 더하기 TiCl4증기 출력 압력 사이의 관련 압력을 변경하는 것은 비록 MFC가 58 sccm의 흐름을 연속하여 제어할지라도 소스 가스의 TiCl4증기의 농도를 변경할 수 있다. 게다가, 보다 높은 온도로 TiCl4를 가열하는 것은 보다 높은 증기 압력을 유발할뿐 아니라, 주어진 흐름에 대한 소스 가스의 TiCl4증기 농도를 변경한다. 높은 필름 증착율을 유발하는 안정한 TiCl4증기를 유도하기 위하여 헬륨 압력, 출력 소스 압력, 및 TiCl4온도를 설정하는 것이 바람직하다. 챔버 및 진공 펌프 사이의 드로틀 밸브는 개방되어 유지되고, 최대 배출 능력을 제공한다. 상기 흐름 속도에서, 결과적인 챔버 압력은 사용된 특정 증착 시스템에 대하여 약 4-5 Torr이다. TiCl4증기 및 H2의 상대적 흐름은 이들 조건에서 결과적인 티타늄 층의 형성을 최적화하기 위하여 선택되고, 유용한 배출 능력이 제공된다. 배출 능력이 클수록 총 가스 흐름 속도이 커지고, 그래서 보다 많은 양의 TiCl4증기가 증착 챔버에 유도된다. 유사하게, 고정된 배출 능력을 가진 시스템에서 TiCl4증기의 흐름에 관련하여 H2의 흐름을 증가시키는 것은 챔버에 유도된 TiCl4증기의 양을 감소시킨다.The flow of helium through the liquid source bubbler is set to about 200 sccm. The resulting combined flow rate of TiCl 4 vapor and helium corresponds to a flow rate of 58 sccm via a mass flow controller (MFC) on the output source line, which TiTi 4 vapor is measured. The MFC measures the specific gas and changing the associated pressure between the helium bubbler pressure and the helium plus TiCl 4 vapor output pressure does not change the concentration of TiCl 4 vapor in the source gas even though the MFC continuously controls a flow of 58 sccm. You can change it. In addition, heating TiCl 4 to higher temperatures not only results in higher vapor pressures, but also changes the TiCl 4 vapor concentration of the source gas for a given flow. It is desirable to set the helium pressure, output source pressure, and TiCl 4 temperature in order to induce stable TiCl 4 vapor resulting in high film deposition rates. The throttle valve between the chamber and the vacuum pump is kept open and provides maximum discharge capacity. At this flow rate, the resulting chamber pressure is about 4-5 Torr for the particular deposition system used. The relative flow of TiCl 4 vapor and H 2 is chosen to optimize the formation of the resulting titanium layer under these conditions, and provide useful evacuation capabilities. The greater the evacuation capacity, the higher the total gas flow rate, so that more TiCl 4 vapor is directed to the deposition chamber. Similarly, increasing the flow of H 2 relative to the flow of TiCl 4 vapor in a system with a fixed discharge capacity reduces the amount of TiCl 4 vapor induced in the chamber.

온도 바탕 MFC 제어기에 대한 대체안으로서, 압력에 기초한 제어 시스템이 사용된다. 압력에 기초한 제어기의 예는 상기된 바와같이 압력 조절기, 고정 개구(구멍) 제어기, 및 가변 개구 제어기이다. 고정된 개구 제어 시스템의 단순성은 MFC 제어기에 응집하고 및/또는 MFC 제어기 동작을 방해하는 TiCl4같은 증기가 목표된다. 예를들어, TiCl4의 액체 소스 및 증착 챔버 사이에 배치된 29.2 밀 개구은 챔버에 TiCl4증기의 안정한 유도를 유지한다. 다른 실시예에서, 개구은 높은 증착율을 달성하기 위하여 약 25-40 밀 사이의 범위이다. 이런 실시예에서, 챔버는 측정된 챔버 압력에 따라 압력 제어기로 드로틀 밸브를 조절함으로써 약 4.5 Torr의 압력으로 유지된다. 만약 액체 소스가 약 60℃로 가열되고 헬륨이 약 400 sccm으로 액체를 통하여 버블되면, 개구은 약 4.5 Torr의 개구 출력 압력에서 액체 소스상에 약 110 Torr의 안정한 압력을 유지한다. 적당한 증기 흐름 속도은 특히 액체 소스가 안정한 증착율을 유지하기 위하여 충분한 증기 압력을 제공하는 온도로 가열되면, 버블러 가스의 사용없이 달성된다.As an alternative to the temperature based MFC controller, a pressure based control system is used. Examples of pressure based controllers are pressure regulators, fixed aperture (hole) controllers, and variable aperture controllers as described above. The simplicity of the fixed aperture control system is aimed at steam such as TiCl 4 which aggregates to the MFC controller and / or interferes with the MFC controller operation. For example, a 29.2 mill opening disposed between the liquid source of TiCl 4 and the deposition chamber maintains stable induction of TiCl 4 vapor in the chamber. In another embodiment, the opening is in the range of about 25-40 mils to achieve high deposition rates. In this embodiment, the chamber is maintained at a pressure of about 4.5 Torr by adjusting the throttle valve with a pressure controller in accordance with the measured chamber pressure. If the liquid source is heated to about 60 ° C. and helium bubbles through the liquid at about 400 sccm, the opening maintains a stable pressure of about 110 Torr on the liquid source at an opening output pressure of about 4.5 Torr. Appropriate vapor flow rates are achieved without the use of bubbler gas, especially if the liquid source is heated to a temperature that provides sufficient vapor pressure to maintain a stable deposition rate.

다음, 반응 가스는 샤워헤드 노즐로부터 대략 400 밀의 처리 위치로 웨이퍼가 이동되기 전에(단계 1014) 약 5 초동안 유지된 약 9500 sccm(단계 1013)의 최종 처리 흐름 속도로 설정된다. 이런 조건은 추가의 5 초동안 유지되어 가스 흐름 패턴이 안정화되게 하고, RF 전력가 턴온(단계 1015)된다. RF 주파수는 약 300-450 kHz 사이, 바람직하게 약 400 kHz 사이이고, 약 200-2000 와트, 바람직하게 약 700 와트 사이의 전력 레벨이다. 아르곤을 포함하는 이들 조건은 자외선 소스 또는 스파크 발생기 같은 백열 전등을 점화하기 위하여 필요한 추가 수단없이 안정한 플라즈마를 만든다. 다른 실시예는 예를들어 약 13.56 MHz에서 동작하는 고주파 RF 소스를 사용한다. 이런 소스는 선택적으로 또는 낮은 RF 소스에 부가하여 사용될 수 있다. 티타늄 필름은 약 200 Å/분의 비율로 웨이퍼상에 증착된다. 따라서, 약 100 초동안 이들 공정 조건을 유지하는 것은 대략 300Å 두께의 티타늄 필름을 유발한다.The reaction gas is then set to a final processing flow rate of about 9500 sccm (step 1013) maintained for about 5 seconds before the wafer is moved from the showerhead nozzle to a processing location of approximately 400 mils (step 1014). This condition is maintained for an additional 5 seconds to allow the gas flow pattern to stabilize and the RF power is turned on (step 1015). The RF frequency is between about 300-450 kHz, preferably between about 400 kHz, and between about 200-2000 watts, preferably between about 700 watts. These conditions involving argon create a stable plasma without the additional means necessary to ignite an incandescent light such as an ultraviolet source or spark generator. Another embodiment uses a high frequency RF source, for example operating at about 13.56 MHz. Such sources may be used selectively or in addition to low RF sources. Titanium film is deposited on the wafer at a rate of about 200 cc / min. Thus, maintaining these process conditions for about 100 seconds results in a titanium film approximately 300 mm thick.

목표된 막이 증착된후, 소스 및 반응 가스는 턴오프된다(단계 1016). 플라즈마 전력은 약 2 초내에서 낮은 전력 레벨(대략 증착 전력 레벨의 43%)로 감소되고(단계 1017), 약 2 초내에 대략 20%로 다시 감소되고(단계 1018), 및 약 2 초내에 약 7%로 최종적으로 감소된후(단계 1019), RF 전력은 차단된다(단계 1020). 이런 시간 동안, 드로틀 밸브는 개방되어 있다. 히터는 챔버의 차가운 벽, 특히 면판 및 리드(lid)에 대해 열 손실을 감소시키기 위하여 온도가 낮춰진다. 이런 플라즈마 세척 과정은 챔버 및 다양한 챔버 구성요소상에 형성된 보다 큰 입자를 느슨해지도록 작용한다. 플라즈마 전력, 플라즈마 가스, 및 세척 가스는 턴오프되고, 챔버는 처리된 웨이퍼가 언로딩되기(단계 1010) 전에 펌핑 다운된다(단계 1021). 웨이퍼가 제거된후, 온도는 다음 웨이퍼가 로딩되기(단계 1023) 전에 약 635℃로 사전 설정된다. 비록 본래의 장소에서 플라즈마 세척 처리가 3 단계 방법으로 기술되지만, 이런 처리는 보다 작은 또는 추가 단계로 수행되거나, RF 전력의 일정 또는 가변 변화 비율로 연속하여 하강되듯이 수행된다.After the desired film is deposited, the source and reactant gases are turned off (step 1016). The plasma power is reduced to a lower power level (approximately 43% of the deposition power level) within about 2 seconds (step 1017), back to about 20% within about 2 seconds (step 1018), and about 7 within about 2 seconds. After finally decreasing to% (step 1019), the RF power is cut off (step 1020). During this time, the throttle valve is open. The heater is lowered in temperature to reduce heat loss on the cold walls of the chamber, in particular faceplates and lids. This plasma cleaning process acts to loosen larger particles formed on the chamber and various chamber components. Plasma power, plasma gas, and cleaning gas are turned off and the chamber is pumped down (step 1021) before the processed wafer is unloaded (step 1010). After the wafer is removed, the temperature is preset to about 635 ° C. before the next wafer is loaded (step 1023). Although the plasma cleaning treatment in situ is described in a three step method, this treatment is performed in smaller or additional steps, or as it is continuously descending at a constant or variable rate of change in RF power.

각각의 웨이퍼가 증착후 행해지는 플라즈마 세척외에, 추가의 세척 과정이 웨이퍼 오염을 방지하기 위하여 사용된다. 건조 세척 과정(이것은 챔버 리드 개방없이 행해진다)은 임의의 수의 웨이퍼 증착이 처리된후 챔버상에 주기적으로 수행된다. 본 발명에 따라, 이런 세척 과정동안 챔버에는 웨이퍼(예를들어, 더미 웨이퍼)가 없다. 건식 세척 과정은 모든 X 웨이퍼 사이에서, 바람직하게 1-25 웨이퍼 사이에서 수행된다. 건식 세척은 예를들어 특정 실시예에서 모두 3-5 웨이퍼 사이에 수행된다. 총 시스템 웨이퍼 출력이 많이 영향을 받지않도록, 건식 세척 과정이 효과적으로 유지하는 것이 목표된다. 특정 실시예에 따른 예시적 건식 습식 공정은 하기에 상세히 기술된다.In addition to the plasma cleaning that is performed after each wafer is deposited, additional cleaning procedures are used to prevent wafer contamination. The dry cleaning process (which is done without opening the chamber lid) is performed periodically on the chamber after any number of wafer depositions have been processed. According to the invention, there are no wafers (eg, dummy wafers) in the chamber during this cleaning process. The dry cleaning process is performed between all X wafers, preferably between 1-25 wafers. Dry cleaning is performed between 3-5 wafers, for example, in certain embodiments. It is aimed to keep the dry cleaning process effective so that the total system wafer output is not significantly affected. Exemplary dry wet processes according to certain embodiments are described in detail below.

도 19를 다시 참조하여, 만약 X(여기서 X=3) 웨이퍼가 처리되면, 챔버는 건식 세척한다(단계 1024). 첫째, 히터는 샤워헤드로부터 약 700 밀의 거리로 추가로 떨어지도록 이동되고(단계 1025), 625℃의 처리 온도로 유지된다. 챔버는 0.1-10 Torr, 바람직하게 약 5 Torr 이하, 및 특정 실시예에서 약 0.6 Torr 사이의 세척 압력 범위로 유지된다. 이것은 히터로부터 샤워헤드로 열 흐름을 유지하고, 히터에 관련하여 샤워헤드를 냉각시킨다. 챔버는 특정 실시예에서 약 5-15 Torr, 바람직하게 약 15 Torr 사이의 압력(처리 압력보다 크다) 아르곤같은 세척 가스로 세척되고(단계 1026), 약 0.6 Torr로 펌핑 다운된다(단계 1027). 펌핑 다운 또는 증착 압력보다 높은 고압에서 세척하는 것은 히터 페데스탈을 아르곤 가스로 충전하고, 추후에 히터 또는 페데스탈에 세척 처리 가스를 유입하기 위하여 상기 아르곤 가스를 배출시킨다. 이어, 아르곤 가스 이외에 염소(Cl2) 가스가 약 200sccm의 흐름 속도으로 챔버내로 유입되며(단계 1028), 염소 가스는 상술한 바와 같이 플라즈마 형성을 도운다. 다음, 플라즈마가 약 400 와트의 전력으로 충돌된다(단계1029). 염소류가 원치 않는 증착물과 반응하고 아르곤 플라즈마류가 입자를 물리적으로 증착물에 충돌시켜 챔버 부품로부터 이러한 증착물을 에칭하는 동안에 이러한 조건은 약 80초 동안 유지된다. 증착 공정으로부터의 원치 않는 증착물은 일반적으로 챔버의 노출된 가장 뜨거운 부분 상, 즉 웨이퍼 또는 흐름 제한 링에 의해 덮여있지 않은 히터의 표면상에서 가장 두껍다. 샤워헤드로부터 이격시켜 히터를 이동시킴으로써, 상기에 주어진 조건들은 이러한 챔버 부품를 오버에칭시키지 않고 모든 챔버 부품의 충분한 세척을 보장한다. 플라즈마 세척이후, 염소 가스는 턴 오프되고 플라즈마 전력은 약 50 와트로 감소되어 플라즈마 제거가 약 5초 동안 수행하도록 한다(단계 1030). 이어 챔버로 다음 웨이퍼를 로딩함(단계 1032)으로써 X 웨이퍼를 처리하기위한 준비로 온도를 약 635℃로 프리세트하고(단계 1031), 상기 챔버는 약 15초 동안 배기된다. 물론, 습식 세척 또는 예방적인 유지 보수 세척(수 백 내지 수 천사이의 처리된 웨이퍼에서 발생하는)은 상호적으로 챔버의 다양한 부분을 세척하기 위하여 챔버 리드를 개방함으로써 수행될 수 있다.Referring again to FIG. 19, if the X (where X = 3) wafer is processed, the chamber is dry cleaned (step 1024). First, the heater is moved further apart at a distance of about 700 mils from the showerhead (step 1025) and maintained at a processing temperature of 625 ° C. The chamber is maintained at a cleaning pressure range between 0.1-10 Torr, preferably about 5 Torr or less, and in certain embodiments between about 0.6 Torr. This maintains heat flow from the heater to the showerhead and cools the showerhead in relation to the heater. The chamber is washed with a cleaning gas, such as argon (step 1026), and pumped down to about 0.6 Torr (step 1027) in certain embodiments a pressure between about 5-15 Torr, preferably about 15 Torr (greater than the processing pressure). Washing at a higher pressure above the pumping down or deposition pressure charges the heater pedestal with argon gas, and subsequently discharges the argon gas to introduce the cleaning process gas into the heater or pedestal. Subsequently, in addition to argon gas, chlorine (Cl 2 ) gas is introduced into the chamber at a flow rate of about 200 sccm (step 1028), and the chlorine gas assists plasma formation as described above. The plasma then collides with about 400 watts of power (step 1029). These conditions are maintained for about 80 seconds while chlorine reacts with the unwanted deposits and the argon plasma streams physically impinge the particles onto the deposits to etch these deposits from the chamber parts. Undesired deposits from the deposition process are generally thickest on the hottest exposed portion of the chamber, ie on the surface of the heater that is not covered by the wafer or flow restriction ring. By moving the heater away from the showerhead, the conditions given above ensure sufficient cleaning of all chamber parts without overetching such chamber parts. After the plasma cleaning, the chlorine gas is turned off and the plasma power is reduced to about 50 watts so that plasma removal is performed for about 5 seconds (step 1030). The temperature is then preset to about 635 ° C. (step 1031) in preparation for processing the X wafer by loading the next wafer into the chamber (step 1032), and the chamber is evacuated for about 15 seconds. Of course, wet cleaning or preventive maintenance cleaning (which occurs in hundreds to several angels of processed wafers) can be performed by opening chamber lids to clean various parts of the chamber with each other.

웨이퍼 증착 사이의 주기적 건조 세척 과정을 수행하는 것은 이러한 예방적인 유지 보수 세척의 주파수를 최소화하며, 이것은 종종 꽤 시간을 소모한다. 더욱이 건조 세척 공정은 깨끗한 챔버를 제공하며 챔버내에서의 처리 런(run)이 더 효율적이며 빠른 증착율에 기여하리라고 믿어진다.Performing a periodic dry cleaning process between wafer depositions minimizes the frequency of this preventive maintenance cleaning, which is often quite time consuming. Moreover, the dry cleaning process provides a clean chamber and it is believed that processing runs in the chamber will contribute to more efficient and faster deposition rates.

III. 결과 테스트 및 측정III. Result test and measurement

실험이 양호한 갭 충진 특성을 갖는 티타늄 박막 또는 다른 박막을 빠르게 증착하기에 적합한 증착 방법 및 장치를 평가하도록 수행된다. 실험은 저항성-가열 세라믹 히터 어셈블리를 포함하고 200mm 두께의 웨이퍼를 위하여 구성된 TixZ 증착 시스템(어플라이드사 제조)에서 수행된다. 아래에서 나타내어진 것과 같은 것을 제외하고 상술한 특별한 실시예에 따라, 실험 조건은 일반적으로 웨이퍼 상의 티타늄 박막을 중착하는 동안의 조건과 유사하게 선택된다.Experiments are performed to evaluate deposition methods and apparatus suitable for rapidly depositing a titanium thin film or other thin film with good gap fill properties. Experiments were performed in a TixZ deposition system (manufactured by Applied), which included a resistive-heated ceramic heater assembly and configured for a 200 mm thick wafer. In accordance with the particular embodiment described above except as shown below, the experimental conditions are generally selected similar to the conditions during the deposition of the titanium thin film on the wafer.

도 20은 약 5Torr의 챔버 압력 및 샤워헤드와 웨이퍼(1002) 사이에 약 400mil의 공간으로 저항성 가열 AlN 히터 어셈블리(상술한 짧은 스터브 AlN 히터와 같은)상에서 625℃의 설정 온도로 가열될 때, 200mm실리콘 웨이퍼(1002)를 가로질러 측정된 온도 균일성을 도시한 챠트이다. 도 20에 도시된 바와 같이, 웨이퍼(1002)의 다른 위치에서의 온도 값은 최소 552.6℃(기준 1004)에서 최대 565.8℃의 범위이며, 13.2℃의 온도 변화를 야기한다. 온도 균일성은 다음과 같은 방정식;20 is 200 mm when heated to a set temperature of 625 ° C. on a resistive heating AlN heater assembly (such as the short stub AlN heater described above) with a chamber pressure of about 5 Torr and a space of about 400 mils between the showerhead and wafer 1002. A chart showing temperature uniformity measured across silicon wafer 1002. As shown in FIG. 20, the temperature values at other locations of the wafer 1002 range from a minimum of 552.6 ° C. (reference 1004) to a maximum of 565.8 ° C., resulting in a temperature change of 13.2 ° C. FIG. Temperature uniformity is the following equation;

온도 균일성 = ±(△ 온도/(2 ×온도)) × 100% 에 따라 정의되며, 여기에서 온도는 섭씨이다. 이러한 방정식을 사용하여, 웨이퍼를 가로지르는 온도 균일성은 ± 1.2% 이다. 이에 따라 본 발명의 세라믹 히터는 양호하고 균일한 가열 성능을 보인다.Temperature uniformity = ± (△ temperature / (2 x temperature)) x 100%, where temperature is in degrees Celsius. Using this equation, the temperature uniformity across the wafer is ± 1.2%. Accordingly, the ceramic heater of the present invention exhibits good and uniform heating performance.

도 21은 헬륨을 사용하여 버블링된 액체(TiCl4) 소스를 위한 상술한 처리 조건과 유사한 다른 조건하에서 소스 출력 내의 티타늄 테트라클로라이드(TiCl4)의 농도에 대한 티타늄 층의 증착비의 관계를 도시한 그래프이다. 증기 압력 비는 액체 소스를 통한 전체 압력에 대한 TiCl4증기 압력의 비이며, 전체 압력은 버블러 공급기로부터의 헬륨 압력을 포함한다. 두 증착들에 있어서, 액체 소스는 약 60℃의 온도로 유지되며, 이것은 약 60Torr의 TiCl4증기 압력을 생성시킨다. 두 개의 증착 모두 거의 동일한 챔버 압력으로 수행되며, 약 120 Torr로 액체에 대한 전체 압력을 제어하기 위해 동일한 고정-개구 구멍을 사용한다. 헬륨 버블러 가스의 흐름은 상이한 TiCl4압력 비를 생성하도록 변화된다. 도 21에서 도시된 바와 같이, 이러한 영역에서의 증기 압력 비를 두배로 하면, 증착비도 두배가 된다. 이러한 영역에서 상기 관계가 선형으로 나타날 지라고, 증기 압력 비에 관한 전체 번위에서는 선형일수도 또는 전형일 아닐 수도 있다. 더욱이, 증기 압력 비를 제어하는 것은 TiCl4액체 소스의 온도(그에 따른 증기 압력)를 제어하는 것과 같은 다른 수단에 의해 달성될 수도 있다. 예를 들어 액체 소스의 온도를 70℃로 증가시키는 것은 약 90 Torr의 TiCl4증기압을 생성할 수 있다. 일정한 헬륨 버플러 유동비가 주어진다면, 액체 소스의 온도를 증가시키는 것은 증기 압력을 증가시키는 것이며, 이에 의해 증착 챔버로 제공되는 TiCl4의 양을 증가시키게 된다. 상술한 바와 같이, 압력-제어 시스템의 구멍의 직경을 증가시키는 것은 또한 압력 비를 증가시키고 이에 따라 챔버로 제공되는 TiCl4의 양을 증가시킨다. 구멍 직경은 특정 실시예에서 약 25 내지 40 mil의 범의이다. 예를 들어, 약 29mol에서 약 35mil로 구멍의 직경을 증가시키는 것은 약 200Å/분에서 약 400Å/분으로 증착율을 증가시킨다. 예를 들어 챔버 압력 또는 웨이퍼 온도와 같은 증착 파라미터를 변화시키는 것은 증착율의 변화를 야기할 수도 있다. (개구 구멍을 통해 챔버로 유입되는 기화된 액체 소오스로부터의 소수 가스를 제공하기 위한 버블러와 같은)챔버로 소스 가스의 출력을 위해 압력에 기초한 제어를 사용하는 것은 증착 공정에서 증착율뿐만 아니라 안정도 및 신뢰성의 양호한 제어를 야기한다.FIG. 21 shows the relationship of the deposition ratio of a titanium layer to the concentration of titanium tetrachloride (TiCl 4 ) in the source output under other conditions similar to those described above for a bubbling liquid (TiCl 4 ) source using helium. One graph. The vapor pressure ratio is the ratio of TiCl 4 vapor pressure to the total pressure through the liquid source, and the total pressure includes the helium pressure from the bubbler feeder. For both depositions, the liquid source is maintained at a temperature of about 60 ° C., which produces a TiCl 4 vapor pressure of about 60 Torr. Both depositions are performed at about the same chamber pressure and use the same fixed-opening hole to control the total pressure for the liquid to about 120 Torr. The flow of helium bubbler gas is varied to produce different TiCl 4 pressure ratios. As shown in Fig. 21, if the vapor pressure ratio in this region is doubled, the deposition ratio is also doubled. Whether the relationship appears linear in this region, it may or may not be linear in the overall range of vapor pressure ratios. Moreover, controlling the vapor pressure ratio may be accomplished by other means such as controlling the temperature of the TiCl 4 liquid source (and thus the vapor pressure). For example, increasing the temperature of the liquid source to 70 ° C. can produce a TiCl 4 vapor pressure of about 90 Torr. Given a constant helium bubbler flow ratio, increasing the temperature of the liquid source increases the vapor pressure, thereby increasing the amount of TiCl 4 provided to the deposition chamber. As mentioned above, increasing the diameter of the aperture of the pressure-control system also increases the pressure ratio and thus the amount of TiCl 4 provided to the chamber. The hole diameter is in the range of about 25 to 40 mils in certain embodiments. For example, increasing the diameter of the hole from about 29 mol to about 35 mils increases the deposition rate from about 200 milliseconds per minute to about 400 milliseconds per minute. Changing deposition parameters such as, for example, chamber pressure or wafer temperature may cause a change in deposition rate. Using pressure-based control for the output of the source gas into the chamber (such as a bubbler to provide a minor gas from the vaporized liquid source entering the chamber through the opening) may not only provide stability and Results in good control of reliability.

상술한 설명은 실증적이고 제한적이지 않다는 것이 이해될 것이다. 많은 실시예가 상기 설명을 통해 당업자에게 명백하게 될 것이다. 실시예에 의해, 본 출원에서의 본 발명은 티타늄 처리 방법과 관련하여 앞서 설명되었으나, 본 발명은 그렇게 한정되지는 않는다. 예를 들어 다른 실시예에 따라 형성된 박막은 티타늄 실리사이트 박막, 티타늄 나이트라이드 박막, 다른 금속 박막, 도핑된 박막, 유전막 또는 다른 박막일 수 있다. 다른 실시예에 있어서, 특정 실시예에서 사용된 플라즈마 가스는 아르곤이나, BCl3또는 ClF3와 같은 다른 가스들 역시 다른 실시예에서 플라즈마 가스로서 사용될 수 있다. 물론, 상술한 CVD 장치는 약 625℃ 뿐만 아니라 약 400℃보다 낮은 온도에서 박막을 증착하기 위하여 사용된다는 것이 인지된다. 또한 본 발명은 다양한 특정 실시예에 대한 상술한 특정 직경에 한정되는 것은 아니라는 것이 인지된다. 또한 특정 실시예에 대해 언급된 것들을 제외한 물질이 니켈, 흑연 또는 다른 물질로 이루어질 수 있는 면판과 같은 챔버의 다양한 부품로 사용될 수 있다. 부가적으로, 본 발명의 다양한 측면이 또한 다른 적용을 위하여 사용될 수 있다. 당업자는 본 발명의 청구 범위내에 속하는 대안적 또는 등가적인 층 증착 방법을 알 수 있을 것이다. 따라서 본 발명의 범위는 상기 설명을 참조로 하여 결정되지 않고, 대신에 부가된 청구항과 등가인 모든 범위와 함께 이같은 청구항 참조하여 결정될 것이다.It will be understood that the above description is empirical and not restrictive. Many embodiments will be apparent to those skilled in the art from the foregoing description. By way of example, the invention in the present application has been described above in connection with the titanium treatment method, but the invention is not so limited. For example, the thin film formed according to another embodiment may be a titanium silicide thin film, a titanium nitride thin film, another metal thin film, a doped thin film, a dielectric film or another thin film. In other embodiments, the plasma gas used in certain embodiments is argon, but other gases such as BCl 3 or ClF 3 may also be used as plasma gas in other embodiments. Of course, it is recognized that the CVD apparatus described above is used to deposit thin films at temperatures below about 400 ° C. as well as about 625 ° C. It is also to be understood that the invention is not limited to the specific diameters described above for the various specific embodiments. Materials other than those mentioned for specific embodiments may also be used in various parts of the chamber, such as face plates, which may be made of nickel, graphite or other materials. In addition, various aspects of the present invention can also be used for other applications. Those skilled in the art will recognize alternative or equivalent layer deposition methods that fall within the scope of the claims. Accordingly, the scope of the invention should not be determined with reference to the above description, but instead will be determined with reference to such claims, along with all ranges equivalent to the appended claims.

본 발명은 약 1 내지 10 토르의 챔버 압력에서 적어도 약 400℃로 가열되는 히터를 사용하고, 250 : 1 이하의 반응 가스 대 소스 가스 흐름비로 반응 가스와 소스 가스를 유입시키고 플라즈마를 형성하기 위한 RF 에너지를 인가함으로써 티타늄 막을 증착함으로써 반도체 기판상에 200Å/분까지의 막 증착율 제공한다.The present invention uses a heater that is heated to at least about 400 ° C. at a chamber pressure of about 1 to 10 Torr, and uses RF to introduce reactant and source gases at a reaction gas to source gas flow ratio of 250: 1 or less and form a plasma. Depositing a titanium film by applying energy provides a film deposition rate of up to 200 mA / min on the semiconductor substrate.

Claims (24)

챔버내의 히터상의 기판에 층을 증착하기 위한 방법에 있어서,A method for depositing a layer on a substrate on a heater in a chamber, the method comprising: 상기 챔버내에서 적어도 약 400℃의 온도로 히터를 가열하는 단계;Heating a heater to a temperature of at least about 400 ° C. in the chamber; 1 내지 10 Torr 사이의 압력으로 상기 챔버를 유지하는 단계;Maintaining the chamber at a pressure between 1 and 10 Torr; 상기 챔버 내부로 반응 가스와 소스 가스를 유입시키는 단계를 포함하는 데, 상기 소스 가스는 할로겐 함유 가스이며, 상기 반응 가스와 상기 소스 가스는 약 250 : 1 이하의 반응 가스 대 소스 가스 흐름 비를 가지며; 및Introducing a reactant gas and a source gas into the chamber, wherein the source gas is a halogen containing gas and the reactant gas and the source gas have a reactive gas to source gas flow ratio of about 250: 1 or less; ; And 상기 기판에 인접하여 플라즈마를 형성하기 위해 에너지를 인가하는 단계를 포함하는 것을 특징으로 하는 방법.And applying energy to form a plasma adjacent the substrate. 제 1 항에 있어서, 상기 에너지는 RF 에너지인 것을 특징으로 하는 방법.The method of claim 1 wherein said energy is RF energy. 제 1 항에 있어서, 상기 반응 가스 : 소스 가스 흐름 비는 약 100 : 1 이하인 것을 특징으로 하는 방법.The method of claim 1, wherein the reactant gas: source gas flow ratio is about 100: 1 or less. 제 1 항에 있어서, 상기 반응 가스 : 소스 가스 흐름 비는 20 : 1과 50 : 1 사이인 것을 특징으로 하는 방법.2. The method of claim 1 wherein the reactant gas: source gas flow ratio is between 20: 1 and 50: 1. 제 1 항에 있어서, 상기 소스 가스는 금속 할로겐 화합물인 것을 특징으로 하는 방법.The method of claim 1 wherein the source gas is a metal halide compound. 제 5 항에 있어서, 상기 소스 가스는 티타늄 테트라클로라이드이며, 상기 반응 가스는 수소인 것을 특징으로 하는 방법.6. The method of claim 5 wherein the source gas is titanium tetrachloride and the reaction gas is hydrogen. 제 1 항에 있어서, 상기 에너지는 마이크로파 에너지인 것을 특징으로 하는 방법.The method of claim 1 wherein the energy is microwave energy. 제 1 항에 있어서, 상기 챔버 내부로 플라즈마 가스를 유입하는 단계를 더 포함하며, 상기 플라즈마 가스는 불활성 가스이고 상기 소스 가스는 티타늄 테트라클로라이드이고 상기 반응 가스는 수소인 것을 특징으로 하는 방법.The method of claim 1, further comprising introducing a plasma gas into the chamber, wherein the plasma gas is an inert gas, the source gas is titanium tetrachloride, and the reaction gas is hydrogen. 제 1 항에 있어서, 상기 압력은 3Torr 내지 7Torr 사이인 것을 특징으로 하는 방법.The method of claim 1 wherein the pressure is between 3 Torr and 7 Torr. 제 2 항에 있어서, 상기 RF 에너지는 100kHz에서 13.56MHz의 주파수이고 200 내지 2000 와트의 전력으로 인가되는 것을 특징으로 하는 방법.3. The method of claim 2 wherein the RF energy is at a frequency of 13.56 MHz at 100 kHz and applied at a power of 200 to 2000 watts. 제 10 항에 있어서, 상기 플라즈마를 유지하는 동안 상기 RF 에너지를 제 1 전력 레벨에서 제 2 전력 레벨로 감소시키는 단계를 더 포함하는 데, 상기 감소시키는 단계는 상기 히터에 열적 충격을 피하고 상기 챔버 내부의 미립자 오염을 감소시키는 것을 특징으로 하는 방법.11. The method of claim 10, further comprising reducing the RF energy from a first power level to a second power level while maintaining the plasma, wherein the reducing step avoids thermal shock to the heater and is inside the chamber. Reducing particulate contamination of the method. 제 1 항에 있어서, 상기 히터를 제 1 온도로 가열한 후 상기 히터를 처리 온도로 가열하는 단계를 더 포함하며, 상기 제 1 온도는 상기 처리 온도보다 높은 것을 특징으로 하는 방법.2. The method of claim 1, further comprising heating the heater to a treatment temperature after heating the heater to a first temperature, wherein the first temperature is higher than the treatment temperature. 제 1 항에 있어서, 상기 챔버에서 상기 웨이퍼를 제거하는 단계;The method of claim 1, further comprising: removing the wafer from the chamber; 선택된 흐름 속도와 선택된 챔버 압력으로 염소 함유 가스를 상기 챔버 내부로 흐르게 하는 단계; 및Flowing chlorine containing gas into the chamber at a selected flow rate and a selected chamber pressure; And 상기 챔버내에 플라즈마를 형성하기 위해 에너지를 인가하는 단계를 더 포함하는 것을 특징으로 하는 방법.And applying energy to form a plasma in the chamber. 제 1 항에 있어서, 상기 소스 가스는 기화된 액체 소스이며 압력에 기초한 제어 주입구를 사용하여 상기 챔버 내부로 유입되는 것을 특징으로 하는 방법.The method of claim 1 wherein the source gas is a vaporized liquid source and is introduced into the chamber using a pressure-based control inlet. 제 14 항에 있어서, 상기 소스 가스는 약 60 ℃로 가열된 액체 티타늄 테트라클로라이드이며 약 400sccm으로 상기 챔버 내부로 흐르는 헬륨 가스를 사용하여 액체 티타늄 테트라클로라이드로 버블링되는 것을 특징으로 하는 방법.The method of claim 14, wherein the source gas is liquid titanium tetrachloride heated to about 60 ° C. and bubbled into liquid titanium tetrachloride using helium gas flowing into the chamber at about 400 sccm. 기판 처리 장치에 있어서,In the substrate processing apparatus, 챔버 체적을 갖는 챔버;A chamber having a chamber volume; 복수의 가스 소스를 갖는 가스 전달 시스템을 포함하는데, 상기 복수의 가스 소스 중 적어도 하나는 금속과 할로겐으로 이루어진 소스 가스를 제공하고 상기 가스 전달 시스템은 상기 챔버내에서의 사용을 위한 흐름 속도로 상기 할로겐 함유 가스를 전달하며;A gas delivery system having a plurality of gas sources, wherein at least one of the plurality of gas sources provides a source gas consisting of a metal and a halogen, the gas delivery system at a flow rate for use in the chamber; Deliver the containing gas; 기판을 지지할 수 있는 표면을 갖는 히터 페데스탈을 구비한 가열 시스템을 포함하는데, 상기 히터 페데스탈은 할로겐 류를 갖는 플라즈마내에서 적어도 약 400℃의 온도까지 저항성으로 가열할 수 있는 히터 어셈블리를 구비하며;A heating system having a heater pedestal having a surface capable of supporting a substrate, the heater pedestal having a heater assembly capable of resistively heating to a temperature of at least about 400 ° C. in a plasma having halogens; 상기 챔버내에 플라즈마를 형성시킬 수 있는 플라즈마 시스템;A plasma system capable of forming a plasma within the chamber; 상기 챔버에 결합되어 상기 챔버로부터의 배기를 제어하는 진공 시스템; 및A vacuum system coupled to the chamber to control exhaust from the chamber; And 프로세서와 상기 프로세서에 결합된 증착 장치의 동작을 지시하기 위해 컴퓨터 독출 가능한 프로그램을 저장하는 컴퓨터 독출 가능한 매체를 갖는 메모리를 포함하며, 상기 컴퓨터 독출 가능한 프로그램은 (i) 상기 가열 시스템이 상기 히터 페데스탈을 가열하여 제 1 온도로 유지하도록 제어하는 제 1 명령 세트와, (ii) 상기 가열 시스템이 상기 소스 가스를 제 1 흐름 속도로 전달한 후 상기 제 1 흐름 속도보다 높은 제 2 흐름 속도로 전달하여 상기 히터 어셈블리에 열적 충격을 감소시키도록 제어하는 제 2 명령 세트와, (iii) 상기 진공 시스템이 상기 챔버로부터의 배기를 제어하도록 제어하는 제 3 명령 세트를 포함하며, 상기 챔버는 상기 가스 전달 시스템이 분당 챔버 체적의 약 2배 이상인 상기 제 2 흐름 속도로 상기 소스 가스를 전달하도록 제어함으로써 1Torr 내지 10Torr 사이의 압력으로 유지되는 것을 특징으로 하는 장치.And a memory having a computer readable medium storing a computer readable program for instructing an operation of a deposition apparatus coupled to the processor, the computer readable program comprising: (i) the heating system causing the heater pedestal to be used; A first set of instructions for controlling heating to maintain a first temperature, and (ii) the heating system delivers the source gas at a first flow rate and then at a second flow rate higher than the first flow rate to provide the heater A second set of instructions for controlling the assembly to reduce thermal shock; and (iii) a third set of instructions for controlling the vacuum system to control exhaust from the chamber, wherein the chamber is configured such that the gas delivery system is controlled per minute. Control to deliver the source gas at the second flow rate that is at least about twice the volume of the chamber. Writing apparatus being maintained at a pressure between 1Torr to 10Torr. 제 16 항에 있어서, 상기 플라즈마 시스템은 RF 발생기와 상기 표면 아래 약 200mil 이하의 간격으로 상기 히터 페데스탈에 위치된 RF 평면을 포함하는 것을 특징으로 하는 장치.18. The apparatus of claim 16, wherein the plasma system includes an RF plane and an RF plane located at the heater pedestal at an interval of about 200 mils or less below the surface. 제 16 항에 있어서, 상기 플라즈마 시스템은 마이크로파 플라즈마 시스템인 것을 특징으로 하는 장치.17. The apparatus of claim 16, wherein the plasma system is a microwave plasma system. 제 16 항에 있어서, 상기 제 1 명령 세트는 상기 가열 시스템이 제 1 시간 주기 동안 상기 제 2 온도로 상기 히터 페데스탈을 가열하도록 제어하는 제 1 명령 서브세트와, 상기 가열 시스템이 상기 제 1 시간 주기 이후의 제 2 시간 주기에 제 1 온도로 상기 히터 페데스탈을 가열하도록 제어하는 제 2 명령 서브세트를 포함하며, 상기 제 1 온도는 상기 제 2 온도보다 낮은 것을 특징으로 하는 장치.17. The apparatus of claim 16, wherein the first set of instructions comprises a first subset of commands that control the heating system to heat the heater pedestal to the second temperature for a first time period and the heating system to the first time period. And a second subset of commands that control to heat the heater pedestal to a first temperature in a subsequent second time period, wherein the first temperature is lower than the second temperature. 제 19 항에 있어서, 상기 제 2 온도는 상기 제 2 온도보다 약 5% 이하로 높고 상기 제 2 시간 주기는 약 20초 이하인 것을 특징으로 하는 장치.20. The apparatus of claim 19, wherein the second temperature is about 5% or less higher than the second temperature and the second time period is about 20 seconds or less. 제 16 항에 있어서, 상기 가스 전달 시스템은 상기 소스 가스를 상기 챔보로 유입시키기 위해 압력에 기초한 제어 주입구를 갖는 액체 전달 시스템을 포함하며, 상기 소스 가스는 기화된 액체 소스이고 상기 압력에 기초한 제어 주입구는 25mil 내지 40 mil 범위의 구멍 개구 사이즈를 가지며 상기 소스 가스는 헬륨 가스를 사용하여 기화된 티타늄 테트라클로라이드인 것을 특징으로 하는 장치.17. The system of claim 16, wherein the gas delivery system comprises a liquid delivery system having a pressure based control inlet for introducing the source gas into the chamber, wherein the source gas is a vaporized liquid source and the pressure based control inlet. Has a pore opening size in the range of 25 mils to 40 mils and the source gas is titanium tetrachloride vaporized using helium gas. 챔버내의 히터상의 기판에 층을 증착하기 위한 방법에 있어서,A method for depositing a layer on a substrate on a heater in a chamber, the method comprising: 상기 챔버내에서 적어도 약 400℃의 온도로 히터를 가열하는 단계;Heating a heater to a temperature of at least about 400 ° C. in the chamber; 비반응성 가스를 사용하여 상기 챔버내의 내부 스페이스를 프리챠지시키기 위해 상기 비반응 가스로 제 1 시간 주기 동안 상기 챔버에 제 1 압력을 가하는 단계;Applying a first pressure to the chamber for a first time period with the non-reactive gas to precharge an internal space in the chamber using a non-reactive gas; 상기 제 1 압력을 증착 처리 압력으로 감소시키는 단계; 및Reducing the first pressure to a deposition process pressure; And 상기 비반응 가스가 상기 내부 스페이스로부터 배기되도록 증착 처리 압력으로 상기 반응 가스를 상기 챔버 내부로 흐르게 하여, 상기 내부 스페이스로 증착 가스가 유입되는 것을 최소화하는 단계를 포함하는 것을 특징으로 하는 방법.Flowing the reactant gas into the chamber at a deposition processing pressure such that the unreacted gas is evacuated from the inner space, thereby minimizing the introduction of the deposited gas into the inner space. 제 22 항에 있어서, 상기 비 반응 가스는 아르곤 또는 질소과 같은 불활성 가스이며; 상기 증착 가스는 할로겐 또는 산소인 것을 특징으로 하는 방법.The method of claim 22, wherein the non-reactive gas is an inert gas such as argon or nitrogen; The deposition gas is halogen or oxygen. 제 22 항에 있어서, 상기 제 1 압력은 상기 증착 압력보다 적어도 2 배 높은 것을 특징으로 하는 방법.23. The method of claim 22, wherein said first pressure is at least twice as high as said deposition pressure.
KR1019980002861A 1997-01-24 1998-01-24 Method and apparatus for depositing high temperature and high deposition rate titanium films KR19980071012A (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US3765997P 1997-01-24 1997-01-24
US60/037,659 1997-01-24
US80009897A 1997-02-12 1997-02-12
US8/800,098 1997-02-12
US8/918,706 1997-08-22
US08/918,706 US6051286A (en) 1997-02-12 1997-08-22 High temperature, high deposition rate process and apparatus for depositing titanium layers

Publications (1)

Publication Number Publication Date
KR19980071012A true KR19980071012A (en) 1998-10-26

Family

ID=57941348

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980002861A KR19980071012A (en) 1997-01-24 1998-01-24 Method and apparatus for depositing high temperature and high deposition rate titanium films

Country Status (2)

Country Link
KR (1) KR19980071012A (en)
TW (1) TW368701B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7661386B2 (en) 2001-02-09 2010-02-16 Tokyo Electron Limited Film forming device
WO2013032232A2 (en) * 2011-08-31 2013-03-07 주식회사 테스 Substrate processing apparatus, method for forming an amorphous carbon film using same, and method for filling a gap of a semiconductor device
KR20210074918A (en) 2019-12-12 2021-06-22 주식회사 원익아이피에스 Method of forming thin film
CN113939720A (en) * 2019-05-31 2022-01-14 应用材料股份有限公司 Method and apparatus for correcting substrate temperature using pyrometer

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109321894B (en) * 2017-07-31 2021-06-08 北京北方华创微电子装备有限公司 Deposition system and method for enhancing cleaning effect

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7661386B2 (en) 2001-02-09 2010-02-16 Tokyo Electron Limited Film forming device
US8128751B2 (en) 2001-02-09 2012-03-06 Tokyo Electron Limited Film-forming apparatus
WO2013032232A2 (en) * 2011-08-31 2013-03-07 주식회사 테스 Substrate processing apparatus, method for forming an amorphous carbon film using same, and method for filling a gap of a semiconductor device
WO2013032232A3 (en) * 2011-08-31 2013-07-11 주식회사 테스 Substrate processing apparatus, method for forming an amorphous carbon film using same, and method for filling a gap of a semiconductor device
CN113939720A (en) * 2019-05-31 2022-01-14 应用材料股份有限公司 Method and apparatus for correcting substrate temperature using pyrometer
CN113939720B (en) * 2019-05-31 2023-10-31 应用材料股份有限公司 Pyrometer correction substrate temperature method and non-transitory computer readable storage medium
KR20210074918A (en) 2019-12-12 2021-06-22 주식회사 원익아이피에스 Method of forming thin film

Also Published As

Publication number Publication date
TW368701B (en) 1999-09-01

Similar Documents

Publication Publication Date Title
US6051286A (en) High temperature, high deposition rate process and apparatus for depositing titanium layers
US5983906A (en) Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US5968379A (en) High temperature ceramic heater assembly with RF capability and related methods
US6189482B1 (en) High temperature, high flow rate chemical vapor deposition apparatus and related methods
US5994678A (en) Apparatus for ceramic pedestal and metal shaft assembly
KR19980071011A (en) High Temperature and High Flow Rate Chemical Vapor Deposition Apparatus and Related Deposition Methods
JP4485681B2 (en) High temperature ceramic heater assembly with high frequency capability.
KR100696028B1 (en) A high temperature multi-layered alloy heater assembly and related methods
US6271148B1 (en) Method for improved remote microwave plasma source for use with substrate processing system
EP0855452B1 (en) Process and apparatus for depositing titanium layers
KR100798552B1 (en) Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
KR101148431B1 (en) In-situ clean chamber for front end of line fabrication
KR100640553B1 (en) Improved heater for use in substrate processing apparatus to deposit tungsten
US8075789B1 (en) Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
EP0818558A1 (en) Components peripheral to the pedestal in the gas flow path within a chemical vapor deposition chamber
US20030066486A1 (en) Microwave heat shield for plasma chamber
KR20010032726A (en) Chemical vapor deposition of titanium on a wafer comprising an in-situ precleaning step
KR19980071012A (en) Method and apparatus for depositing high temperature and high deposition rate titanium films
US20010042513A1 (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
WO2023200465A1 (en) Showerhead assembly with heated showerhead

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination