KR102665411B1 - Organometallic adduct compounds and method of manufacturing integrated circuit device using the same - Google Patents

Organometallic adduct compounds and method of manufacturing integrated circuit device using the same Download PDF

Info

Publication number
KR102665411B1
KR102665411B1 KR1020200098822A KR20200098822A KR102665411B1 KR 102665411 B1 KR102665411 B1 KR 102665411B1 KR 1020200098822 A KR1020200098822 A KR 1020200098822A KR 20200098822 A KR20200098822 A KR 20200098822A KR 102665411 B1 KR102665411 B1 KR 102665411B1
Authority
KR
South Korea
Prior art keywords
atom
metal
general formula
compound
film
Prior art date
Application number
KR1020200098822A
Other languages
Korean (ko)
Other versions
KR20210117121A (en
Inventor
류승민
카즈야 사이토
타카노리 코이데
요시키 마나베
유타로 아오키
김재운
박규희
조윤정
히로유키 우치우주
와카나 후세
Original Assignee
삼성전자주식회사
가부시키가이샤 아데카
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사, 가부시키가이샤 아데카 filed Critical 삼성전자주식회사
Priority to CN202110210695.6A priority Critical patent/CN113402544A/en
Priority to US17/193,531 priority patent/US20210284667A1/en
Priority to JP2021040520A priority patent/JP2021147395A/en
Priority to TW110109301A priority patent/TW202200598A/en
Publication of KR20210117121A publication Critical patent/KR20210117121A/en
Application granted granted Critical
Publication of KR102665411B1 publication Critical patent/KR102665411B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/02Phosphorus compounds
    • C07F9/06Phosphorus compounds without P—C bonds
    • C07F9/08Esters of oxyacids of phosphorus
    • C07F9/09Esters of phosphoric acids
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

다음 일반식 (I)의 유기금속 부가 화합물 및 이를 사용하여 기판 위에 금속 함유막을 형성하는 집적회로 소자의 제조 방법을 개시한다.
일반식 (I)

일반식 (I)에서, R1, R2, 및 R3는 각각 독립적으로 C1-C5의 알킬기이고, R1, R2, 및 R3 중 적어도 하나는 상기 알킬기에 포함된 적어도 하나의 수소 원자가 불소 원자로 치환되어 있고, M은 니오븀 원자, 탄탈륨 원자, 또는 바나듐 원자이고, X는 할로겐 원자이고, m은 3 내지 5의 정수이고, n은 1 또는 2임.
Disclosed is an organometallic addition compound of the following general formula (I) and a method of manufacturing an integrated circuit device using the same to form a metal-containing film on a substrate.
General formula (I)

In general formula (I), R 1 , R 2 , and R 3 are each independently a C1-C5 alkyl group, and at least one of R 1 , R 2 , and R 3 is at least one hydrogen atom contained in the alkyl group. It is substituted with a fluorine atom, M is a niobium atom, a tantalum atom, or a vanadium atom, X is a halogen atom, m is an integer from 3 to 5, and n is 1 or 2.

Description

유기금속 부가 화합물 및 이를 이용한 집적회로 소자의 제조 방법 {Organometallic adduct compounds and method of manufacturing integrated circuit device using the same} Organic metal adduct compounds and method of manufacturing integrated circuit device using the same {Organometallic adduct compounds and method of manufacturing integrated circuit device using the same}

본 발명의 기술적 사상은 유기금속 부가 화합물 및 이를 이용한 집적회로 소자의 제조 방법에 관한 것으로, 특히 금속으로서 니오븀, 탄탈륨, 또는 바나듐을 포함하는 유기금속 부가 화합물 및 이를 이용한 집적회로 소자의 제조 방법에 관한 것이다. The technical idea of the present invention relates to an organometallic addition compound and a method of manufacturing an integrated circuit device using the same, and particularly to an organometallic addition compound containing niobium, tantalum, or vanadium as a metal and a method of manufacturing an integrated circuit device using the same. will be.

전자 기술의 발달로 인해, 최근 반도체 소자의 다운-스케일링(down-scaling)이 급속도로 진행되고 있으며, 이에 따라 전자 소자를 구성하는 패턴들이 미세화되어 가고 있다. 이에 수반하여 집적회로 소자의 제조에 필요한 금속 함유막 형성시 우수한 매립 특성 및 우수한 스텝 커버리지(step coverage) 특성을 제공할 수 있고, 취급이 용이하여 공정 안정성 및 양산성 측면에서 유리한 금속 함유막 형성용 원료 화합물의 개발이 필요하다. Due to the development of electronic technology, the down-scaling of semiconductor devices has recently progressed rapidly, and accordingly, the patterns that make up electronic devices are becoming finer. Accordingly, it can provide excellent embedding characteristics and excellent step coverage characteristics when forming a metal-containing film necessary for the manufacture of integrated circuit devices, and is easy to handle, which is advantageous in terms of process stability and mass production. Development of raw material compounds is necessary.

본 발명의 기술적 사상이 이루고자 하는 기술적 과제는 집적회로 소자의 제조에 필요한 금속 함유막 형성시 우수한 열 안정성, 공정 안정성, 및 양산성을 제공할 수 있는 원료 화합물로 사용될 수 있는 유기금속 부가 화합물을 제공하는 것이다. The technical problem to be achieved by the technical idea of the present invention is to provide an organometallic addition compound that can be used as a raw material compound that can provide excellent thermal stability, process stability, and mass productivity when forming a metal-containing film necessary for manufacturing integrated circuit devices. It is done.

본 발명의 기술적 사상이 이루고자 하는 다른 기술적 과제는 우수한 공정 안정성 및 양산성을 제공할 수 있는 금속 함유 원료 화합물을 이용하여 품질이 우수한 금속 함유막을 형성함으로써 원하는 전기적 특성을 제공할 수 있는 집적회로 소자의 제조 방법을 제공하는 것이다. Another technical problem to be achieved by the technical idea of the present invention is to provide an integrated circuit device that can provide desired electrical characteristics by forming a high-quality metal-containing film using a metal-containing raw material compound that can provide excellent process stability and mass production. The purpose is to provide a manufacturing method.

본 발명의 기술적 사상에 의한 일 양태에 따른 유기금속 부가 화합물은 다음 일반식 (I)로 표시된다. The organometallic addition compound according to one aspect according to the technical idea of the present invention is represented by the following general formula (I).

일반식 (I)General formula (I)

일반식 (I)에서, In general formula (I),

R1, R2, 및 R3는 각각 독립적으로 C1-C5의 알킬기이고, R1, R2, 및 R3 중 적어도 하나는 상기 알킬기에 포함된 적어도 하나의 수소 원자가 불소 원자로 치환되어 있고, R 1 , R 2 , and R 3 are each independently a C1-C5 alkyl group, and at least one of R 1 , R 2 , and R 3 has at least one hydrogen atom contained in the alkyl group replaced with a fluorine atom,

M은 니오븀 원자, 탄탈륨 원자, 또는 바나듐 원자이고, M is a niobium atom, a tantalum atom, or a vanadium atom,

X는 할로겐 원자이고, X is a halogen atom,

m은 3 내지 5의 정수이고, m is an integer from 3 to 5,

n은 1 또는 2이다. n is 1 or 2.

본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자의 제조 방법에서는 일반식 (I)의 유기금속 부가 화합물을 사용하여 기판 위에 금속 함유막을 형성하는 단계를 포함한다. A method of manufacturing an integrated circuit device according to an aspect according to the technical spirit of the present invention includes forming a metal-containing film on a substrate using an organometallic addition compound of general formula (I).

본 발명의 기술적 사상에 의한 유기금속 부가 화합물은 증착 공정에 사용되기에 충분한 휘발성을 나타내며, 융점이 비교적 낮고 증기압이 비교적 높아 취급 및 수송이 용이하며, 고품질의 금속 함유막을 생산성 높게 형성할 수 있다. 또한, 본 발명의 기술적 사상에 의한 유기금속 부가 화합물은 CVD(chemical vapor deposition) 공정 또는 ALD(atomic layer deposition) 공정을 이용하여 형성하고자 하는 금속 함유막 내에 탄소 잔사와 같은 원하지 않는 이물질이 잔류하는 현상이 억제되어 품질이 양호한 금속 함유막 형성용 원료로서 적합하게 사용될 수 있으며, 집적회로 소자의 제조 공정의 생산성을 향상시킬 수 있다. The organometallic addition compound according to the technical idea of the present invention exhibits sufficient volatility to be used in a deposition process, has a relatively low melting point and relatively high vapor pressure, is easy to handle and transport, and can form a high-quality metal-containing film with high productivity. In addition, the organic metal addition compound according to the technical idea of the present invention causes unwanted foreign substances such as carbon residue to remain in the metal-containing film to be formed using the CVD (chemical vapor deposition) process or the ALD (atomic layer deposition) process. This can be suppressed and can be suitably used as a raw material for forming a high-quality metal-containing film, and can improve the productivity of the manufacturing process of integrated circuit elements.

도 1은 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위한 플로차트이다.
도 2는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법에 따라 금속 함유막을 형성하기 위한 예시적인 방법을 구체적으로 설명하기 위한 플로차트이다.
도 3a 내지 도 3d는 각각 본 발명의 기술적 사상에 의한 집적회로 소자의 제조 방법에서 금속 함유막을 형성하는 공정에 사용될 수 있는 예시적인 증착 장치의 구성을 개략적으로 나타낸 도면이다.
도 4a 내지 도 4j는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
1 is a flowchart for explaining a method of manufacturing an integrated circuit device according to embodiments of the technical idea of the present invention.
FIG. 2 is a flow chart specifically explaining an exemplary method for forming a metal-containing film according to a method of manufacturing an integrated circuit device according to embodiments of the present invention.
3A to 3D are diagrams schematically showing the configuration of an exemplary deposition apparatus that can be used in the process of forming a metal-containing film in the manufacturing method of an integrated circuit device according to the technical spirit of the present invention.
Figures 4A to 4J are cross-sectional views shown according to the process sequence to explain a method of manufacturing an integrated circuit device according to embodiments of the technical idea of the present invention.

이하, 첨부 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다. Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. The same reference numerals are used for the same components in the drawings, and duplicate descriptions thereof are omitted.

본 명세서에서 사용되는 용어 "기판"은 기판 그 자체, 또는 기판과 그 표면에 형성된 소정의 층 또는 막 등을 포함하는 적층 구조체를 의미할 수 있다. 또한, 본 명세서에서 "기판의 표면"이라 함은 기판 그 자체의 노출 표면, 또는 기판 위에 형성된 소정의 층 또는 막 등의 외측 표면을 의미할 수 있다. 본명세서에서사용되는용어"상온"은약20∼28℃이며, 계절에따라다를수있다. The term “substrate” used herein may refer to the substrate itself, or a laminated structure including the substrate and a predetermined layer or film formed on the surface. Additionally, in this specification, the term “surface of the substrate” may mean the exposed surface of the substrate itself, or the outer surface of a predetermined layer or film formed on the substrate. The term “room temperature” used in this specification is approximately 20 to 28°C and may vary depending on the season.

본 발명의 기술적 사상에 의한 유기금속 부가 화합물은 유기 인산기가 부가물(adduct)의 형태로 배위 금속 화합물에 결합되어 있는 구조를 가진다. 본 발명의 기술적 사상에 의한 유기금속 부가 화합물은 다음 일반식 (I)로 표시될 수 있다. The organometallic addition compound according to the technical idea of the present invention has a structure in which an organic phosphate group is bonded to a coordination metal compound in the form of an adduct. The organometallic addition compound according to the technical idea of the present invention may be represented by the following general formula (I).

일반식 (I)General formula (I)

일반식 (I)에서, R1, R2, 및 R3는 각각 독립적으로 C1-C5의 알킬기이고, R1, R2, 및 R3 중 적어도 하나는 상기 알킬기에 포함된 적어도 하나의 수소 원자가 불소 원자로 치환된 것일 수 있다. M은 주기율표의 5 족 원소들 중에서 선택되는 하나의 원소, 예를 들면 니오븀 원자, 탄탈륨 원자, 또는 바나듐 원자이고, X는 할로겐 원자이고, m은 3 내지 5의 정수이고, n은 1 또는 2이다. In general formula (I), R 1 , R 2 , and R 3 are each independently a C1-C5 alkyl group, and at least one of R 1 , R 2 , and R 3 is at least one hydrogen atom contained in the alkyl group. It may be substituted with a fluorine atom. M is an element selected from the group 5 elements of the periodic table, such as a niobium atom, a tantalum atom, or a vanadium atom, .

예시적인 실시예들에서, R1, R2, 및 R3 중 적어도 하나는 직쇄형 알킬기일 수 있다. 다른 예시적인 실시예들에서, R1, R2, 및 R3 중 적어도 하나는 분기형 알킬기일 수 있다. In exemplary embodiments, at least one of R 1 , R 2 , and R 3 may be a straight-chain alkyl group. In other exemplary embodiments, at least one of R 1 , R 2 , and R 3 may be a branched alkyl group.

예시적인실시예들에서, R1, R2, 및 R3는 각각 독립적으로 메틸기, 에틸기, n-프로필기, 이소프로필기, n-부틸기, 이소부틸기, sec-부틸기, tert-부틸기, n-펜틸기, 이소펜틸기, sec-펜틸기, tert-펜틸기, 네오펜틸기, 또는 3-펜틸기일 수 있다. In exemplary embodiments, R 1 , R 2 , and R 3 are each independently methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, sec-butyl, and tert-butyl. group, n-pentyl group, isopentyl group, sec-pentyl group, tert-pentyl group, neopentyl group, or 3-pentyl group.

다른 예시적인 실시예들에서, R1, R2, 및 R3는 각각 독립적으로 트리플루오로메틸기, 트리플루오로에틸기, 헥사플루오로이소프로필기, 또는 노나플루오로 tert-부틸기일 수 있다. In other exemplary embodiments, R 1 , R 2 , and R 3 may each independently be a trifluoromethyl group, a trifluoroethyl group, a hexafluoroisopropyl group, or a nonafluoro tert-butyl group.

일반식 (I)에서, X는 F원자, Cl 원자, Br 원자, 또는 I 원자일 수 있다. 상기 X가 F원자 또는 Cl 원자인 경우, 상기 유기금속 부가 화합물의 융점이 더욱 낮아질 수 있고 상기 유기금속 부가 화합물의 증기압이 더욱 높아질 수 있다. In general formula (I), X may be an F atom, a Cl atom, a Br atom, or an I atom. When X is an F atom or a Cl atom, the melting point of the organometallic addition compound may be lowered and the vapor pressure of the organometallic addition compound may be higher.

본 발명의 기술적 사상에 의한 실시예들에 따른 유기금속 부가 화합물은상온에서 액체일 수 있다. 상기 유기금속 부가 화합물이 상온에서 액체이면 취급이 용이할 수 있다. 일반식 (I)에서, R1, R2, 및 R3 중 적어도 하나가 분기형 알킬기인 경우, 상기 유기금속 부가 화합물이 상온에서 액체 상태로 되는 데 유리할 수 있다. Organometallic addition compounds according to embodiments of the technical spirit of the present invention may be liquid at room temperature. If the organometallic addition compound is liquid at room temperature, it can be easily handled. In General Formula (I), when at least one of R 1 , R 2 , and R 3 is a branched alkyl group, it may be advantageous for the organometallic addition compound to be in a liquid state at room temperature.

예시적인 실시예들에서, 일반식 (I)에서, M은 니오븀 원자 또는 탄탈륨 원자이고, X는 불소 원자 또는 염소 원자일 수 있다. In exemplary embodiments, in general formula (I), M may be a niobium atom or a tantalum atom, and X may be a fluorine atom or a chlorine atom.

예시적인 실시예들에서, 일반식 (I)에서, m은 5이고, n은 1일 수 있다. In example embodiments, in general formula (I), m may be 5 and n may be 1.

예시적인 실시예들에서, 일반식 (I)에서, M은 니오븀 원자 또는 탄탈륨 원자이고, X는 염소 원자이고, R1, R2, 및 R3 는 각각 분기형 알킬기일 수 있다. In exemplary embodiments, in general formula (I), M is a niobium atom or a tantalum atom, X is a chlorine atom, and R 1 , R 2 , and R 3 can each be a branched alkyl group.

다른 예시적인 실시예들에서, 일반식 (I)에서, M은 니오븀 원자 또는 탄탈륨 원자이고, X는 불소 원자이고, R1, R2, 및 R3 는 각각 분기형 알킬기일 수 있다. In other exemplary embodiments, in general formula (I), M is a niobium atom or a tantalum atom, X is a fluorine atom, and R 1 , R 2 , and R 3 can each be a branched alkyl group.

또 다른 예시적인 실시예들에서, 일반식 (I)에서, M은 니오븀 원자 또는 탄탈륨 원자이고, X는 염소 원자이고, R1, R2, 및 R3는 각각 상기 알킬기에 포함된 모든 수소 원자가 불소 원자로 치환된 것일 수 있다. In still other exemplary embodiments, in general formula (I), M is a niobium atom or a tantalum atom, It may be substituted with a fluorine atom.

또 다른 예시적인 실시예들에서, 일반식 (I)에서, M은 니오븀 원자 또는 탄탈륨 원자이고, X는 불소 원자이고, R1, R2, 및 R3 는 각각 상기 알킬기에 포함된 모든 수소 원자가 불소 원자로 치환된 것일 수 있다. In further exemplary embodiments, in general formula ( I ), M is a niobium atom or a tantalum atom, It may be substituted with a fluorine atom.

본 발명의 기술적 사상에 의한 유기금속 부가 화합물은 유기 인산기가 부가물(adduct)의 형태로 배위 금속 화합물에 결합되어 있는 구조를 가지는 것으로서, CVD(chemical vapor deposition) 공정 또는 ALD(atomic layer deposition) 공정에 의한 금속 함유막 형성시 금속의 전구체로 사용될 때 용기 보관시에는 유기 인산기가 배위 결합에 의해 배위 금속 화합물을 보호하는 역할을 하고, 금속 함유막 형성을 위한 증착 반응 챔버로 이송되었을 때에는 반응 챔버 내의 공정 온도에 의해 쉽게 분해되어 금속 함유막 형성을 위한 표면 반응에는 영향을 미치지 않을 수 있다.The organometallic addition compound according to the technical idea of the present invention has a structure in which an organic phosphate group is bonded to a coordination metal compound in the form of an adduct, and is performed through a chemical vapor deposition (CVD) process or an atomic layer deposition (ALD) process. When used as a metal precursor when forming a metal-containing film, the organic phosphate group plays a role in protecting the coordinated metal compound through coordination bonds when stored in a container, and when transferred to the deposition reaction chamber for forming a metal-containing film, the organic phosphate group within the reaction chamber It is easily decomposed by process temperature and may not affect the surface reaction for forming a metal-containing film.

본 발명의 기술적 사상에 의한 실시예들에 따른 유기금속 부가 화합물의 구체적인 예들은 다음의 식(Formula) 1 내지 식 16으로 표시될 수 있다.

Figure 112020082881946-pat00003
Specific examples of organometallic addition compounds according to embodiments of the technical idea of the present invention may be represented by the following Formulas 1 to 16.
Figure 112020082881946-pat00003

Figure 112020082881946-pat00004
Figure 112020082881946-pat00004

본 발명의 기술적 사상에 의한 실시예들에 따른 유기금속 부가 화합물들을 합성하는 방법은 특별히 제한되지 않으며, 주지의 반응들을 응용하여 합성될 수 있다. 예를 들면, 디클로로메탄 용매 하에 펜타클로라이드 니오븀(pentachloride niobium)과, 합성하고자 하는 최종 구조에 대응하는 구조의 인산 에스테르(phosphate ester)를 약 25 ℃에서 반응시키고 얻어진 용액으로부터 용매 및 미반응물을 증류한 후, 증류 정제하는 방법을 이용하여 본 발명의 기술적 사상에 의한 실시예들에 따른 유기금속 부가 화합물들을 합성할 수 있다. Methods for synthesizing organometallic addition compounds according to embodiments of the technical idea of the present invention are not particularly limited, and can be synthesized by applying known reactions. For example, pentachloride niobium and a phosphate ester having a structure corresponding to the final structure to be synthesized are reacted at about 25° C. in a dichloromethane solvent, and the solvent and unreacted products are distilled from the resulting solution. Afterwards, organometallic addition compounds according to embodiments of the technical idea of the present invention can be synthesized using a distillation and purification method.

본 발명의 기술적 사상에 의한 실시예들에 따른 유기금속 부가 화합물은 CVD 공정 또는 ALD 공정에 적합한 원료로서 사용될 수 있다. Organometallic addition compounds according to embodiments of the technical idea of the present invention can be used as raw materials suitable for a CVD process or ALD process.

도 1은 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위한 플로차트이다. 1 is a flowchart for explaining a method of manufacturing an integrated circuit device according to embodiments of the technical idea of the present invention.

도 1을 참조하면, 공정 P10에서 기판을 준비한다. Referring to Figure 1, a substrate is prepared in process P10.

상기 기판은 실리콘, 세라믹스, 유리, 금속, 금속 질화물, 또는 이들의 조합으로 이루어질 수 있다. 상기 세라믹스는 실리콘 질화물, 질화티탄, 질화탄탈, 산화티타늄, 질화티타늄, 산화니오븀, 산화지르코늄, 산화하프늄, 산화란탄, 또는 이들의 조합을 포함할 수 있다. 상기 금속 및 상기 금속 질화물은 각각 Ti, Ta, Co, Ru, Zr, Hf, La, 또는 이들의 조합을 포함할 수 있으나, 이들에 한정되는 것은 아니다. 상기 기판의 표면은 평판형, 구형, 섬유형, 또는 인편형(scalelike) 형상을 가질 수 있다. 또는, 상기 기판의 표면은 트렌치 구조 등과 같은 3 차원 구조를 가질 수도 있다. The substrate may be made of silicon, ceramics, glass, metal, metal nitride, or a combination thereof. The ceramics may include silicon nitride, titanium nitride, tantalum nitride, titanium oxide, titanium nitride, niobium oxide, zirconium oxide, hafnium oxide, lanthanum oxide, or a combination thereof. The metal and the metal nitride may each include Ti, Ta, Co, Ru, Zr, Hf, La, or a combination thereof, but are not limited thereto. The surface of the substrate may have a flat, spherical, fibrous, or scalelike shape. Alternatively, the surface of the substrate may have a three-dimensional structure such as a trench structure.

예시적인 실시예들에서, 상기 기판은 도 4a를 참조하여 기판(310)에 대하여 후술하는 바와 같은 구성을 가질 수 있다. In exemplary embodiments, the substrate may have a configuration as described below with respect to the substrate 310 with reference to FIG. 4A.

도 1의 공정 P20에서, 일반식 (I)의 유기금속 부가 화합물을 포함하는 금속 함유막 형성용 원료를 사용하여 상기 기판 위에 금속 함유막을 형성한다. In step P20 of FIG. 1, a metal-containing film is formed on the substrate using a raw material for forming a metal-containing film containing an organometallic addition compound of general formula (I).

상기 금속 함유막 형성용 원료는 본 발명의 기술적 사상에 의한 유기금속 부가 화합물을 포함할 수 있다. 예시적인 실시예들에서, 상기 금속 함유막 형성용 원료는 식 1 내지 식 16으로 표시되는 유기금속 부가 화합물 중 적어도 하나의 유기금속 부가 화합물을 포함할 수 있다. 예시적인 실시예들에서, 상기 유기금속 부가 화합물은 상온에서 액체일 수 있다. The raw material for forming the metal-containing film may include an organic metal addition compound according to the technical idea of the present invention. In exemplary embodiments, the raw material for forming the metal-containing film may include at least one organometallic addition compound represented by Formulas 1 to 16. In exemplary embodiments, the organometallic addition compound may be liquid at room temperature.

상기 금속 함유막 형성용 원료는 형성하고자 하는 박막에 따라 다를 수 있다. 예시적인 실시예들에서, 형성하고자 하는 금속 함유막은 니오븀 함유막, 탄탈륨 함유막, 또는 바나듐 함유막으로 이루어질 수 있다. 상기 니오븀 함유막을 형성하는 경우, 상기 금속 함유막 형성용 원료로서 일반식 (I)의 유기금속 부가 화합물에서 M은 니오븀 원자인 것을 사용할 수 있다. 상기 탄탈륨 함유막을 형성하는 경우, 상기 금속 함유막 형성용 원료로서 일반식 (I)의 유기금속 부가 화합물에서 M은 탄탈륨 원자인 것을 사용할 수 있다. 상기 바나듐 함유막을 형성하는 경우, 상기 금속 함유막 형성용 원료로서 일반식 (I)의 유기금속 부가 화합물에서 M은 바나듐 원자인 것을 사용할 수 있다. 이 경우, 상기 금속 함유막 형성용 원료는 본 발명의 기술적 사상에 의한 유기금속 부가 화합물만 포함하고 다른 금속 화합물 및 반금속(半金屬) 화합물을 포함하지 않을 수 있다. Raw materials for forming the metal-containing film may vary depending on the thin film to be formed. In example embodiments, the metal-containing film to be formed may be a niobium-containing film, a tantalum-containing film, or a vanadium-containing film. When forming the niobium-containing film, an organometallic addition compound of general formula (I) where M is a niobium atom can be used as a raw material for forming the metal-containing film. When forming the tantalum-containing film, an organometallic addition compound of general formula (I) where M is a tantalum atom can be used as a raw material for forming the metal-containing film. When forming the vanadium-containing film, an organometallic addition compound of general formula (I) where M is a vanadium atom can be used as a raw material for forming the metal-containing film. In this case, the raw material for forming the metal-containing film may contain only organometallic addition compounds according to the technical idea of the present invention and may not contain other metal compounds or semi-metallic compounds.

다른 예시적인 실시예들에서, 형성하고자 하는 금속 함유막은 니오븀, 탄탈륨, 또는 바나듐에 추가적으로 다른 금속을 더 포함할 수 있다. 예를 들면, 형성하고자 하는 금속 함유막이 니오븀, 탄탈륨, 또는 바나듐 이외에 다른 금속 또는 반금속을 더 포함하는 막인 경우, 상기 금속 함유막 형성용 원료는 본 발명의 기술적 사상에 의한 유기금속 부가 화합물에 더하여 원하는 상기 금속 또는 반금속을 포함하는 화합물 (이하, "다른 전구체"라 함)을 포함할 수 있다. 또 다른 예시적인 실시예들에서, 상기 금속 함유막 형성용 원료는 본 발명의 기술적 사상에 의한 실시예들에 따른 유기금속 부가 화합물 이외에, 유기 용제, 또는 친핵성 시약을 더 포함할 수 있다. In other exemplary embodiments, the metal-containing film to be formed may further include another metal in addition to niobium, tantalum, or vanadium. For example, if the metal-containing film to be formed is a film that further contains a metal or semi-metal other than niobium, tantalum, or vanadium, the raw material for forming the metal-containing film may be in addition to the organometallic addition compound according to the technical idea of the present invention. It may contain a compound containing the desired metal or semimetal (hereinafter referred to as “other precursor”). In other exemplary embodiments, the raw material for forming the metal-containing film may further include an organic solvent or a nucleophilic reagent in addition to the organometallic addition compound according to the embodiments according to the technical idea of the present invention.

도 1의 공정 P20에 따라 금속 함유막을 형성하기 위하여 CVD 공정 또는 ALD 공정을 이용할 수 있다. 본 발명의 기술적 사상에 의한 유기금속 부가 화합물을 포함하는 금속 함유막 형성용 원료는 CVD 공정 또는 ALD 공정과 같은 화학 증착 공정에 적합하게 사용될 수 있다. A CVD process or an ALD process can be used to form a metal-containing film according to process P20 of FIG. 1. The raw material for forming a metal-containing film containing an organic metal addition compound according to the technical idea of the present invention can be suitably used in a chemical vapor deposition process such as a CVD process or an ALD process.

상기 금속 함유막 형성용 원료가 화학 증착 공정에 사용될 때, 상기 금속 함유막 형성용 원료의 조성은 그 수송 공급 방법에 따라 적절하게 선택될 수 있다. 상기 원료 수송 방법으로서 기체 수송법 및 액체 수송법이 있다. 상기 기체 수송법에서는 금속 함유막 형성용 원료가 저장된 용기 (이하, "원료 용기"라 칭할 수 있음) 내에서 가열 혹은 감압에 의해 상기 원료를 기화시켜 증기 상태로 만들고, 증기 상태의 원료를 필요에 따라 사용되는 아르곤, 질소, 헬륨 등과 같은 캐리어 가스(carrier gas)와 함께 기판이 놓여진 챔버 내부 (이하, "퇴적 반응부"라 칭할 수 있음)로 도입할 수 있다. 상기 액체 수송법에서는 상기 원료를 액체 또는 용액의 상태로 기화실까지 수송하고, 상기 기화실에서 가열 및/또는 감압에 의해 기화시켜 증기로 만든 후, 이 증기를 챔버 내부로 도입할 수 있다. When the raw material for forming a metal-containing film is used in a chemical vapor deposition process, the composition of the raw material for forming a metal-containing film can be appropriately selected depending on its transportation and supply method. The raw material transport method includes a gas transport method and a liquid transport method. In the gas transport method, the raw materials for forming a metal-containing film are stored in a container (hereinafter referred to as "raw material container") by heating or reducing pressure to vaporize the raw materials into a vapor state, and the vapor-state raw materials are stored as needed. It can be introduced into the chamber where the substrate is placed (hereinafter referred to as the "deposition reaction section") along with a carrier gas such as argon, nitrogen, helium, etc. used accordingly. In the liquid transport method, the raw material is transported in a liquid or solution state to a vaporization chamber, vaporized in the vaporization chamber by heating and/or reduced pressure to form vapor, and then the vapor can be introduced into the chamber.

도 1의 공정 P20에 따라 금속 함유막을 형성하기 위하여 상기 기체 수송법을 이용하는 경우, 일반식 (I)의 유기금속 부가 화합물 그 자체를 금속 함유막 형성용 원료로 사용할 수 있다. 도 1의 공정 P20에 따라 금속 함유막을 형성하기 위하여 상기 액체 수송법을 이용하는 경우에는, 일반식 (I)의 유기금속 부가 화합물 그 자체, 또는 일반식 (I)의 유기금속 부가 화합물을 유기 용제에 녹인 용액을 금속 함유막 형성용 원료로 사용할 수 있다. 상기 금속 함유막 형성용 원료는 다른 전구체, 친핵성 시약 등을 더 포함할 수 있다. When using the gas transport method to form a metal-containing film according to process P20 of FIG. 1, the organometallic addition compound of general formula (I) itself can be used as a raw material for forming the metal-containing film. When using the liquid transport method to form a metal-containing film according to step P20 in FIG. 1, the organometallic addition compound of general formula (I) itself, or the organometallic addition compound of general formula (I) is added to an organic solvent. The dissolved solution can be used as a raw material for forming a metal-containing film. The raw material for forming the metal-containing film may further include other precursors, nucleophilic reagents, etc.

예시적인 실시예들에서, 본 발명의 기술적 사상에 의한 집적회로 소자의 제조 방법에 따라 금속 함유막을 형성하기 위하여 다성분계 화학 증착법을 이용할 수 있다. 상기 다성분계 화학 증착법에서는 금속 함유막 형성용 원료를 각 성분별로 독립적으로 기화시켜 공급하는 방법(이하, "싱글 소스법 (single source method)"이라 기재할 수 있음), 또는 다성분 원료를 사전에 원하는 조성으로 혼합한 혼합 원료를 기화시켜 공급하는 방법(이하, "칵테일 소스법 (cocktail source method)"이라 기재할 수 있음)을 이용할 수 있다. 상기 칵테일 소스법을 이용하는 경우, 본 발명의 기술적 사상에 의한 유기금속 부가 화합물과 다른 전구체와의 혼합물, 또는 상기 혼합물을 유기 용제에 용해시킨 혼합 용액을 금속 함유막 형성용 원료로 사용할 수 있다. 상기 혼합물 또는 상기 혼합 용액은 친핵성 시약을 더 포함할 수 있다. In exemplary embodiments, a multi-component chemical vapor deposition method may be used to form a metal-containing film according to the manufacturing method of an integrated circuit device according to the technical spirit of the present invention. In the multi-component chemical vapor deposition method, the raw materials for forming a metal-containing film are supplied by vaporizing each component independently (hereinafter, may be referred to as a "single source method"), or the multi-component raw materials are supplied in advance. A method of vaporizing and supplying mixed raw materials mixed to a desired composition (hereinafter referred to as the “cocktail source method”) can be used. When using the cocktail sauce method, a mixture of an organic metal addition compound according to the technical idea of the present invention and another precursor, or a mixed solution obtained by dissolving the mixture in an organic solvent can be used as a raw material for forming a metal-containing film. The mixture or the mixed solution may further include a nucleophilic reagent.

상기 유기 용제의 종류는 특히 제한되는 않으며, 당 기술 분야에서 알려진 유기 용제들을 사용할 수 있다. 예를 들면, 상기 유기 용제로서 에틸 아세테이트, 부틸 아세테이트, 메톡시에틸 아세테이트 등과 같은 아세트산 에스테르류 (acetic esters); 테트라히드로퓨란 (tetrahydrofuran), 테트라히드로피란 (tetrahydropyran), 에틸렌 글리콜 디메틸 에테르 (ethylene glycol dimethyl ether), 디에틸렌 글리콜 디메틸 에테르 (diethylene glycol dimethyl ether), 트리에틸렌 글리콜 디메틸 에테르 (triethylene glycol dimethyl ether), 디부틸 에테르 (dibutyl ether) 등과 같은 에테르류; 디부틸 케톤, 디에틸부틸 케톤, 디이소부틸 케톤, 메틸 아밀 케톤 (methyl amyl ketone), 시클로헥사논, 시클로헥산 등과 같은 케톤류; 헥산, 시클로헥산, 메틸시클로헥산 (methylcyclohexane), 디메틸시클로헥산 (dimethylcyclohexane), 에틸시클로헥산 (ethylcyclohexane), 헵탄, 옥탄, 톨루엔, 크실렌 등과 같은 탄화수소류; 1-시아노프로판 (1-cyanopropane), 1-시아노부탄 (1-cyanobutane), 1-시아노헥산 (1-cyanohexane), 시아노시클로헥산 (cyanocyclohexane), 시아노벤젠 (cyanobenzene), 1,3-디시아노프로판 (1,3-dicyanopropane), 1,4-디시아노부탄 (1,4-dicyanobutane), 1,6-디시아노헥산 (1,6-dicyanohexane), 1,4-디시아노시클로헥산 (1,4-dicyanocyclohexane), 1,4-디시아노벤젠 (1,4-dicyanobenzene) 등과 같은 시아노기를 가진 탄화수소류; 피리딘 (pyridine); 루티딘 (lutidine) 등을 사용할 수 있다. 상기 예시된 유기 용제들은 용질의 용해성, 사용 온도와 비점, 인화점의 관계 등을 고려하여 단독으로, 또는 적어도 2 종류의 혼합 용매로서 사용할 수 있다. The type of the organic solvent is not particularly limited, and organic solvents known in the art can be used. For example, the organic solvent includes acetic esters such as ethyl acetate, butyl acetate, and methoxyethyl acetate; tetrahydrofuran, tetrahydropyran, ethylene glycol dimethyl ether, diethylene glycol dimethyl ether, triethylene glycol dimethyl ether, dimethyl ether ethers such as butyl ether (dibutyl ether); Ketones such as dibutyl ketone, diethylbutyl ketone, diisobutyl ketone, methyl amyl ketone, cyclohexanone, and cyclohexane; Hydrocarbons such as hexane, cyclohexane, methylcyclohexane, dimethylcyclohexane, ethylcyclohexane, heptane, octane, toluene, xylene, etc.; 1-cyanopropane, 1-cyanobutane, 1-cyanohexane, cyanocyclohexane, cyanobenzene, 1, 3-dicyanopropane (1,3-dicyanopropane), 1,4-dicyanobutane (1,4-dicyanobutane), 1,6-dicyanohexane (1,6-dicyanohexane), 1,4-dicyanocyclo Hydrocarbons with a cyano group such as hexane (1,4-dicyanocyclohexane), 1,4-dicyanobenzene, etc.; pyridine; Lutidine, etc. can be used. The organic solvents exemplified above can be used alone or as a mixed solvent of at least two types, taking into account the solubility of the solute, the relationship between the temperature of use, boiling point, and flash point.

본 발명의 기술적 사상에 의한 유기금속 부가 화합물을 포함하는 상기 금속 함유막 형성용 원료에 유기 용매가 포함되는 경우, 본 발명의 기술적 사상에 의한 유기금속 부가 화합물 및 다른 전구체의 총량은 상기 유기 용제 내에서 약 0.01 mol/L 내지 약 2.0 mol/L, 예를 들면 약 0.05 mol/L 내지 약 1.0 mol/L의 양으로 포함될 수 있다. 여기서, 금속 함유막 형성용 원료가 본 발명의 기술적 사상에 의한 유기금속 부가 화합물 이외의 다른 금속 화합물 및 반금속 화합물을 포함하지 않는 경우에는 상기 총량은 본 발명의 기술적 사상에 의한 유기금속 부가 화합물의 양이며, 상기 금속 함유막 형성용 원료가 본 발명의 기술적 사상에 의한 유기금속 부가 화합물 이외에 다른 금속 화합물 또는 반금속 화합물, 즉 다른 전구체를 더 포함하는 경우에는 상기 총량은 본 발명의 기술적 사상에 의한 유기금속 부가 화합물의 양과 상기 다른 전구체의 양과의 합이다. When the raw material for forming the metal-containing film containing the organometallic addition compound according to the technical idea of the present invention contains an organic solvent, the total amount of the organometallic addition compound and other precursors according to the technical idea of the present invention is contained in the organic solvent. It may be included in an amount of about 0.01 mol/L to about 2.0 mol/L, for example, about 0.05 mol/L to about 1.0 mol/L. Here, if the raw material for forming a metal-containing film does not contain any metal compounds or semimetal compounds other than the organometallic addition compound according to the technical idea of the present invention, the total amount is the organometallic addition compound according to the technical idea of the present invention. amount, and if the raw material for forming the metal-containing film further contains other metal compounds or semi-metal compounds, that is, other precursors, in addition to the organometallic addition compound according to the technical idea of the present invention, the total amount is according to the technical idea of the present invention. It is the sum of the amount of the organometallic addition compound and the amount of the other precursors.

본 발명의 기술적 사상에 의한 집적회로 소자의 제조 방법에 따라 금속 함유막을 형성하기 위하여 상기 다성분계 화학 증착법을 이용하는 경우, 본 발명의 기술적 사상에 의한 유기금속 부가 화합물과 함께 사용될 수 있는 다른 전구체의 종류는 특별히 제한되지 않으며, 금속 함유막 형성용 원료로서 사용되고 있는 주지의 다른 전구체들을 사용할 수 있다. When using the multi-component chemical vapor deposition method to form a metal-containing film according to the manufacturing method of an integrated circuit device according to the technical idea of the present invention, types of other precursors that can be used together with the organometallic addition compound according to the technical idea of the present invention is not particularly limited, and other known precursors that are used as raw materials for forming a metal-containing film can be used.

예시적인 실시예들에서, 본 발명의 기술적 사상에 의한 집적회로 소자의 제조 방법에 따라 금속 함유막을 형성하는 데 사용될 수 있는 다른 전구체들의 예를 들면, 알콜 (alcohol) 화합물, 글리콜 (glycol) 화합물, β-디케톤 (β-diketone) 화합물, 시클로펜타디엔 (cyclopentadiene) 화합물, 및 유기 아민 (organic amine) 화합물 중에서 선택되는 적어도 하나의 유기 배위 화합물 (organic coordination compound)과, 실리콘과, 금속 중에서 선택되는 어느 하나를 포함하는 화합물로 이루어질 수 있다. In exemplary embodiments, other precursors that can be used to form a metal-containing film according to the method of manufacturing an integrated circuit device according to the technical spirit of the present invention include, for example, an alcohol compound, a glycol compound, At least one organic coordination compound selected from a β-diketone compound, a cyclopentadiene compound, and an organic amine compound, silicon, and a metal It may be composed of a compound containing any one.

상기 다른 전구체는 리튬(Li), 나트륨(Na), 칼륨(K), 마그네슘(Mg), 칼슘(Ca), 스트론튬(Sr), 바륨(Ba), 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 크롬(Cr), 몰리브덴(Mo), 텅스텐(W), 망간(Mn), 철(Fe), 코발트(Co), 로듐(Rh), 이리듐(Ir), 니켈(Ni), 백금(Pt), 구리(Cu), 은(Ag), 금(Au), 아연(Zn), 알루미늄(Al), 갈륨(Ga), 인듐(In), 게르마늄(Ge), 주석(Sn), 납(Pb), 안티몬(Sb), 비스무트(Bi), 이트륨(Y), 란타넘(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 프로메튬(Pm), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이터븀(Yb), 루테튬(Lu) 등의 원소를 포함할 수 있으나, 본 발명의 기술적 사상이 상기 예시된 원소들에 한정되는 것은 아니다. The other precursors include lithium (Li), sodium (Na), potassium (K), magnesium (Mg), calcium (Ca), strontium (Sr), barium (Ba), titanium (Ti), zirconium (Zr), and hafnium. (Hf), chromium (Cr), molybdenum (Mo), tungsten (W), manganese (Mn), iron (Fe), cobalt (Co), rhodium (Rh), iridium (Ir), nickel (Ni), platinum (Pt), copper (Cu), silver (Ag), gold (Au), zinc (Zn), aluminum (Al), gallium (Ga), indium (In), germanium (Ge), tin (Sn), lead (Pb), antimony (Sb), bismuth (Bi), yttrium (Y), lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium (Nd), promethium (Pm), samarium (Sm), Contains elements such as europium (Eu), gadolinium (Gd), terbium (Tb), dysprosium (Dy), holmium (Ho), erbium (Er), thulium (Tm), ytterbium (Yb), and lutetium (Lu). However, the technical idea of the present invention is not limited to the elements exemplified above.

상기 다른 전구체의 유기 배위 화합물로서 사용 가능한 알콜 화합물의 예로서, 메탄올, 에탄올, 프로판올, 이소프로필 알콜, 부탄올, sec-부틸 알콜, 이소부틸 알콜, tert-부틸 알콜, 펜틸 알콜, 이소펜틸 알콜, tert-펜틸 알콜 등과 같은 알킬 알콜류; 2-메톡시에탄올 (2-methoxyethanol), 2-에톡시에탄올 (2-ethoxyethanol), 2-부톡시에탄올 (2-butoxyethanol), 2-(2-메톡시에톡시)에탄올 (2-(2-methoxyethoxy)ethanol), 2-메톡시-1-메틸에탄올 (2-methoxy-1-methylethanol), 2-메톡시-1,1-디메틸에탄올 (2-methoxy-1,1-dimethylethanol), 2-에톡시-1,1-디메틸에탄올 (2-ethoxy-1,1-dimethylethanol), 2-이소프로폭시-1,1-디메틸에탄올 (2-isopropoxy-1,1-dimethylethanol), 2-부톡시-1,1-디메틸에탄올 (2-butoxy-1,1-dimethylethanol), 2-(2-메톡시에톡시)-1,1-디메틸에탄올 (2-(2-methoxyethoxy)-1,1-dimethylethanol), 2-프로폭시-1,1-디에틸에탄올 (2-propoxy-1,1-diethylethanol), 2-sec-부톡시-1,1-디에틸에탄올 (2-sec-butoxy-1,1-diethylethanol), 3-메톡시-1,1-디메틸프로판올 (3-methoxy-1,1-dimethylpropanol) 등과 같은 에테르 알콜류; 및 디메틸아미노에탄올 (dimethylaminoethanol), 에틸메틸아미노에탄올 (ethylmethylaminoethanol), 디에틸아미노에탄올 (diethylaminoethanol), 디메틸아미노-2-펜탄올 (dimethylamino-2-pentanol), 에틸메틸아미노-2-펜탄올 (ethylmethylamino-2-pentanol), 디메틸아미노-2-메틸-2-펜탄올 (dimethylamino-2-methyl-2-pentanol), 에틸메틸아미노-2-메틸-2-펜탄올 (ethylmethylamino-2-methyl-2-pentanol), 디에틸아미노-2-메틸-2-펜탄올 (diethylamino-2-methyl-2-pentanol) 등과 같은 디알킬아미노알콜류를 들 수 있으나, 이에 한정되는 것은 아니다. Examples of alcohol compounds that can be used as organic coordination compounds of the other precursors include methanol, ethanol, propanol, isopropyl alcohol, butanol, sec-butyl alcohol, isobutyl alcohol, tert-butyl alcohol, pentyl alcohol, isopentyl alcohol, tert. -Alkyl alcohols such as pentyl alcohol; 2-methoxyethanol, 2-ethoxyethanol, 2-butoxyethanol, 2-(2-methoxyethoxy)ethanol (2-(2- methoxyethoxy)ethanol), 2-methoxy-1-methylethanol (2-methoxy-1-methylethanol), 2-methoxy-1,1-dimethylethanol (2-methoxy-1,1-dimethylethanol), 2- Toxy-1,1-dimethylethanol (2-ethoxy-1,1-dimethylethanol), 2-isopropoxy-1,1-dimethylethanol (2-isopropoxy-1,1-dimethylethanol), 2-butoxy-1 ,1-dimethylethanol (2-butoxy-1,1-dimethylethanol), 2-(2-methoxyethoxy)-1,1-dimethylethanol (2-(2-methoxyethoxy)-1,1-dimethylethanol), 2-propoxy-1,1-diethylethanol, 2-sec-butoxy-1,1-diethylethanol ), ether alcohols such as 3-methoxy-1,1-dimethylpropanol; And dimethylaminoethanol, ethylmethylaminoethanol, diethylaminoethanol, dimethylamino-2-pentanol, ethylmethylamino-2-pentanol 2-pentanol), dimethylamino-2-methyl-2-pentanol, ethylmethylamino-2-methyl-2-pentanol ), dialkylamino alcohols such as diethylamino-2-methyl-2-pentanol, etc., but are not limited thereto.

상기 다른 전구체의 유기 배위 화합물로서 사용 가능한 글리콜 화합물의 예로서, 1,2-에탄디올 (1,2-ethanediol), 1,2-프로판디올 (1,2-propanediol), 1,3-프로판디올 (1,3-propanediol), 2,4-헥산디올 (2,4-hexanediol), 2,2-디메틸-1,3-프로판디올 (2,2-dimethyl-1,3-propanediol), 2,2-디에틸-1,3-프로판디올 (2,2-diethyl-1,3-propanediol), 1,3-부탄디올 (1,3-butanediol), 2,4-부탄디올 (2,4-butanediol), 2,2-디에틸-1,3-부탄디올 (2,2-diethyl-1,3-butanediol), 2-에틸-2-부틸-1,3-프로판디올 (2-ethyl-2-butyl-1,3-propanediol), 2,4-펜탄디올 (2,4-pentanediol), 2-메틸-1,3-프로판디올 (2-methyl-1,3-propanediol), 2-메틸-2,4-펜탄디올 (2-methyl-2,4-pentanediol), 2,4-헥산디올 (2,4-hexanediol), 및 2,4-디메틸-2,4-펜탄디올 (2,4-dimethyl-2,4-pentanediol)을 들 수 있으나, 이에 한정되는 것은 아니다. Examples of glycol compounds that can be used as organic coordination compounds of the other precursors include 1,2-ethanediol, 1,2-propanediol, and 1,3-propanediol. (1,3-propanediol), 2,4-hexanediol (2,4-hexanediol), 2,2-dimethyl-1,3-propanediol (2,2-dimethyl-1,3-propanediol), 2, 2-diethyl-1,3-propanediol (2,2-diethyl-1,3-propanediol), 1,3-butanediol (1,3-butanediol), 2,4-butanediol (2,4-butanediol) , 2,2-diethyl-1,3-butanediol (2,2-diethyl-1,3-butanediol), 2-ethyl-2-butyl-1,3-propanediol (2-ethyl-2-butyl- 1,3-propanediol), 2,4-pentanediol (2,4-pentanediol), 2-methyl-1,3-propanediol (2-methyl-1,3-propanediol), 2-methyl-2,4 -pentanediol (2-methyl-2,4-pentanediol), 2,4-hexanediol (2,4-hexanediol), and 2,4-dimethyl-2,4-pentanediol (2,4-dimethyl-2 , 4-pentanediol), but is not limited thereto.

상기 다른 전구체의 유기 배위 화합물로서 사용 가능한 β-디케톤 화합물의 예로서, 아세틸아세톤 (acetylacetone), 헥산-2,4-디온 (hexane-2,4-dione), 5-메틸헥산-2,4-디온 (5-methylhexane-2,4-dione), 헵탄-2,4-디온 (heptane-2,4-dione), 2-메틸헵탄-3,5-디온 (2-methylheptane-3,5-dione), 5-메틸헵탄-2,4-디온 (5-methylheptane-2,4-dione), 6-메틸헵탄-2,4-디온 (6-methylheptane-2,4-dione), 2,2-디메틸헵탄-3,5-디온 (2,2-dimethylheptane-3,5-dione), 2,6-디메틸헵탄-3,5-디온 (2,6-dimethylheptane-3,5-dione), 2,2,6-트리메틸헵탄-3,5-디온 (2,2,6-trimethylheptane-3,5-dione), 2,2,6,6-테트라메틸헵탄-3,5-디온 (2,2,6,6-tetramethylheptane-3,5-dione), 옥탄-2,4-디온 (octane-2,4-dione), 2,2,6-트리메틸옥탄-3,5-디온 (2,2,6-trimethyloctane-3,5-dione), 2,6-디메틸옥탄-3,5-디온 (2,6-dimethyloctane-3,5-dione), 2,9-디메틸노난-4,6-디온 (2,9-dimethylnonane-4,6-dione), 2-메틸-6-에틸데칸-3,5-디온 (2-methyl-6-ethyldecane-3,5-dione), 2,2-디메틸-6-에틸데칸-3,5-디온 (2,2-dimethyl-6-ethyldecane-3,5-dione) 등과 같은 알킬 치환 β-디케톤류; 1,1,1-트리플루오로펜탄-2,4-디온 (1,1,1-trifluoropentane-2,4-dione), 1,1,1-트리플루오로-5,5-디메틸헥산-2,4-디온 (1,1,1-trifluoro-5,5-dimethylhexane-2,4-dione), 1,1,1,5,5,5-헥사플루오로펜탄-2,4-디온 (1,1,1,5,5,5-hexafluoropentane-2,4-dione), 1,3-디퍼플루오로헥실프로판-1,3-디온 (1,3-diperfluorohexylpropane-1,3-dione) 등과 같은 불소 치환 알킬 β-디케톤류; 및 1,1,5,5-테트라메틸-1-메톡시헥산-2,4-디온 (1,1,5,5-tetramethyl-1-methoxyhexane-2,4-dione), 2,2,6,6-테트라메틸-1-메톡시헵탄-3,5-디온 (2,2,6,6-tetramethyl-1-methoxyheptane-3,5-dione), 2,2,6,6-테트라메틸-1-(2-메톡시에톡시)헵탄-3,5-디온 (2,2,6,6-tetramethyl-1-(2-methoxyethoxy)heptane-3,5-dione) 등과 같은 에테르 치환 β-디케톤류를 들 수 있으나, 이에 한정되는 것은 아니다. Examples of β-diketone compounds that can be used as organic coordination compounds of the other precursors include acetylacetone, hexane-2,4-dione, and 5-methylhexane-2,4. -Dione (5-methylhexane-2,4-dione), heptane-2,4-dione, 2-methylheptane-3,5-dione (2-methylheptane-3,5- dione), 5-methylheptane-2,4-dione (5-methylheptane-2,4-dione), 6-methylheptane-2,4-dione (6-methylheptane-2,4-dione), 2,2 -Dimethylheptane-3,5-dione (2,2-dimethylheptane-3,5-dione), 2,6-dimethylheptane-3,5-dione (2,6-dimethylheptane-3,5-dione), 2 ,2,6-trimethylheptane-3,5-dione (2,2,6-trimethylheptane-3,5-dione), 2,2,6,6-tetramethylheptane-3,5-dione (2,2 ,6,6-tetramethylheptane-3,5-dione), octane-2,4-dione (octane-2,4-dione), 2,2,6-trimethyloctane-3,5-dione (2,2, 6-trimethyloctane-3,5-dione), 2,6-dimethyloctane-3,5-dione (2,6-dimethyloctane-3,5-dione), 2,9-dimethylnonane-4,6-dione ( 2,9-dimethylnonane-4,6-dione), 2-methyl-6-ethyldecane-3,5-dione (2-methyl-6-ethyldecane-3,5-dione), 2,2-dimethyl-6 -alkyl-substituted β-diketones such as 2,2-dimethyl-6-ethyldecane-3,5-dione; 1,1,1-trifluoropentane-2,4-dione (1,1,1-trifluoropentane-2,4-dione), 1,1,1-trifluoro-5,5-dimethylhexane-2 ,4-dione (1,1,1-trifluoro-5,5-dimethylhexane-2,4-dione), 1,1,1,5,5,5-hexafluoropentane-2,4-dione (1 ,1,1,5,5,5-hexafluoropentane-2,4-dione), 1,3-diperfluorohexylpropane-1,3-dione (1,3-diperfluorohexylpropane-1,3-dione), etc. Fluorine substituted alkyl β-diketones; and 1,1,5,5-tetramethyl-1-methoxyhexane-2,4-dione (1,1,5,5-tetramethyl-1-methoxyhexane-2,4-dione), 2,2,6 ,6-Tetramethyl-1-methoxyheptane-3,5-dione (2,2,6,6-tetramethyl-1-methoxyheptane-3,5-dione), 2,2,6,6-tetramethyl- Ether substituted β-dione such as 1-(2-methoxyethoxy)heptane-3,5-dione (2,2,6,6-tetramethyl-1-(2-methoxyethoxy)heptane-3,5-dione) Ketones may be included, but are not limited thereto.

상기 다른 전구체의 유기 배위 화합물로서 사용 가능한 시클로펜타디엔 화합물의 예로서, 시클로펜타디엔 (cyclopentadiene), 메틸시클로펜타디엔 (methylcyclopentadiene), 에틸시클로펜타디엔 (ethylcyclopentadiene), 프로필시클로펜타디엔 (propylcyclopentadiene), 이소프로필시클로펜타디엔 (isopropylcyclopentadiene), 부틸시클로펜타디엔 (butylcyclopentadiene), sec-부틸시클로펜타디엔 (sec-butylcyclopentadiene), 이소부틸시클로펜타디엔 (isobutylcyclopentadiene), tert-부틸시클로펜타디엔 (tert-butylcyclepentadiene), 디메틸시클로펜타디엔 (dimethylcyclopentadiene), 테트라메틸시클로펜타디엔 (tetramethylcyclopentadiene) 등을 들 수 있으나, 이에 한정되는 것은 아니다. Examples of cyclopentadiene compounds that can be used as organic coordination compounds of the other precursors include cyclopentadiene, methylcyclopentadiene, ethylcyclopentadiene, propylcyclopentadiene, and isocyclopentadiene. Isopropylcyclopentadiene, butylcyclopentadiene, sec-butylcyclopentadiene, isobutylcyclopentadiene, tert-butylcyclopentadiene, dimethyl Cyclopentadiene (dimethylcyclopentadiene), tetramethylcyclopentadiene, etc. may be mentioned, but it is not limited thereto.

상기 다른 전구체의 유기 배위 화합물로서 사용 가능한 유기 아민 화합물의 예로서, 메틸아민, 에틸아민, 프로필아민, 이소프로필아민, 부틸아민, sec-부틸아민, tert-부틸아민, 이소부틸아민, 디메틸아민, 디에틸아민, 디프로필아민, 디이소프로필아민, 에틸메틸아민, 프로필메틸아민, 이소프로필메틸아민 등을 들 수 있으나, 이에 한정되는 것은 아니다. Examples of organic amine compounds that can be used as organic coordination compounds of the other precursors include methylamine, ethylamine, propylamine, isopropylamine, butylamine, sec-butylamine, tert-butylamine, isobutylamine, dimethylamine, Diethylamine, dipropylamine, diisopropylamine, ethylmethylamine, propylmethylamine, isopropylmethylamine, etc. are included, but are not limited thereto.

상기 다른 전구체는 본 발명이 속하는 기술 분야에서 공지된 것일 수 있으며, 이들을 제조하기 위하여 공지된 방법을 이용할 수 있다. 예를 들면, 유기 리간드로서 알콜 화합물을 사용하는 경우에는 전술한 원소의 무기염 또는 그 수화물과, 해당 알콜 화합물의 알칼리 금속 알콕사이드를 반응시켜서 전구체를 제조할 수 있다. 여기서, 전술한 원소의 무기염 또는 그 수화물의 예로서 금속의 할로겐화물, 질산염 등을 들 수 있다. 상기 알칼리 금속 알콕사이드의 예로서 나트륨 알콕사이드, 리튬 알콕사이드, 칼륨 알콕사이드 등을 들 수 있다. The other precursors may be known in the technical field to which the present invention pertains, and known methods may be used to prepare them. For example, when using an alcohol compound as an organic ligand, a precursor can be produced by reacting an inorganic salt of the above-described element or its hydrate with an alkali metal alkoxide of the alcohol compound. Here, examples of inorganic salts of the above-mentioned elements or their hydrates include metal halides, nitrates, and the like. Examples of the alkali metal alkoxide include sodium alkoxide, lithium alkoxide, and potassium alkoxide.

상기 싱글 소스법을 이용하는 경우, 상기 다른 전구체로서 열 및/또는 산화 분해의 거동이 본 발명의 기술적 사상에 의한 유기금속 부가 화합물과 유사한 화합물을 사용할 수 있다. 상기 칵테일 소스법을 이용하는 경우, 상기 다른 전구체로서 열 및/또는 산화 분해의 거동이 본 발명의 기술적 사상에 의한 유기금속 부가 화합물과 유사하고, 또한 혼합시에 화학 반응 등에 의한 변질을 일으키지 않는 것을 사용할 수 있다. When using the single source method, a compound whose thermal and/or oxidative decomposition behavior is similar to the organometallic addition compound according to the technical idea of the present invention can be used as the other precursor. When using the cocktail sauce method, use a precursor that has thermal and/or oxidative decomposition behavior similar to that of the organometallic addition compound according to the technical idea of the present invention and does not cause deterioration due to chemical reaction, etc. when mixed. You can.

본 발명의 기술적 사상에 의한 집적회로 소자의 제조 방법에 따라 금속 함유막을 형성하는 데 있어서, 상기 금속 함유막 형성용 원료는 친핵성 시약을 포함할 수 있다. 상기 친핵성 시약은 본 발명의 기술적 사상에 따라 니오븀 원자, 탄탈륨 원자, 또는 바나듐 원자를 포함하는 유기금속 부가 화합물 및/또는 다른 전구체의 안정성을 부여할 수 있다. 상기 친핵성 시약은 글라임 (glyme), 디글라임 (diglyme), 트리글라임 (triglyme), 테트라글라임 (tetraglyme) 등의 에틸렌 글리콜 에테르류; 18-크라운-6, 디시클로헥실-18-크라운-6,24-크라운-8, 디시클로헥실-24-크라운-8, 디벤조-24-크라운-8 등의 크라운 에테르류; 에틸렌디아민, N,N'-테트라메틸에틸렌디아민, 디에틸렌트리아민, 트리에틸렌테트라민, 테트라에틸렌펜타민, 펜타에틸렌헥사민, 1,1,4,7,7-펜타메틸디에틸렌트리아민, 1,1,4,7,10,10-헥사메틸트리에틸렌테트라민, 트리에톡시트리에틸렌아민 등의 폴리아민류; 사이클람 (cyclam), 사이클렌 (cyclen) 등의 환형 폴리아민류; 피리딘, 피롤리딘, 피페리딘, 모르폴린, N-메틸피롤리딘, N-메틸피페리딘, N-메틸모르폴린, 테트라히드로푸란, 테트라히드로피란, 1,4-디옥산, 옥사졸, 티아졸, 옥사티올란 (oxathiolane) 등의 헤테로 고리 화합물류; 메틸 아세토아세테이트(methyl acetoacetate), 에틸 아세토아세테이트, 2-메톡시에틸 아세토아세테이트 등의 β-케톤 에스테르류; 또는 아세틸 아세톤, 2,4-헥산디온, 2,4-헵탄디온, 3,5-헵탄디온, 디피발로일 메탄 (dipivaloyl methane) 등의 β-디케톤류를 들 수 있다. 상기 친핵성 시약의 사용량은 전구체 총량 1 몰에 대하여 약 0.1 내지 약 10 몰, 예를 들면, 약 1 몰 내지 약 4 몰의 양으로 사용될 수 있다. When forming a metal-containing film according to the manufacturing method of an integrated circuit device according to the technical idea of the present invention, the raw material for forming the metal-containing film may include a nucleophilic reagent. The nucleophilic reagent may impart stability to an organometallic addition compound and/or other precursor containing a niobium atom, a tantalum atom, or a vanadium atom, according to the technical spirit of the present invention. The nucleophilic reagent includes ethylene glycol ethers such as glyme, diglyme, triglyme, and tetraglyme; Crown ethers such as 18-crown-6, dicyclohexyl-18-crown-6,24-crown-8, dicyclohexyl-24-crown-8, and dibenzo-24-crown-8; Ethylenediamine, N,N'-tetramethylethylenediamine, diethylenetriamine, triethylenetetramine, tetraethylenepentamine, pentaethylenehexamine, 1,1,4,7,7-pentamethyldiethylenetriamine, polyamines such as 1,1,4,7,10,10-hexamethyltriethylenetetramine and triethoxytriethyleneamine; Cyclic polyamines such as cyclam and cyclen; Pyridine, pyrrolidine, piperidine, morpholine, N-methylpyrrolidine, N-methylpiperidine, N-methylmorpholine, tetrahydrofuran, tetrahydropyran, 1,4-dioxane, oxazole , heterocyclic compounds such as thiazole and oxathiolane; β-ketone esters such as methyl acetoacetate, ethyl acetoacetate, and 2-methoxyethyl acetoacetate; Alternatively, β-diketones such as acetylacetone, 2,4-hexanedione, 2,4-heptanedione, 3,5-heptanedione, and dipivaloyl methane may be mentioned. The nucleophilic reagent may be used in an amount of about 0.1 to about 10 mol, for example, about 1 mol to about 4 mol, based on 1 mol of the total amount of precursor.

본 발명의 기술적 사상에 의한 집적회로 소자의 제조 방법에 따라 금속 함유막을 형성하는 데 사용되는 금속 함유막 형성용 원료 내에서 불순물 금속 원소, 불순물 염소 등과 같은 불순물 할로겐, 및 불순물 유기물 등의 양을 최대한 억제할 필요가 있다. 예를 들면, 상기 금속 함유막 형성용 원료 내에서 불순물 금속 원소는 각 원소별로 약 100 ppb 이하로 포함되도록 할 수 있다. 예를 들면, 상기 금속 함유막 형성용 원료는 각 원소별로 약 10 ppb 이하의 불순물 금속 원소를 포함할 수 있으며, 상기 불순물 금속 원소의 총량은 약 1 ppm 이하, 예를 들면, 약 100 ppb 이하일 수 있다. 특히, LSI (large scale integrated circuit)의 게이트 절연막, 게이트 도전막, 또는 배리어막으로서 사용되는 박막을 형성하는 경우, 얻어지는 박막의 전기적 특성에 영향을 미치는 알칼리 금속 원소 및 알칼리토류 금속 원소의 함유량은 최대한 적게 할 수 있다. 예를 들면, 상기 금속 함유막 형성용 원료 내에서 불순물 할로겐 성분은 약 100 ppm 이하, 예를 들면 약 10 ppm 이하 또는 약 1 ppm 이하일 수 있다. According to the manufacturing method of an integrated circuit device according to the technical idea of the present invention, the amount of impurity metal elements, impurity halogens such as impurity chlorine, and impurity organic substances in the raw materials for forming the metal-containing film used to form the metal-containing film is reduced to the maximum. It needs to be suppressed. For example, in the raw materials for forming the metal-containing film, impurity metal elements may be contained at about 100 ppb or less for each element. For example, the raw material for forming the metal-containing film may contain about 10 ppb or less of impurity metal elements for each element, and the total amount of the impurity metal elements may be about 1 ppm or less, for example, about 100 ppb or less. there is. In particular, when forming a thin film used as a gate insulating film, gate conductive film, or barrier film of a large scale integrated circuit (LSI), the content of alkali metal elements and alkaline earth metal elements that affect the electrical properties of the resulting thin film must be kept as much as possible. You can do less. For example, the impurity halogen component in the raw material for forming the metal-containing film may be about 100 ppm or less, for example, about 10 ppm or less, or about 1 ppm or less.

상기 금속 함유막 형성용 원료 내에 포함될 수 있는 불순물 유기 성분은 상기 불순물 유기 성분 총량으로 약 500 ppm 이하, 예를 들면 약 50 ppm 이하로 포함될 수 있으며, 특히 약 10 ppm 이하로 포함되도록 할 수 있다. Impurity organic components that may be included in the raw material for forming the metal-containing film may be included in a total amount of about 500 ppm or less, for example, about 50 ppm or less, and in particular, about 10 ppm or less.

상기 금속 함유막 형성용 원료 내에 수분이 포함되면 상기 원료 내의 파티클 발생, 또는 박막 형성 중에 파티클 발생의 원인이 될 수 있다. 따라서, 상기 전구체, 유기 용제, 및 친핵성 시약은 사용하기 전에 미리 수분을 제거할 수 있다. 상기 전구체, 유기 용제, 및 친핵성 시약 각각의 수분 함량은 약 10 ppm 이하, 예를 들면 약 1 ppm 이하일 수 있다. If moisture is contained in the raw material for forming the metal-containing film, it may cause particles to be generated within the raw material or to generate particles during the formation of the thin film. Therefore, moisture can be removed from the precursor, organic solvent, and nucleophilic reagent before use. The water content of each of the precursor, organic solvent, and nucleophilic reagent may be about 10 ppm or less, for example, about 1 ppm or less.

집적회로 소자의 제조 방법에 따라 금속 함유막을 형성하는 데 있어서, 형성하고자 하는 금속 함유막 내의 파티클 오염을 줄이기 위하여, 상기 금속 함유막 형성용 원료 내에서 파티클 함량을 최소화할 수 있다. 예를 들면, 액상에서의 광산란식 액중 입자 검출기 (light scattering type particle detector)에 의한 파티클 측정 시, 상기 금속 함유막 형성용 원료 내에서 0.3 μm보다 더 큰 입자의 수가 액상 1 mL 중에 100 개 이하이고, 0.2 μm 보다 더 큰 입자의 수가 액상 1 mL 중에 1000 개 이하, 예를 들면 100 개 이하일 수 있다. When forming a metal-containing film according to a manufacturing method of an integrated circuit device, the particle content in the raw material for forming the metal-containing film can be minimized in order to reduce particle contamination in the metal-containing film to be formed. For example, when measuring particles by a light scattering type particle detector in the liquid phase, the number of particles larger than 0.3 μm in the raw material for forming the metal-containing film is 100 or less per 1 mL of the liquid phase. , the number of particles larger than 0.2 μm may be 1000 or less, for example, 100 or less per 1 mL of liquid.

도 1의 공정 P20에서 상기 금속 함유막 형성용 원료를 사용하여 금속 함유막을 형성하기 위하여, 상기 금속 함유막 형성용 원료를 기화시켜 기판이 위치하는 퇴적 반응부에 도입하고 상기 기판 표면에 상기 금속 함유막 형성용 원료를 퇴적시켜 상기 기판 상에 전구체 박막을 형성하는 공정과, 상기 전구체 박막을 반응성 가스와 반응시켜 상기 기판 표면에 니오븀 원자, 탄탈륨 원자, 또는 바나듐 원자를 포함하는 금속 함유막을 형성하는 공정을 포함할 수 있다. In order to form a metal-containing film using the raw material for forming the metal-containing film in process P20 of FIG. 1, the raw material for forming the metal-containing film is vaporized and introduced into the deposition reaction unit where the substrate is located, and the metal-containing film is deposited on the surface of the substrate. A process of depositing raw materials for film formation to form a precursor thin film on the substrate, and reacting the precursor thin film with a reactive gas to form a metal-containing film containing niobium atoms, tantalum atoms, or vanadium atoms on the surface of the substrate. may include.

상기 금속 함유막 형성용 원료를 기화시켜 상기 퇴적 반응부에 도입하기 위하여, 전술한 기체 수송법, 액체 수송법, 싱글 소스법, 칵테일 소스법 등을 이용할 수 있다. In order to vaporize the raw material for forming the metal-containing film and introduce it into the deposition reaction unit, the above-described gas transport method, liquid transport method, single source method, cocktail sauce method, etc. can be used.

상기 반응성 가스는 상기 전구체 박막과 반응하는 가스이다. 예를 들면, 상기 반응성 가스는 산화성 가스, 환원성 가스, 또는 질화성 가스로 이루어질 수 있다. The reactive gas is a gas that reacts with the precursor thin film. For example, the reactive gas may be an oxidizing gas, a reducing gas, or a nitriding gas.

상기 산화성 가스는 O2, O3, O2 플라즈마, H2O, NO2, NO, N2O (nitrous oxide), CO, CO2, H2O2, HCOOH, CH3COOH, (CH3CO)2O, 알콜, 과산화물(peroxide), 황 산화물, 또는 이들의 조합 중에서 선택될 수 있다. The oxidizing gas is O 2 , O 3 , O 2 plasma, H 2 O, NO 2 , NO, N 2 O (nitrous oxide), CO, CO 2 , H 2 O 2 , HCOOH, CH 3 COOH, (CH 3 CO) 2 O, alcohol, peroxide, sulfur oxide, or a combination thereof.

상기 환원성 가스는 H2 일 수 있다. The reducing gas may be H 2 .

상기 질화성 가스는 NH3, N2 플라즈마, 모노알킬아민 (monoalkyl amine), 디알킬아민 (dialkylamine), 트리알킬아민 (trialkylamine), 알킬렌디아민 등의 유기 아민 화합물, 히드라진 화합물 (hydrazine compound), 또는 이들의 조합 중에서 선택될 수 있다. The nitriding gas is NH 3 , N 2 plasma, organic amine compounds such as monoalkylamine, dialkylamine, trialkylamine, alkylenediamine, hydrazine compound, Or it may be selected from a combination thereof.

도 1의 공정 P20에서 니오븀 원자, 탄탈륨 원자, 또는 바나듐 원자를 포함하는 금속 산화막을 형성하는 경우, 상기 반응성 가스로서 상기 산화성 가스를 사용할 수 있다. 도 1의 공정 P20에서 니오븀 원자, 탄탈륨 원자, 또는 바나듐 원자를 포함하는 금속 질화막을 형성하는 경우, 상기 반응성 가스로서 상기 질화성 가스를 사용할 수 있다. When forming a metal oxide film containing niobium atoms, tantalum atoms, or vanadium atoms in step P20 of FIG. 1, the oxidizing gas can be used as the reactive gas. When forming a metal nitride film containing niobium atoms, tantalum atoms, or vanadium atoms in process P20 of FIG. 1, the nitriding gas can be used as the reactive gas.

예시적인 실시예들에서, 도 1의 공정 P20에서 니오븀 원자, 탄탈륨 원자, 또는 바나듐 원자를 포함하는 금속 함유막을 형성하기 위하여, 본 발명의 기술적 사상에 의한 유기금속 부가 화합물을 포함하는 원료 가스, 또는 상기 원료 가스와 반응성 가스를 열 만으로 반응시켜 박막을 형성하는 열 CVD 공정, 열과 플라즈마를 이용하는 플라즈마 CVD 공정, 열과 빛을 이용하는 광 CVD 공정, 열과 빛과 플라즈마를 이용하는 광 플라즈마 CVD 공정, 또는 ALD 공정을 이용할 수 있다. In exemplary embodiments, in order to form a metal-containing film containing niobium atoms, tantalum atoms, or vanadium atoms in process P20 of FIG. 1, a raw material gas containing an organometallic addition compound according to the technical idea of the present invention, or A thermal CVD process in which a thin film is formed by reacting the raw material gas and the reactive gas with heat alone, a plasma CVD process using heat and plasma, an optical CVD process using heat and light, an optical plasma CVD process using heat, light and plasma, or an ALD process. Available.

도 1의 공정 P20에 따라 금속 함유막을 형성하는 데 있어서, 원하는 금속 함유막의 두께 및 종류에 따라 반응 온도(기판 온도), 반응 압력, 퇴적 속도 등을 적절하게 선택할 수 있다. 상기 반응 온도는 상기 금속 함유막 형성용 원료가 충분히 반응할 수 있는 온도인 실온 내지 약 500 ℃, 예를 들면 약 150 ℃ 내지 약 400 ℃의 범위 내에서 선택될 수 있다. When forming a metal-containing film according to process P20 of FIG. 1, the reaction temperature (substrate temperature), reaction pressure, deposition rate, etc. can be appropriately selected depending on the thickness and type of the desired metal-containing film. The reaction temperature may be selected within the range of room temperature to about 500°C, for example, about 150°C to about 400°C, which is a temperature at which the raw materials for forming the metal-containing film can sufficiently react.

도 1의 공정 P20에 따라 금속 함유막을 형성하는 데 있어서, ALD 공정을 이용하는 경우, ALD 공정의 사이클 수를 조절하여 금속 함유막의 막 두께를 조절할 수 있다. ALD 공정을 이용하여 상기 기판 상에 금속 함유막을 형성하는 경우, 본 발명의 기술적 사상에 의한 유기금속 부가 화합물을 포함하는 금속 함유막 형성용 원료를 기화시켜 형성한 증기를 퇴적 반응부에 도입하는 원료 가스 도입 공정과, 상기 증기를 이용하여 상기 기판의 표면에 전구체 박막을 형성하는 전구체 박막 형성 공정과, 상기 기판 상의 반응 공간 내에 남아 있는 미반응 원료 가스를 배기하는 배기 공정과, 상기 전구체 박막을 반응성 가스와 화학 반응시켜 상기 기판의 표면에 금속 함유막을 형성하는 공정을 포함할 수 있다.When forming a metal-containing film according to process P20 of FIG. 1, when using an ALD process, the film thickness of the metal-containing film can be adjusted by adjusting the number of cycles of the ALD process. When forming a metal-containing film on the substrate using an ALD process, the vapor formed by vaporizing the raw material for forming a metal-containing film containing an organic metal addition compound according to the technical idea of the present invention is introduced into the deposition reaction unit. A gas introduction process, a precursor thin film forming process of forming a precursor thin film on the surface of the substrate using the vapor, an exhaust process of exhausting unreacted raw material gas remaining in a reaction space on the substrate, and a reactive precursor thin film. It may include a process of forming a metal-containing film on the surface of the substrate by chemically reacting with gas.

예시적인 실시예들에서, 상기 금속 함유막 형성용 원료를 기화시키는 공정은 원료 용기 내에서 수행될 수도 있고 기화실 내에서 수행될 수도 있다. 상기 금속 함유막 형성용 원료를 기화시키는 공정은 약 0 ℃ 내지 약 200 ℃에서 수행될 수 있다. 상기 금속 함유막 형성용 원료를 기화시킬 때 원료 용기 또는 기화실 내부의 압력은 약 1 Pa 내지 약 10,000 Pa일 수 있다. In exemplary embodiments, the process of vaporizing the raw material for forming the metal-containing film may be performed in a raw material container or in a vaporization chamber. The process of vaporizing the raw material for forming the metal-containing film may be performed at about 0°C to about 200°C. When vaporizing the raw material for forming the metal-containing film, the pressure inside the raw material container or vaporization chamber may be about 1 Pa to about 10,000 Pa.

도 2는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법에 따라 금속 함유막을 형성하기 위한 예시적인 방법을 구체적으로 설명하기 위한 플로차트이다. 도 2를 참조하여, 도 1의 공정 P20에 따라 금속 함유막을 ALD 공정으로 형성하는 방법을 설명한다. FIG. 2 is a flow chart specifically explaining an exemplary method for forming a metal-containing film according to a method of manufacturing an integrated circuit device according to embodiments of the present invention. Referring to FIG. 2, a method of forming a metal-containing film using an ALD process according to process P20 of FIG. 1 will be described.

도 2를 참조하면, 공정 P21에서 일반식 (I)의 구조를 가지는 유기금속 부가 화합물을 포함하는 소스 가스를 기화시킨다. Referring to FIG. 2, in step P21, a source gas containing an organometallic addition compound having the structure of general formula (I) is vaporized.

예시적인 실시예들에서, 상기 소스 가스는 전술한 금속 함유막 형성용 원료로 이루어질 수 있다. 상기 소스 가스를 기화시키는 공정은 약 0 ℃ 내지 약 200 ℃에서 수행될 수 있다. 상기 소스 가스를 기화시킬 때 원료 용기 또는 기화실 내부의 압력은 약 1 Pa 내지 약 10,000 Pa일 수 있다. In exemplary embodiments, the source gas may be made of the above-described raw material for forming a metal-containing film. The process of vaporizing the source gas may be performed at about 0°C to about 200°C. When vaporizing the source gas, the pressure inside the raw material container or vaporization chamber may be about 1 Pa to about 10,000 Pa.

공정 P22에서, 공정 P21에 따라 기화된 소스 가스를 기판 상에 공급하여 상기 기판 상에 니오븀 원자, 탄탈륨 원자, 또는 바나듐 원자를 포함하는 금속 소스 흡착층을 형성한다. 이 때, 반응 온도는 실온 내지 약 500 ℃, 예를 들면 약 150 ℃ 내지 약 400 ℃의 범위 내에서 선택될 수 있다. 반응 압력은 약 1 Pa 내지 약 10,000 Pa, 예를 들면 약 10 Pa 내지 약 1,000 Pa 일 수 있다. In step P22, the source gas vaporized according to step P21 is supplied onto the substrate to form a metal source adsorption layer containing niobium atoms, tantalum atoms, or vanadium atoms on the substrate. At this time, the reaction temperature may be selected within the range of room temperature to about 500°C, for example, about 150°C to about 400°C. The reaction pressure may be from about 1 Pa to about 10,000 Pa, for example from about 10 Pa to about 1,000 Pa.

상기 기판 상에 기화된 소스 가스를 공급함으로써 상기 기판 상에 상기 기화된 소스 가스의 화학흡착층(chemisorbed layer) 및 물리흡착층(physisorbed layer)을 포함하는 흡착층이 형성될 수 있다. By supplying the vaporized source gas to the substrate, an adsorption layer including a chemisorbed layer and a physisorbed layer of the vaporized source gas may be formed on the substrate.

공정 P23에서, 상기 기판 상에 퍼지(purge) 가스를 공급하여 상기 기판 상의 불필요한 부산물을 제거한다. In process P23, a purge gas is supplied to the substrate to remove unnecessary by-products on the substrate.

상기 퍼지 가스로서 예를 들면 Ar, He, Ne 등의 불활성 가스 또는 N2 가스 등을 사용할 수 있다. As the purge gas, for example, an inert gas such as Ar, He, Ne, or N 2 gas can be used.

다른 예시적인 실시예들에서, 상기 퍼지 공정 대신, 상기 기판이 위치된 반응 공간을 감압하여 배기할 수 있다. 이 때, 상기 감압을 위하여 상기 반응 공간의 압력은 약 0.01 Pa 내지 약 300 Pa, 예를 들면 약 0.01 Pa 내지 약 100 Pa으로 유지될 수 있다. In other exemplary embodiments, instead of the purge process, the reaction space in which the substrate is located may be depressurized and evacuated. At this time, for the pressure reduction, the pressure of the reaction space may be maintained at about 0.01 Pa to about 300 Pa, for example, about 0.01 Pa to about 100 Pa.

예시적인 실시예들에서, 니오븀 원자, 탄탈륨 원자, 또는 바나듐 원자를 포함하는 금속 소스 흡착층이 형성된 기판을 가열하거나 상기 기판이 수용된 반응 챔버를 열처리하는 공정을 더 수행할 수 있다. 상기 열처리는 상온 내지 약 500 ℃, 예를 들면 약 50 ℃ 내지 약 400 ℃의 온도로 수행될 수 있다. In exemplary embodiments, a process of heating the substrate on which the metal source adsorption layer containing niobium atoms, tantalum atoms, or vanadium atoms is formed or heat treating the reaction chamber in which the substrate is accommodated may be further performed. The heat treatment may be performed at a temperature ranging from room temperature to about 500°C, for example, from about 50°C to about 400°C.

공정 P24에서, 상기 기판 상에 형성된 상기 금속 소스 흡착층 위에 반응성 가스를 공급하여 원자층 단위의 금속 함유막을 형성한다. In step P24, a reactive gas is supplied onto the metal source adsorption layer formed on the substrate to form a metal-containing film at the atomic layer level.

예시적인 실시예들에서, 상기 기판 상에 니오븀 원자, 탄탈륨 원자, 또는 바나듐 원자를 포함하는 금속 산화막을 형성하는 경우, 상기 반응성 가스는 O2, O3, O2 플라즈마, H2O, NO2, NO, N2O (nitrous oxide), CO, CO2, H2O2, HCOOH, CH3COOH, (CH3CO)2O, 알콜, 과산화물(peroxide), 황 산화물, 또는 이들의 조합 중에서 선택되는 산화성 가스일 수 있다. In exemplary embodiments, when forming a metal oxide film including niobium atoms, tantalum atoms, or vanadium atoms on the substrate, the reactive gas is O 2 , O 3 , O 2 plasma, H 2 O, NO 2 , NO, N 2 O (nitrous oxide), CO, CO 2 , H 2 O 2 , HCOOH, CH 3 COOH, (CH 3 CO) 2 O, alcohol, peroxide, sulfur oxide, or a combination thereof. It may be an oxidizing gas of choice.

다른 예시적인 실시예들에서, 상기 기판 상에 니오븀 원자, 탄탈륨 원자, 또는 바나듐 원자를 포함하는 금속 질화막을 형성하는 경우, 상기 반응성 가스는 NH3, N2 플라즈마, 모노알킬아민 (monoalkyl amine), 디알킬아민 (dialkylamine), 트리알킬아민 (trialkylamine), 유기 아민 화합물, 히드라진 화합물 (hydrazine compound), 또는 이들의 조합 중에서 선택될 수 있다. In other exemplary embodiments, when forming a metal nitride film including niobium atoms, tantalum atoms, or vanadium atoms on the substrate, the reactive gas is NH 3 , N 2 plasma, monoalkyl amine, It may be selected from dialkylamine, trialkylamine, organic amine compound, hydrazine compound, or a combination thereof.

또 다른 예시적인 실시예들에서, 상기 반응성 가스는 환원성 가스, 예를 들면 H2 일 수 있다. In still other exemplary embodiments, the reactive gas may be a reducing gas, such as H 2 .

공정 P24를 수행하는 동안 상기 니오븀 원자, 탄탈륨 원자, 또는 바나듐 원자를 포함하는 금속 소스 흡착층과 상기 반응성 가스가 충분히 반응할 수 있도록 반응 공간은 상온 내지 약 500 ℃의 온도, 예를 들면 약 50 ℃ 내지 약 400 ℃의 온도 또는 약 50 ℃ 내지 약 200 ℃의 온도를 유지할 수 있다. 공정 P24를 수행하는 동안 상기 반응 공간의 압력은 약 1 Pa 내지 약 10,000 Pa, 예를 들면 약 10 Pa 내지 약 1,000 Pa일 수 있다. During process P24, the reaction space is maintained at a temperature ranging from room temperature to about 500° C., for example, about 50° C., so that the metal source adsorption layer containing the niobium atoms, tantalum atoms, or vanadium atoms and the reactive gas can sufficiently react. The temperature may be maintained from about 400°C to about 400°C or from about 50°C to about 200°C. While performing process P24, the pressure of the reaction space may be about 1 Pa to about 10,000 Pa, for example, about 10 Pa to about 1,000 Pa.

공정 P24를 수행하는 동안 상기 반응성 가스를 플라즈마 처리할 수 있다. 상기 플라즈마 처리시의 고주파(RF) 출력은 약 0 W 내지 약 1,500 W, 예를 들면 약 50 W 내지 약 600 W일 수 있다. The reactive gas may be plasma treated while performing process P24. Radio frequency (RF) output during the plasma treatment may be about 0 W to about 1,500 W, for example, about 50 W to about 600 W.

공정 P25에서, 상기 기판 상에 퍼지 가스를 공급하여 상기 기판 상의 불필요한 부산물을 제거한다. In process P25, a purge gas is supplied to the substrate to remove unnecessary by-products on the substrate.

상기 퍼지 가스로서 예를 들면 Ar, He, Ne 등의 불활성 가스 또는 N2 가스 등을 사용할 수 있다. As the purge gas, for example, an inert gas such as Ar, He, Ne, or N 2 gas can be used.

공정 P26에서, 원하는 두께의 금속 함유막이 형성될 때까지 도 2의 공정 P21 내지 공정 P25를 반복한다. In step P26, steps P21 to P25 in FIG. 2 are repeated until a metal-containing film of the desired thickness is formed.

공정 P21 내지 공정 P25로 이루어지는 일련의 공정들로 이루어지는 박막 퇴적 공정을 한 사이클로 하고, 원하는 두께의 금속 함유막이 형성될 때까지 상기 사이클을 복수 회 반복할 수 있다. 예시적인 실시예들에서, 상기 한 사이클을 행한 후, 공정 P23 또는 공정 P25에서와 유사하게 퍼지 가스를 사용하는 배기 공정을 수행하여 반응 챔버로부터 미반응 가스들을 배기한 후, 후속의 사이클을 수행할 수 있다. The thin film deposition process consisting of a series of processes consisting of steps P21 to P25 can be considered as one cycle, and the cycle can be repeated multiple times until a metal-containing film of a desired thickness is formed. In exemplary embodiments, after performing the above one cycle, an exhaust process using a purge gas is performed similar to process P23 or process P25 to exhaust unreacted gases from the reaction chamber, and then a subsequent cycle is performed. You can.

예시적인 실시예들에서, 상기 금속 함유막의 퇴적 속도를 제어하기 위하여 원료 공급 조건(예를 들면, 원료의 기화 온도 또는 기화 압력), 반응 온도, 반응 압력 등을 제어할 수 있다. 상기 금속 함유막의 퇴적 속도가 너무 크면 얻어지는 금속 함유막의 특성이 열화될 수 있으며, 상기 금속 함유막의 퇴적 속도가 너무 작으면 생산성이 저하될 수 있다. 예를 들면, 상기 금속 함유막의 퇴적 속도는 약 0.01 nm/분 내지 약 100 nm/분, 예를 들면 약 1 nm/분 내지 약 50 nm/분일 수 있다. In exemplary embodiments, raw material supply conditions (eg, vaporization temperature or vaporization pressure of the raw material), reaction temperature, reaction pressure, etc. may be controlled to control the deposition rate of the metal-containing film. If the deposition rate of the metal-containing film is too high, the characteristics of the resulting metal-containing film may deteriorate, and if the deposition rate of the metal-containing film is too low, productivity may decrease. For example, the deposition rate of the metal-containing film may be about 0.01 nm/min to about 100 nm/min, for example, about 1 nm/min to about 50 nm/min.

도 2를 참조하여 설명한 금속 함유막의 형성 공정은 단지 예시에 불과한 것으로, 본 발명의 기술적 사상의 범위 내에서 다양한 변형 및 변경이 가능하다. The process of forming a metal-containing film described with reference to FIG. 2 is merely an example, and various modifications and changes are possible within the scope of the technical idea of the present invention.

예를 들면, 상기 기판 상에 금속 함유막을 형성하기 위하여 일반식 (I)의 구조를 가지는 유기금속 부가 화합물을 다른 전구체, 반응성 가스, 캐리어 가스, 및 퍼지 가스 중 적어도 하나와 함께, 또는 순차적으로 기판 상에 공급할 수 있다. 일반식 (I)의 구조를 가지는 유기금속 부가 화합물과 함께 기판 상에 공급될 수 있는 다른 전구체, 반응성 가스, 캐리어 가스, 및 퍼지 가스에 대한 보다 상세한 구성은 전술한 바와 같다. For example, in order to form a metal-containing film on the substrate, an organometallic addition compound having the structure of general formula (I) is applied to the substrate together with at least one of another precursor, a reactive gas, a carrier gas, and a purge gas, or sequentially. It can be supplied to the table. More detailed configurations of other precursors, reactive gases, carrier gases, and purge gases that can be supplied on the substrate together with the organometallic addition compound having the structure of general formula (I) are as described above.

다른 예시적인 실시예들에서, 도 2를 참조하여 설명한 금속 함유막의 형성 공정에서, 공정 P21 내지 공정 P25 각각의 사이에 기판 상에 반응성 가스가 공급될 수도 있다. In other exemplary embodiments, in the metal-containing film formation process described with reference to FIG. 2, a reactive gas may be supplied on the substrate between each of processes P21 to P25.

도 3a 내지 도 3d는 각각 본 발명의 기술적 사상에 의한 집적회로 소자의 제조 방법에서 금속 함유막을 형성하는 공정에 사용될 수 있는 예시적인 증착 장치(200A, 200B, 200C, 200D)의 구성을 개략적으로 나타낸 도면이다. 3A to 3D each schematically show the configuration of exemplary deposition devices 200A, 200B, 200C, and 200D that can be used in the process of forming a metal-containing film in the manufacturing method of an integrated circuit device according to the technical spirit of the present invention. It is a drawing.

도 3a 내지 도 3d에 예시한 증착 장치(200A, 200B, 200C, 200D)는 각각 유체 전달부(210)와, 유체 전달부(210)에 있는 원료 용기(212)로부터 공급되는 공정 가스를 사용하여 기판(W)상에 박막을 형성하기 위한 퇴적 공정이 수행되는 박막 형성부(250)와, 박막 형성부(250)에서 반응에 사용되고 남은 가스 또는 반응 부산물들을 배출시키기 위한 배기 시스템(270)을 포함한다. The deposition devices 200A, 200B, 200C, and 200D illustrated in FIGS. 3A to 3D each use a fluid delivery unit 210 and a process gas supplied from the raw material container 212 in the fluid delivery unit 210. It includes a thin film forming unit 250 in which a deposition process to form a thin film on the substrate W is performed, and an exhaust system 270 for discharging remaining gas or reaction by-products used in the reaction in the thin film forming unit 250. do.

박막 형성부(250)는 기판(W)을 지지하는 서셉터(252)가 구비된 반응 챔버(254)를 포함한다. 반응 챔버(254) 내부의 상단부에는 유체 전달부(210)로부터 공급되는 가스를 기판(W) 상에 공급하기 위한 샤워 헤드(256)가 설치되어 있다. The thin film forming unit 250 includes a reaction chamber 254 provided with a susceptor 252 supporting the substrate W. A shower head 256 is installed at the upper end of the reaction chamber 254 to supply gas supplied from the fluid delivery unit 210 onto the substrate W.

유체 전달부(210)에는 외부로부터 캐리어 가스를 원료 용기(212)로 공급하기 위한 유입 라인(222)과, 원료 용기(212) 내에 수용된 원료 화합물을 박막 형성부(250)에 공급하기 위한 유출 라인(224)을 포함한다. 유입 라인(222) 및 유출 라인(224)에는 각각 밸브(V1, V2) 및 MFC(mass flow controller)(M1, M2)가 설치될 수 있다. 유입 라인(222) 및 유출 라인(224)은 바이패스 라인(226)을 통해 상호 연결될 수 있다. 바이패스 라인(226)에는 밸브(V3)가 설치되어 있다. 밸브(V3)는 전기 모터 또는 다른 원격으로 제어 가능한 수단에 의해 공기압으로 작동될 수 있다. The fluid transmission unit 210 includes an inflow line 222 for supplying a carrier gas from the outside to the raw material container 212, and an outflow line for supplying the raw material compound contained in the raw material container 212 to the thin film forming unit 250. Includes (224). Valves (V1, V2) and mass flow controllers (MFC) (M1, M2) may be installed in the inlet line 222 and the outlet line 224, respectively. The inlet line 222 and the outlet line 224 may be interconnected through a bypass line 226. A valve (V3) is installed in the bypass line 226. Valve V3 may be pneumatically actuated by an electric motor or other remotely controllable means.

원료 용기(212)로부터 공급되는 원료 화합물은 유체 전달부(210)의 유출 라인(224)에 연결된 박막 형성부(250)의 유입 라인(266)을 통해 반응 챔버(254) 내로 공급될 수 있다. 필요에 따라, 원료 용기(212)로부터 공급되는 원료 화합물은 유입 라인(268)을 통해 공급되는 캐리어 가스와 함께 반응 챔버(254) 내로 공급될 수 있다. 캐리어 가스가 유입되는 유입 라인(268)에는 밸브(V4) 및 MFC(M3)가 설치될 수 있다. The raw material compound supplied from the raw material container 212 may be supplied into the reaction chamber 254 through the inflow line 266 of the thin film forming unit 250 connected to the outflow line 224 of the fluid delivery unit 210. If necessary, the raw material compound supplied from the raw material container 212 may be supplied into the reaction chamber 254 together with the carrier gas supplied through the inlet line 268. A valve (V4) and an MFC (M3) may be installed in the inlet line 268 through which the carrier gas flows.

박막 형성부(250)는 반응 챔버(254) 내부로 퍼지 가스를 공급하기 위한 유입 라인(262)과, 반응성 가스를 공급하기 위한 유입 라인(264)을 포함한다. 유입 라인(262, 264)에는 각각 밸브(V5, V6) 및 MFC(M4, M5)가 설치될 수 있다. The thin film forming unit 250 includes an inlet line 262 for supplying a purge gas into the reaction chamber 254 and an inlet line 264 for supplying a reactive gas. Valves (V5, V6) and MFCs (M4, M5) may be installed in the inlet lines 262 and 264, respectively.

반응 챔버(254)에서 사용된 공정 가스 및 폐기용 반응 부산물들은 배기 시스템(270)을 통해 외부로 배출될 수 있다. 배기 시스템(270)은 반응 챔버(254)에 연결된 배기 라인(272)과, 배기 라인(272)에 설치된 진공 펌프(274)를 포함할 수 있다. 진공 펌프(274)는 반응 챔버(254)로부터 배출되는 공정 가스 및 폐기용 반응 부산물들을 제거하는 역할을 할 수 있다. Process gases used in the reaction chamber 254 and reaction by-products for disposal may be discharged to the outside through the exhaust system 270. The exhaust system 270 may include an exhaust line 272 connected to the reaction chamber 254 and a vacuum pump 274 installed in the exhaust line 272. The vacuum pump 274 may serve to remove process gases discharged from the reaction chamber 254 and reaction by-products for disposal.

배기 라인(272)에서 진공 펌프(274)보다 상류측에는 트랩(276)이 설치될 수 있다. 트랩(276)은 예를 들면 반응 챔버(254) 내에서 완전히 반응하지 못한 공정 가스에 의해 발생하는 반응 부산물들을 포착하여, 하류측의 진공 펌프(274)에 유입되지 않도록 할 수 있다. A trap 276 may be installed upstream of the vacuum pump 274 in the exhaust line 272. For example, the trap 276 may capture reaction by-products generated by process gas that has not completely reacted within the reaction chamber 254 and prevent them from flowing into the vacuum pump 274 on the downstream side.

배기 라인(272)에 설치된 트랩(276)에서는 공정 가스들간의 반응에 의해 발생하는 반응 부생성물과 같은 부착물을 포착하여 트랩(276)의 하류 측으로 흐르지 않도록 하는 역할을 할 수 있다. 트랩(276)은 냉각기 또는 수냉에 의해 냉각될 수 있는 구성을 가질 수 있다. The trap 276 installed in the exhaust line 272 can capture deposits such as reaction by-products generated by reactions between process gases and prevent them from flowing downstream of the trap 276. Trap 276 may be configured to be cooled by a cooler or water cooling.

또한, 배기 라인(272)에서 트랩(276)보다 상류측에는 바이패스 라인(278) 및 자동 압력 콘트롤러(automatic pressure controller)(280)가 설치될 수 있다. 바이패스 라인(278)과, 배기 라인(272) 중 바이패스 라인(278)과 병렬로 연장되는 부분에는 각각 밸브(V7, V8)가 설치될 수 있다. Additionally, a bypass line 278 and an automatic pressure controller 280 may be installed in the exhaust line 272 upstream of the trap 276. Valves V7 and V8 may be installed in the bypass line 278 and the exhaust line 272 in portions extending in parallel with the bypass line 278, respectively.

도 3a 및 도 3c에 예시한 증착 장치(200A, 200C)에서와 같이, 원료 용기(212)에는 히터(214)가 설치될 수 있다. 히터(214)에 의해 원료 용기(212) 내에 수용된 원료 화합물의 온도를 비교적 고온으로 유지할 수 있다. As in the deposition apparatuses 200A and 200C illustrated in FIGS. 3A and 3C, a heater 214 may be installed in the raw material container 212. The temperature of the raw material compound contained in the raw material container 212 can be maintained at a relatively high temperature by the heater 214.

도 3b 및 도 3d에 예시한 증착 장치(200B, 200D)에서와 같이, 박막 형성부(250)의 유입 라인(266)에는 기화기(vaporizer)(258)가 설치될 수 있다. 기화기(258)는 유체 전달부(210)로부터 액체 상태로 공급되는 유체를 기화시키고 기화된 원료 화합물을 반응 챔버(254) 내로 공급할 수 있도록 한다. 기화기(258)에서 기화된 원료 화합물은 유입 라인(268)을 통해 공급되는 캐리어 가스와 함께 반응 챔버(254) 내로 공급될 수 있다. 기화기(258)를 통해 반응 챔버(254)로 공급되는 원료 화합물의 유입은 밸브(V9)에 의해 제어될 수 있다. As in the deposition devices 200B and 200D illustrated in FIGS. 3B and 3D, a vaporizer 258 may be installed in the inlet line 266 of the thin film forming unit 250. The vaporizer 258 vaporizes the fluid supplied in a liquid state from the fluid delivery unit 210 and supplies the vaporized raw compound into the reaction chamber 254. The raw compound vaporized in the vaporizer 258 may be supplied into the reaction chamber 254 together with the carrier gas supplied through the inlet line 268. The inflow of the raw material compound supplied to the reaction chamber 254 through the vaporizer 258 may be controlled by the valve V9.

또한, 도 3c 및 도 3d에 예시한 증착 장치(200C, 200D)에서와 같이, 박막 형성부(250)에서 반응 챔버(254) 내에 플라즈마를 발생시키기 위하여 반응 챔버(254)에 연결된 고주파 전원(292) 및 RF 매칭 시스템(294)을 포함할 수 있다. In addition, as in the deposition devices 200C and 200D illustrated in FIGS. 3C and 3D, a high-frequency power source 292 connected to the reaction chamber 254 is used to generate plasma within the reaction chamber 254 in the thin film forming unit 250. ) and an RF matching system 294.

도 3a 내지 도 3d에 예시한 증착 장치(200A, 200B, 200C, 200D)에서는 반응 챔버(254)에 1 개의 원료 용기(212)가 연결된 구성을 예시하였으나, 이에 한정되는 것은 아니다. 필요에 따라 유체 전달부(210)에 복수의 원료 용기(212)를 구비할 수 있으며, 복수의 원료 용기(212)가 각각 반응 챔버(254)에 연결될 수 있다. 반응 챔버(254)에 연결되는 원료 용기(212)의 수는 특별히 제한되지 않는다. In the deposition apparatuses 200A, 200B, 200C, and 200D illustrated in FIGS. 3A to 3D, a configuration in which one raw material container 212 is connected to the reaction chamber 254 is illustrated, but the configuration is not limited thereto. If necessary, the fluid transmission unit 210 may be provided with a plurality of raw material containers 212, and each of the plurality of raw material containers 212 may be connected to the reaction chamber 254. The number of raw material containers 212 connected to the reaction chamber 254 is not particularly limited.

일반식 (I)의 유기금속 부가 화합물을 포함하는 금속 함유막 형성용 원료를 기화하기 위하여, 도 3b 및 도 3d에 예시한 증착 장치(200B, 200D) 중 어느 하나의 증착 장치에서 기화기(258)를 이용할 수 있으나, 본 발명의 기술적 사상은 이에 한정되는 것은 아니다. In order to vaporize the raw material for forming a metal-containing film containing an organometallic addition compound of general formula (I), a vaporizer 258 is used in any one of the deposition devices 200B and 200D shown in FIGS. 3B and 3D. may be used, but the technical idea of the present invention is not limited thereto.

도 1 및 도 2를 참조하여 설명한 집적회로 소자의 제조 방법에 따라 상기 기판상에 금속 함유막을 형성하기 위하여 도 3a 내지 도 3d에 예시한 증착 장치(200A, 200B, 200C, 200D)중 어느 하나를 이용할 수 있다. 이를 위하여, 일반식 (I)의 구조를 가지는 본 발명의 기술적 사상에 의한 유기금속 부가 화합물이 다양한 방법을 통해 운송되어 박막 형성 장치의 반응 공간, 예를 들면 도 3a 내지 도 3d에 예시한 증착 장치(200A, 200B, 200C, 200D)의 반응 챔버(254) 내부로 공급될 수 있다. In order to form a metal-containing film on the substrate according to the manufacturing method of the integrated circuit device described with reference to FIGS. 1 and 2, any one of the deposition devices 200A, 200B, 200C, and 200D shown in FIGS. 3A to 3D is used. Available. For this purpose, an organometallic addition compound according to the technical idea of the present invention having the structure of general formula (I) is transported through various methods to the reaction space of the thin film forming device, for example, the deposition device illustrated in FIGS. 3A to 3D. It can be supplied into the reaction chamber 254 (200A, 200B, 200C, 200D).

예시적인 실시예들에서, 도 1 및 도 2를 참조하여 설명한 방법에 따라 금속 함유막을 형성하기 위하여 도 3a 내지 도 3d에 예시한 증착 장치(200A, 200B, 200C, 200D)와 같은 매엽식 설비가 아닌 뱃치식 설비를 이용하여 다수의 기판 상에 동시에 금속 함유막을 형성할 수도 있다. In exemplary embodiments, a single wafer facility, such as the deposition apparatuses 200A, 200B, 200C, and 200D illustrated in FIGS. 3A-3D, is used to form a metal-containing film according to the method described with reference to FIGS. 1 and 2. It is also possible to form a metal-containing film on multiple substrates simultaneously using batch-type equipment.

본 발명의 기술적 사상에 의한 집적회로 소자의 제조 방법에 따라 금속 함유막을 형성하는 데 있어서, 상기 금속 함유막의 형성 조건으로서, 반응 온도 (기판 온도), 반응 압력, 퇴적 속도 등을 들 수 있다. When forming a metal-containing film according to the manufacturing method of an integrated circuit element according to the technical idea of the present invention, conditions for forming the metal-containing film include reaction temperature (substrate temperature), reaction pressure, deposition rate, etc.

상기 반응 온도는 본 발명의 기술적 사상에 의한 유기금속 부가 화합물, 예를 들면 일반식 (I)의 구조를 가지는 유기금속 부가 화합물이 충분히 반응할 수 있는 온도, 즉 일 예에서 약 150 ℃, 또는 그 이상의 온도, 다른 예에서 약 150 ℃ 내지 약 400 ℃의 온도, 또 다른 예에서는 약 200 ℃ 내지 약 350 ℃의 온도 범위 내에서 선택될 수 있으나, 상기 예시된 온도에 한정되는 것은 아니다. The reaction temperature is a temperature at which an organometallic addition compound according to the technical idea of the present invention, for example, an organometallic addition compound having the structure of general formula (I), can sufficiently react, that is, in one example, about 150° C., or The temperature may be selected from the above temperature range, in another example, a temperature of about 150°C to about 400°C, and in another example, a temperature range of about 200°C to about 350°C, but the temperature is not limited to the above examples.

상기 반응 압력은 열 CVD 공정 또는 광 CVD 공정의 경우 약 10 Pa 내지 대기압의 범위, 플라즈마를 사용하는 경우 약 10 Pa ∼ 2000 Pa의 범위 내에서 선택될 수 있으나, 이에 한정되는 것은 아니다. The reaction pressure may be selected in the range of about 10 Pa to atmospheric pressure in the case of a thermal CVD process or optical CVD process, and in the range of about 10 Pa to 2000 Pa in the case of using plasma, but is not limited thereto.

또한, 퇴적 속도는 원료 화합물의 공급 조건 (예들 들면, 기화 온도 및 기화 압력), 반응 온도, 반응 압력을 조절하여 제어할 수 있다. 본 발명의 기술적 사상에 의한 박막 형성 방법에 있어서, 금속 함유막의 퇴적 속도는 약 0.01 nm/min 내지 약 100 nm/min, 예를 들면 약 1 nm/min 내지 약 50 nm/min의 범위 내에서 선택될 수 있으나, 상기 예시된 바에 한정되는 것은 아니다. ALD 공정을 이용하여 금속 함유막을 형성하는 경우, 원하는 두께의 금속 함유막을 제어하기 위하여 ALD 사이클 횟수를 조절할 수 있다. Additionally, the deposition rate can be controlled by adjusting the supply conditions (eg, vaporization temperature and vaporization pressure), reaction temperature, and reaction pressure of the raw material compound. In the thin film forming method according to the technical idea of the present invention, the deposition rate of the metal-containing film is selected within the range of about 0.01 nm/min to about 100 nm/min, for example, about 1 nm/min to about 50 nm/min. However, it is not limited to the above examples. When forming a metal-containing film using an ALD process, the number of ALD cycles can be adjusted to control the metal-containing film of a desired thickness.

본 발명의 기술적 사상에 의하면, ALD 공정을 이용하여 금속 함유막을 형성할 때, 플라즈마, 광, 전압 등의 에너지를 인가할 수 있다. 상기 에너지를 인가하는 시점은 다양하게 선택될 수 있다. 예를 들면, 유기금속 부가 화합물을 포함하는 소스 가스를 반응 챔버 내부로 도입할 때, 상기 소스 가스를 상기 기판 상에 흡착시킬 때, 상기 퍼지 가스에 의한 배기 공정시, 상기 반응성 가스를 반응 챔버 내부로 도입할 때, 또는 이들 각각의 시점 사이에 플라즈마, 광, 전압 등의 에너지를 인가할 수 있다. According to the technical idea of the present invention, when forming a metal-containing film using an ALD process, energy such as plasma, light, or voltage can be applied. The timing of applying the energy can be selected in various ways. For example, when introducing a source gas containing an organometallic addition compound into the reaction chamber, adsorbing the source gas on the substrate, or during an exhaust process using the purge gas, the reactive gas is released into the reaction chamber. Energy such as plasma, light, or voltage can be applied when introduced, or between each of these points.

본 발명의 기술적 사상에 의하면, 일반식 (I)의 구조를 가지는 유기금속 부가 화합물을 사용하여 금속 함유막을 형성한 후, 불활성 분위기, 산화성 분위기, 또는 환원성 분위기 하에서 어닐링하는 공정을 더 포함할 수 있다. 또는, 상기 금속 함유막의 표면에 형성된 단차를 매립하기 위하여, 필요에 따라 상기 금속 함유막에 대하여 리플로우(reflow) 공정을 수행할 수도 있다. 상기 어닐링 공정 및 리플로우 공정은 각각 약 200 ℃ 내지 약 1,000 ℃, 예를 들면 약 250 ℃ 내지 약 500 ℃의 범위 내에서 선택되는 온도 조건 하에서 수행될 수 있으나, 상기 예시된 온도에 한정되는 것은 아니다. According to the technical idea of the present invention, the process of forming a metal-containing film using an organometallic addition compound having the structure of general formula (I) and then annealing in an inert atmosphere, oxidizing atmosphere, or reducing atmosphere may be further included. . Alternatively, in order to fill in the steps formed on the surface of the metal-containing film, a reflow process may be performed on the metal-containing film, if necessary. The annealing process and the reflow process may each be performed under temperature conditions selected within the range of about 200°C to about 1,000°C, for example, about 250°C to about 500°C, but are not limited to the temperatures exemplified above. .

본 발명의 기술적 사상에 의하면, 본 발명의 기술적 사상에 의한 유기금속 부가 화합물, 상기 유기금속 부가 화합물과 함께 사용되는 다른 전구체, 반응성 가스, 및 박막 형성 공정 조건을 적당히 선택함에 따라 다양한 종류의 금속 함유막을 형성할 수 있다. 예시적인 실시예들에서, 본 발명의 기술적 사상에 의해 형성된 금속 함유막은 니오븀 원자, 탄탈륨 원자, 또는 바나듐 원자를 포함할 수 있다. 예를 들면, 상기 금속 함유막은 니오븀 막, 니오븀 산화막, 니오븀 질화막, 니오븀 합금막, 니오븀 함유 복합 산화막, 탄탈륨 막, 탄탈륨 산화막, 탄탈륨 질화막, 탄탈륨 합금막, 탄탈륨 함유 복합 산화막 등을 들 수 있다. 상기 니오븀 합금막은 Nb-Hf 합금, Nb-Ti 합금 등으로 이루어질 수 있으나, 상기 예시한 바에 한정되지 않는다. 상기 탄탈륨 합금막은 Ta-Ti 합금, Ta-W 합금 등으로 이루어질 수 있으나, 상기 예시한 바에 한정되지 않는다. 본 발명의 기술적 사상에 의해 형성된 금속 함유막은 집적회로 소자를 구성하는 다양한 구성 요소들의 재료로 사용될 수 있다. 예를 들면, DRAM(dynamic random-access memory) 소자의 전극 재료, 트랜지스터의 게이트, 저항, 하드디바이스 기록 층에 쓰이는 반자성막, 고체 고분자형 연료 전지용 촉매 재료, 금속 배선에 사용되는 도전성 배리어막, 커패시터의 유전막, 액정용 배리어 금속막, 박막 태양전지용 부재, 반도체 설비용 부재, 나노 구조체 등에 사용될 수 있으나, 상기 금속 함유막의 용도가 상기 예시된 소자들에 한정되는 것은 아니다. According to the technical idea of the present invention, various types of metals are contained by appropriately selecting the organometallic addition compound according to the technical idea of the present invention, other precursors used with the organometallic addition compound, reactive gas, and thin film formation process conditions. A film can be formed. In exemplary embodiments, the metal-containing film formed according to the spirit of the present invention may include niobium atoms, tantalum atoms, or vanadium atoms. For example, the metal-containing film may include a niobium film, a niobium oxide film, a niobium nitride film, a niobium alloy film, a niobium-containing composite oxide film, a tantalum film, a tantalum oxide film, a tantalum nitride film, a tantalum alloy film, and a tantalum-containing composite oxide film. The niobium alloy film may be made of Nb-Hf alloy, Nb-Ti alloy, etc., but is not limited to the above examples. The tantalum alloy film may be made of Ta-Ti alloy, Ta-W alloy, etc., but is not limited to the above examples. The metal-containing film formed according to the technical idea of the present invention can be used as a material for various components constituting an integrated circuit device. For example, electrode materials for dynamic random-access memory (DRAM) devices, gates and resistors for transistors, diamagnetic films used in hard device recording layers, catalyst materials for solid polymer fuel cells, conductive barrier films used in metal wiring, and capacitors. It can be used in dielectric films, barrier metal films for liquid crystals, thin film solar cell members, semiconductor equipment members, nanostructures, etc., but the use of the metal-containing film is not limited to the above-exemplified devices.

도 4a 내지 도 4j는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자(300)(도 4j 참조)의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. FIGS. 4A to 4J are cross-sectional views shown according to the process sequence to explain the manufacturing method of the integrated circuit device 300 (see FIG. 4J) according to embodiments of the technical idea of the present invention.

도 4a를 참조하면, 복수의 활성 영역(AC)을 포함하는 기판(310) 상에 층간절연막(320)을 형성한 후, 층간절연막(320)을 관통하여 복수의 활성 영역(AC)에 연결되는 복수의 도전 영역(324)을 형성한다. Referring to FIG. 4A, after forming an interlayer insulating film 320 on a substrate 310 including a plurality of active regions (AC), an interlayer insulating film 320 is connected to the plurality of active regions (AC) through the interlayer insulating film 320. A plurality of conductive regions 324 are formed.

기판(310)은 Si 또는 Ge와 같은 반도체, 또는 SiGe, SiC, GaAs, InAs, 또는 InP와 같은 화합물 반도체를 포함할 수 있다. 기판(310)은 도전 영역, 예를 들면 불순물이 도핑된 웰, 또는 불순물이 도핑된 구조물을 포함할 수 있다. 복수의 활성 영역(AC)은 기판(310)에 형성된 복수의 소자분리 영역(312)에 의해 정의될 수 있다. 소자분리 영역(312)은 실리콘 산화막, 실리콘 질화막, 실리콘 산화질화막, 또는 이들의 조합으로 이루어질 수 있다. 층간절연막(320)은 실리콘 산화막을 포함할 수 있다. 복수의 도전 영역(324)은 기판(310) 상에 형성된 전계효과 트랜지스터와 같은 스위칭 소자(도시 생략)의 일 단자에 연결될 수 있다. 복수의 도전 영역(324)은 폴리실리콘, 금속, 도전성 금속 질화물, 금속 실리사이드, 또는 이들의 조합으로 이루어질 수 있다. The substrate 310 may include a semiconductor such as Si or Ge, or a compound semiconductor such as SiGe, SiC, GaAs, InAs, or InP. The substrate 310 may include a conductive region, for example, a well doped with an impurity, or a structure doped with an impurity. A plurality of active areas AC may be defined by a plurality of device isolation regions 312 formed on the substrate 310. The device isolation region 312 may be made of a silicon oxide film, a silicon nitride film, a silicon oxynitride film, or a combination thereof. The interlayer insulating film 320 may include a silicon oxide film. The plurality of conductive regions 324 may be connected to one terminal of a switching device (not shown), such as a field effect transistor, formed on the substrate 310. The plurality of conductive regions 324 may be made of polysilicon, metal, conductive metal nitride, metal silicide, or a combination thereof.

도 4b를 참조하면, 층간절연막(320) 및 복수의 도전 영역(324)을 덮는 절연층(328)을 형성한다. 절연층(328)은 식각 정지층으로 사용될 수 있다. 절연층(328)은 층간절연막(320) 및 후속 공정에서 형성되는 몰드막(330)(도 4c 참조)에 대하여 식각 선택비를 가지는 절연 물질로 이루어질 수 있다. 절연층(328)은 실리콘 질화물, 실리콘 산화질화물, 또는 이들의 조합으로 이루어질 수 있다. Referring to FIG. 4B, an insulating layer 328 is formed covering the interlayer insulating film 320 and the plurality of conductive regions 324. The insulating layer 328 may be used as an etch stop layer. The insulating layer 328 may be made of an insulating material having an etch selectivity with respect to the interlayer insulating film 320 and the mold film 330 (see FIG. 4C) formed in a subsequent process. The insulating layer 328 may be made of silicon nitride, silicon oxynitride, or a combination thereof.

도 4c를 참조하면, 절연층(328) 위에 몰드막(330)을 형성한다. Referring to FIG. 4C, a mold film 330 is formed on the insulating layer 328.

몰드막(330)은 산화막으로 이루어질 수 있다. 예를 들면, 몰드막(330)은 BPSG(boro phospho silicate glass), PSG(phospho silicate glass), USG(undoped silicate glass) 등과 같은 산화막을 포함할 수 있다. 몰드막(130)을 형성하기 위하여, 열 CVD 공정 또는 플라즈마 CVD 공정을 이용할 수 있다. 몰드막(330)은 약 1000 Å 내지 약 20000 Å의 두께로 형성될 수 있으나, 이에 한정되는 것은 아니다. 예시적인 실시예들에서, 몰드막(330)은 지지막(도시 생략)을 포함할 수 있다. 상기 지지막은 몰드막(330)에 대하여 식각 선택비를 가지는 물질로 형성될 수 있다. 상기 지지막은 후속 공정에서 몰드막(330)을 제거할 때 사용되는 식각 분위기, 예를 들면 불화암모늄(NH4F), 불산(HF) 및 물을 포함하는 식각액에 대한 식각율이 비교적 낮은 물질로 이루어질 수 있다. 예시적인 실시예들에서, 상기 지지막은 실리콘 질화물, 실리콘 탄화질화물, 탄탈륨 산화물, 티타늄 산화물, 또는 이들의 조합으로 이루어질 수 있다. The mold film 330 may be made of an oxide film. For example, the mold film 330 may include an oxide film such as boro phospho silicate glass (BPSG), phospho silicate glass (PSG), or undoped silicate glass (USG). To form the mold film 130, a thermal CVD process or a plasma CVD process may be used. The mold film 330 may be formed to have a thickness of about 1000 Å to about 20000 Å, but is not limited thereto. In example embodiments, the mold film 330 may include a support film (not shown). The support film may be formed of a material having an etch selectivity with respect to the mold film 330. The support film is a material that has a relatively low etching rate for the etching atmosphere used when removing the mold film 330 in the subsequent process, for example, an etchant containing ammonium fluoride (NH 4 F), hydrofluoric acid (HF), and water. It can be done. In example embodiments, the support film may be made of silicon nitride, silicon carbonitride, tantalum oxide, titanium oxide, or a combination thereof.

도 4d를 참조하면, 몰드막(330) 위에 희생막(342) 및 마스크 패턴(344)을 차례로 형성한다. Referring to FIG. 4D, a sacrificial layer 342 and a mask pattern 344 are sequentially formed on the mold layer 330.

희생막(342)은 산화막으로 이루어질 수 있다. 마스크 패턴(344)은 산화막, 질화막, 폴리실리콘막, 포토레지스트막, 또는 이들의 조합으로 이루어질 수 있다. 마스크 패턴(344)에 의해 커패시터의 하부 전극이 형성될 영역이 정의될 수 있다. The sacrificial film 342 may be made of an oxide film. The mask pattern 344 may be made of an oxide film, a nitride film, a polysilicon film, a photoresist film, or a combination thereof. An area where the lower electrode of the capacitor will be formed may be defined by the mask pattern 344.

도 4e를 참조하면, 마스크 패턴(344)을 식각 마스크로 이용하고 절연층(328)을 식각 정지층으로 이용하여 희생막(342) 및 몰드막(330)을 건식 식각하여, 복수의 홀(H1)을 한정하는 희생 패턴(342P) 및 몰드 패턴(330P)을 형성한다. 이 때, 과도 식각에 의해 상기 절연층(328)도 식각되어 복수의 도전 영역(324)을 노출시키는 절연 패턴(328P)이 형성될 수 있다. Referring to FIG. 4E, the sacrificial layer 342 and the mold layer 330 are dry etched using the mask pattern 344 as an etch mask and the insulating layer 328 as an etch stop layer to form a plurality of holes (H1). ) to form a sacrificial pattern (342P) and a mold pattern (330P) that define the At this time, the insulating layer 328 may also be etched by excessive etching to form an insulating pattern 328P exposing a plurality of conductive regions 324.

도 4f를 참조하면, 도 4e의 결과물로부터 마스크 패턴(344)을 제거한 후, 복수의 홀(H1)을 채우면서 희생 패턴(342P)의 노출 표면을 덮는 하부 전극 형성용 도전막(350)을 형성한다. Referring to FIG. 4F, after removing the mask pattern 344 from the result of FIG. 4E, a conductive film 350 for forming a lower electrode is formed to fill the plurality of holes H1 and cover the exposed surface of the sacrificial pattern 342P. do.

하부 전극 형성용 도전막(350)은 도핑된 반도체, 도전성 금속 질화물, 금속, 금속 실리사이드, 도전성 산화물, 또는 이들의 조합으로 이루어질 수 있다. 예시적인 실시예들에서, 하부 전극 형성용 도전막(350)은 도핑된 반도체, 도전성 금속 질화물, 금속, 금속 실리사이드, 도전성 산화물, 또는 이들의 조합으로 이루어질 수 있다. 예를 들면, 하부 전극 형성용 도전막(350)은 NbN, TiN, TiAlN, TaN, TaAlN, W, WN, Ru, RuO2, SrRuO3, Ir, IrO2, Pt, PtO, SRO(SrRuO3), BSRO((Ba,Sr)RuO3), CRO(CaRuO3), LSCo((La,Sr)CoO3), 또는 이들의 조합으로 이루어질 수 있으나, 하부 전극 형성용 도전막(350)의 구성 물질이 상기 예시한 바에 한정되는 것은 아니다. 하부 전극 형성용 도전막(350)를 형성하기 위하여, CVD, MOCVD (metal organic CVD), 또는 ALD 공정을 이용할 수 있다. The conductive film 350 for forming the lower electrode may be made of a doped semiconductor, conductive metal nitride, metal, metal silicide, conductive oxide, or a combination thereof. In example embodiments, the conductive film 350 for forming the lower electrode may be made of a doped semiconductor, a conductive metal nitride, a metal, a metal silicide, a conductive oxide, or a combination thereof. For example, the conductive film 350 for forming the lower electrode is NbN, TiN, TiAlN, TaN, TaAlN, W, WN, Ru, RuO 2 , SrRuO 3 , Ir, IrO 2 , Pt, PtO, SRO (SrRuO 3 ) , BSRO((Ba,Sr)RuO 3 ), CRO(CaRuO 3 ), LSCo((La,Sr)CoO 3 ), or a combination thereof, but the constituent material of the conductive film 350 for forming the lower electrode This is not limited to the above examples. To form the conductive film 350 for forming the lower electrode, CVD, MOCVD (metal organic CVD), or ALD processes may be used.

예시적인 실시예들에서, 하부 전극 형성용 도전막(350)을 형성하기 위하여, 도 1의 공정 P20 또는 도 2를 참조하여 설명한 방법에 의해 금속 함유막을 형성할 수 있다. 예를 들면, 하부 전극 형성용 도전막(350)은 TiN 막과 NbN 막을 포함하는 다중층 구조를 가질 수 있다. 상기 NbN 막은 도 1의 공정 P20 또는 도 2를 참조하여 설명한 방법에 의해 형성된 막일 수 있다. 하부 전극 형성용 도전막(350)을 형성하기 위하여 도 3a 내지 도 3d에 예시한 증착 장치(200A, 200B, 200C, 200D) 중 어느 하나의 증착 장치를 이용할 수 있다. 도 4g를 참조하면, 하부 전극 형성용 도전막(350)의 상부를 부분적으로 제거하여 하부 전극 형성용 도전막(350)으로부터 복수의 하부 전극(LE)을 형성한다. In exemplary embodiments, in order to form the conductive film 350 for forming the lower electrode, a metal-containing film may be formed using process P20 of FIG. 1 or the method described with reference to FIG. 2 . For example, the conductive film 350 for forming the lower electrode may have a multi-layer structure including a TiN film and an NbN film. The NbN film may be a film formed by process P20 of FIG. 1 or the method described with reference to FIG. 2. To form the conductive film 350 for forming the lower electrode, any one of the deposition devices 200A, 200B, 200C, and 200D illustrated in FIGS. 3A to 3D can be used. Referring to FIG. 4G, the upper part of the conductive film 350 for forming the lower electrode is partially removed to form a plurality of lower electrodes LE from the conductive film 350 for forming the lower electrode.

복수의 하부 전극(LE)을 형성하기 위하여, 몰드 패턴(330P)의 상면이 노출될 까지 에치백(etchback) 또는 CMP(chemical mechanical polishing) 공정을 이용하여 하부 전극 형성용 도전막(350)의 상부측 일부와 희생 패턴(342P)(도 4f 참조)을 제거할 수 있다. In order to form a plurality of lower electrodes (LE), the upper portion of the conductive film 350 for lower electrode formation is removed using an etchback or CMP (chemical mechanical polishing) process until the upper surface of the mold pattern 330P is exposed. Part of the side and the sacrificial pattern 342P (see FIG. 4F) can be removed.

도 4h를 참조하면, 도 4g의 결과물에서 몰드 패턴(330P)을 제거하여, 복수의 하부 전극(LE)의 외부 표면들을 노출시킨다. 몰드 패턴(330P)은 불화암모늄(NH4F), 불산(HF), 및 물을 포함하는 식각액을 이용하는 리프트-오프 공정에 의해 제거될 수 있다. Referring to FIG. 4H, the mold pattern 330P is removed from the result of FIG. 4G to expose the outer surfaces of the plurality of lower electrodes LE. The mold pattern 330P may be removed by a lift-off process using an etchant containing ammonium fluoride (NH 4 F), hydrofluoric acid (HF), and water.

도 4i를 참조하면, 복수의 하부 전극(LE) 위에 유전막(360)을 형성한다. Referring to FIG. 4I, a dielectric layer 360 is formed on the plurality of lower electrodes LE.

유전막(360)은 복수의 하부 전극(LE)의 노출 표면들을 컨포멀하게 덮도록 형성될 수 있다. The dielectric layer 360 may be formed to conformally cover the exposed surfaces of the plurality of lower electrodes LE.

예시적인 실시예들에서, 유전막(360)은 하프늄 산화물(hafnium oxide), 하프늄 산질화물(hafnium oxynitride), 하프늄 실리콘 산화물(hafnium silicon oxide), 지르코늄 산화물(zirconium oxide), 지르코늄 실리콘 산화물(zirconium silicon oxide), 탄탈륨 산화물(tantalum oxide), 티타늄 산화물(titanium oxide), 바륨 스트론튬 티타늄 산화물(barium strontium titanium oxide), 바륨 티타늄 산화물(barium titanium oxide), 스트론튬 티타늄 산화물(strontium titanium oxide), 이트륨 산화물(yttrium oxide), 알루미늄 산화물(aluminum oxide), 납 스칸듐 탄탈륨 산화물(lead scandium tantalum oxide), 납 아연 니오브산염 (lead zinc niobate), 또는 이들의 조합으로 이루어질 수 있으나, 상기 예시된 바에 한정되는 것은 아니다. 유전막(360)은 ALD 공정에 의해 형성될 수 있다. 예시적인 실시예들에서, 유전막(360)의 적어도 일부를 형성하기 위하여, 도 1의 공정 P20 또는 도 2를 참조하여 설명한 방법에 의해 금속 함유막을 형성할 수 있다. 예를 들면, 유전막(360)은 탄탈륨 산화막을 포함할 수 있으며, 상기 탄탈륨 산화막은 도 1의 공정 P20 또는 도 2를 참조하여 설명한 방법에 의해 형성된 막일 수 있다. 유전막(360)을 형성하기 위하여 도 3a 내지 도 3d에 예시한 증착 장치(200A, 200B, 200C, 200D) 중 어느 하나의 증착 장치를 이용할 수 있다. 유전막(360)은 약 50 ∼ 150 Å의 두께를 가질 수 있으나, 이에 한정되는 것은 아니다. In example embodiments, the dielectric layer 360 is formed of hafnium oxide, hafnium oxynitride, hafnium silicon oxide, zirconium oxide, or zirconium silicon oxide. ), tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide ), aluminum oxide, lead scandium tantalum oxide, lead zinc niobate, or a combination thereof, but is not limited to the above examples. The dielectric layer 360 may be formed by an ALD process. In example embodiments, to form at least a portion of the dielectric layer 360, a metal-containing layer may be formed using process P20 of FIG. 1 or the method described with reference to FIG. 2 . For example, the dielectric layer 360 may include a tantalum oxide layer, and the tantalum oxide layer may be a layer formed through process P20 of FIG. 1 or the method described with reference to FIG. 2 . To form the dielectric layer 360, any one of the deposition devices 200A, 200B, 200C, and 200D illustrated in FIGS. 3A to 3D can be used. The dielectric layer 360 may have a thickness of about 50 to 150 Å, but is not limited thereto.

예시적인 실시예들에서, 도 4i를 참조하여 설명한 바와 같이 복수의 하부 전극(LE) 위에 유전막(360)을 형성하기 전에, 복수의 하부 전극(LE) 각각의 표면을 덮는 하부 인터페이스막(도시 생략)을 형성하는 공정을 더 포함할 수 있다. 이 경우, 유전막(360)은 상기 하부 인터페이스막 위에 형성될 수 있다. 상기 하부 인터페이스막은 니오븀, 탄탈륨, 또는 바나듐을 포함하는 금속 함유막으로 이루어질 수 있다. 상기 하부 인터페이스막을 구성하는 금속 함유막을 형성하기 위하여, 도 1의 공정 P20 또는 도 2를 참조하여 설명한 방법을 이용할 수 있다. 상기 하부 인터페이스막을 형성하기 위하여 도 3a 내지 도 3d에 예시한 증착 장치(200A, 200B, 200C, 200D) 중 어느 하나의 증착 장치를 이용할 수 있다. In example embodiments, before forming the dielectric layer 360 on the plurality of lower electrodes LE as described with reference to FIG. 4I, a lower interface film (not shown) covering the surface of each of the plurality of lower electrodes LE ) may further include a process of forming. In this case, the dielectric layer 360 may be formed on the lower interface layer. The lower interface layer may be made of a metal-containing layer including niobium, tantalum, or vanadium. To form the metal-containing film constituting the lower interface film, process P20 of FIG. 1 or the method described with reference to FIG. 2 can be used. To form the lower interface film, any one of the deposition devices 200A, 200B, 200C, and 200D shown in FIGS. 3A to 3D can be used.

도 4j를 참조하면, 유전막(360) 상에 상부 전극(UE)을 형성한다. 하부 전극(LE), 유전막(360), 및 상부 전극(UE)은 커패시터(370)를 구성할 수 있다. Referring to FIG. 4J, an upper electrode UE is formed on the dielectric layer 360. The lower electrode LE, the dielectric layer 360, and the upper electrode UE may form a capacitor 370.

상부 전극(UE)은 도핑된 반도체, 도전성 금속 질화물, 금속, 금속 실리사이드, 도전성 산화물, 또는 이들의 조합으로 이루어질 수 있다. 예를 들면, 상부 전극(UE)은 NbN, TiN, TiAlN, TaN, TaAlN, W, WN, Ru, RuO2, SrRuO3, Ir, IrO2, Pt, PtO, SRO(SrRuO3), BSRO((Ba,Sr)RuO3), CRO(CaRuO3), LSCo((La,Sr)CoO3), 또는 이들의 조합으로 이루어질 수 있으나, 이들에 한정되는 것은 아니다. 상부 전극(UE)을 형성하기 위하여, CVD, MOCVD, PVD, 또는 ALD 공정을 이용할 수 있다. The upper electrode UE may be made of a doped semiconductor, conductive metal nitride, metal, metal silicide, conductive oxide, or a combination thereof. For example, the upper electrode (UE) is made of NbN, TiN, TiAlN, TaN, TaAlN, W, WN, Ru, RuO 2 , SrRuO 3 , Ir, IrO 2 , Pt, PtO, SRO(SrRuO 3 ), BSRO(( It may be made of Ba,Sr)RuO 3 ), CRO(CaRuO 3 ), LSCo((La,Sr)CoO 3 ), or a combination thereof, but is not limited to these. To form the upper electrode (UE), CVD, MOCVD, PVD, or ALD processes can be used.

예시적인 실시예들에서, 상부 전극(UE)을 형성하기 위하여 도 1의 공정 P20 또는 도 2를 참조하여 설명한 방법으로 금속 함유막을 형성할 수 있다. 상부 전극(UE)을 형성하기 위하여 도 3a 내지 도 3d에 예시한 증착 장치(200A, 200B, 200C, 200D) 중 어느 하나의 증착 장치를 이용할 수 있다. In exemplary embodiments, a metal-containing film may be formed using process P20 of FIG. 1 or the method described with reference to FIG. 2 to form the upper electrode UE. To form the upper electrode UE, any one of the deposition devices 200A, 200B, 200C, and 200D illustrated in FIGS. 3A to 3D can be used.

예시적인 실시예들에서, 도 4j를 참조하여 설명한 바와 같이 유전막(360) 상에 상부 전극(UE)을 형성하기 전에, 유전막(360)의 표면을 덮는 상부 인터페이스막(도시 생략)을 형성하는 공정을 더 포함할 수 있다. 이 경우, 상부 전극(UE)은 상기 상부 인터페이스막 위에 형성될 수 있다. 상기 상부 인터페이스막은 니오븀, 탄탈륨, 또는 바나듐을 포함하는 금속 함유막으로 이루어질 수 있다. 상기 상부 인터페이스막을 구성하는 금속 함유막을 형성하기 위하여, 도 1의 공정 P20 또는 도 2를 참조하여 설명한 방법을 이용할 수 있다. 상기 상부 인터페이스막을 형성하기 위하여 도 3a 내지 도 3d에 예시한 증착 장치(200A, 200B, 200C, 200D) 중 어느 하나의 증착 장치를 이용할 수 있다. In example embodiments, before forming the upper electrode (UE) on the dielectric layer 360 as described with reference to FIG. 4J, a process of forming an upper interface layer (not shown) covering the surface of the dielectric layer 360. It may further include. In this case, the upper electrode UE may be formed on the upper interface layer. The upper interface layer may be made of a metal-containing layer including niobium, tantalum, or vanadium. To form the metal-containing film constituting the upper interface film, process P20 of FIG. 1 or the method described with reference to FIG. 2 can be used. To form the upper interface film, any one of the deposition devices 200A, 200B, 200C, and 200D shown in FIGS. 3A to 3D can be used.

도 4a 내지 도 4j를 참조하여 설명한 집적회로 소자의 제조 방법에서는 복수의 하부 전극(LE)이 필라(pillar) 형상을 가지는 경우를 예로 들어 설명하였으나, 본 발명의 기술적 사상은 이에 한정되지 않는다. 예를 들면, 복수의 하부 전극(LE)은 각각 컵 형상 또는 바닥부가 막힌 실린더 형상의 단면 구조를 가질 수 있다. In the method of manufacturing an integrated circuit device described with reference to FIGS. 4A to 4J, the case in which the plurality of lower electrodes LE have a pillar shape has been described as an example, but the technical idea of the present invention is not limited to this. For example, each of the plurality of lower electrodes LE may have a cross-sectional structure of a cup shape or a cylinder shape with a closed bottom.

도 4a 내지 도 4j를 참조하여 설명한 바와 같은 방법으로 제조된 집적회로 소자(300)에서, 커패시터(370)는 3 차원 전극 구조를 가지는 하부 전극(LE)을 포함한다. 디자인 룰(design rule) 감소로 인한 커패시턴스 감소를 보상하기 위하여 3 차원 구조의 하부 전극(LE)의 아스펙트비(aspect ratio)는 증가하고 있으며, 깊고 좁은 3 차원 공간에 고품질의 유전막(360)을 형성하기 위하여 ALD 공정을 이용할 수 있다. 도 4a 내지 도 4j를 참조하여 설명한 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법에 따르면, 하부 전극(LE), 유전막(360), 또는 상부 전극(UE)을 형성하는 데 있어서 일반식 (I)의 본 발명의 기술적 사상에 의한 유기금속 부가 화합물을 사용함으로써 공정 안정성을 향상시킬 수 있다. In the integrated circuit device 300 manufactured by the method described with reference to FIGS. 4A to 4J, the capacitor 370 includes a lower electrode LE having a three-dimensional electrode structure. To compensate for the decrease in capacitance due to the decrease in design rule, the aspect ratio of the lower electrode (LE) of the three-dimensional structure is increasing, and a high-quality dielectric film 360 is installed in a deep and narrow three-dimensional space. An ALD process can be used to form it. According to the manufacturing method of an integrated circuit device according to embodiments of the technical idea of the present invention described with reference to FIGS. 4A to 4J, forming the lower electrode (LE), the dielectric layer 360, or the upper electrode (UE) In this regard, process stability can be improved by using an organometallic addition compound according to the technical idea of the present invention of general formula (I).

다음에, 본 발명의 기술적 사상에 의한 실시예들에 따른 유기금속 부가 화합물의 구체적인 합성예들 및 금속 함유막의 형성 방법들을 설명한다. 그러나, 본 발명의 기술적 사상이 다음의 예들에 한정되는 것은 아니다. Next, specific examples of synthesis of organometallic addition compounds and methods of forming metal-containing films according to embodiments of the technical spirit of the present invention will be described. However, the technical idea of the present invention is not limited to the following examples.

합성예 1Synthesis Example 1

식 2의 화합물의 합성Synthesis of compounds of formula 2

Ar 분위기 하에서, 500 mL 4 구 플라스크에 니오븀 펜타플루오라이드(niobium pentafluoride) 9.40 g (50.0 mmol) 및 탈수 디클로로메탄(dichloromethane) 250 ml를 가하고, 얻어진 액체 온도를 25 ℃로 유지하며 교반한 후, 실온 하에 트리스(2,2,2-트리플루오로에틸)포스페이트 (tris(2,2,2-trifluoroethyl)phosphate) 17.7 g (51.5 mmol)을 적하하고 5 시간 교반하였다. 감압 하에 용매 및 미반응 트리스(2,2,2-트리플루오로에틸)포스페이트를 증류시킨 후, 증류 정제하여 목적물 10.2 g (수율 38.2 %)을 얻었다.Under Ar atmosphere, 9.40 g (50.0 mmol) of niobium pentafluoride and 250 ml of dehydrated dichloromethane were added to a 500 mL four-necked flask, the resulting liquid was stirred while maintaining the temperature at 25°C, and then cooled to room temperature. 17.7 g (51.5 mmol) of tris(2,2,2-trifluoroethyl)phosphate was added dropwise and stirred for 5 hours. The solvent and unreacted tris(2,2,2-trifluoroethyl)phosphate were distilled off under reduced pressure, and then purified by distillation to obtain 10.2 g of the target product (yield 38.2%).

(분석치)(analysis value)

(1) 1H-NMR (중벤젠)(1) 1H-NMR (heavy benzene)

3.70 ppm(6H, multiplet)3.70 ppm (6H, multiplet)

(2) 원소 분석 (이론치)(2) Elemental analysis (theoretical value)

Nb: 17.9 % (17.5 %), C: 14.0 % (13.6 %), H: 1.6 % (1.1 %), F: 50.7 % (50.0 %), P: 6.1 % (5.8 %)Nb: 17.9% (17.5%), C: 14.0% (13.6%), H: 1.6% (1.1%), F: 50.7% (50.0%), P: 6.1% (5.8%)

합성예 2Synthesis Example 2

식 6의 화합물의 합성Synthesis of compounds of formula 6

Ar 분위기 하에서, 500 mL 4 구 플라스크에 니오븀 펜타클로라이드 (niobium pentachloride) 13.5 g (50.0 mmol) 및 탈수 디클로로메탄 300 ml를 가하고, 얻어진 액체 온도를 25 ℃로 유지하며 교반한 후, 실온 하에 트리스(2,2,2-트리플루오로에틸)포스페이트 17.7 g (51.5 mmol)을 적하하고 5 시간 교반하였다. 감압 하에 용매 및 미반응 트리스(2,2,2-트리플루오로에틸)포스페이트를 증류시킨 후, 증류 정제하여 목적물 28.0 g (수율 91.2 %)을 얻었다.Under Ar atmosphere, 13.5 g (50.0 mmol) of niobium pentachloride and 300 ml of dehydrated dichloromethane were added to a 500 mL four-necked flask, and the resulting liquid was stirred while maintaining the temperature at 25°C, and then incubated with Tris (2) at room temperature. , 17.7 g (51.5 mmol) of 2,2-trifluoroethyl) phosphate was added dropwise and stirred for 5 hours. The solvent and unreacted tris(2,2,2-trifluoroethyl)phosphate were distilled off under reduced pressure, and then purified by distillation to obtain 28.0 g of the target product (yield 91.2%).

(분석치)(analysis value)

(1) 1H-NMR (중벤젠)(1) 1H-NMR (heavy benzene)

3.87 ppm(6H, doublet of quarted)3.87 ppm(6H, doublet of quarted)

(2) 원소 분석 (이론치)(2) Elemental analysis (theoretical value)

Nb: 15.5 % (15.1 %), C: 12.0 % (11.7 %), H: 1.4 % (1.0 %), Cl: 29.3 % (28.9 %), F: 28.2 % (27.8 %), P: 5.1 % (5.0 %)Nb: 15.5% (15.1%), C: 12.0% (11.7%), H: 1.4% (1.0%), Cl: 29.3% (28.9%), F: 28.2% (27.8%), P: 5.1% ( 5.0%)

합성예 3Synthesis Example 3

식 7의 화합물의 합성Synthesis of compounds of formula 7

Ar 분위기 하에서, 500 mL 4 구 플라스크에 니오븀 펜타클로라이드 13.5 g (50.0 mmol) 및 탈수 디클로로메탄 300 ml를 가하고, 얻어진 액체 온도를 25 ℃로 유지하며 교반한 후, 실온 하에 트리스(1,1,1,3,3,3-헥사플루오로-2-프로필)포스페이트 (tris (1,1,1,3,3,3-hexafluoro-2-propyl) phosphate) 28.2 g (51.5 mmol)을 적하하고 5 시간 교반하였다. 감압 하에 용매 및 미반응 트리스(1,1,1,3,3,3-헥사플루오로-2-프로필)포스페이트를 증류시킨 후, 증류 정제하여 목적물 20.5 g (수율 50.2 %)을 얻었다.Under Ar atmosphere, 13.5 g (50.0 mmol) of niobium pentachloride and 300 ml of dehydrated dichloromethane were added to a 500 mL four-necked flask, and the resulting liquid was stirred while maintaining the temperature at 25°C, and then added to Tris (1,1,1) at room temperature. , 28.2 g (51.5 mmol) of 3,3,3-hexafluoro-2-propyl) phosphate (tris (1,1,1,3,3,3-hexafluoro-2-propyl) phosphate) was added dropwise and incubated for 5 hours. It was stirred. The solvent and unreacted tris(1,1,1,3,3,3-hexafluoro-2-propyl)phosphate were distilled off under reduced pressure, and then purified by distillation to obtain 20.5 g of the target product (yield 50.2%).

(분석치)(analysis value)

(1) 1H-NMR (중벤젠)(1) 1H-NMR (heavy benzene)

4.81 ppm(3H, singlet, broad)4.81 ppm (3H, singlet, broad)

(2) 원소 분석 (이론치)(2) Elemental analysis (theoretical value)

Nb: 11.5 % (11.4 %), C: 13.5 % (13.2 %), H: 0.8 % (0.4 %), Cl: 22.0 % (21.7 %), F: 42.0 % (41.8 %), P: 4.1 % (3.8 %) Nb: 11.5% (11.4%), C: 13.5% (13.2%), H: 0.8% (0.4%), Cl: 22.0% (21.7%), F: 42.0% (41.8%), P: 4.1% ( 3.8%)

합성예 4Synthesis Example 4

식 14의 화합물의 합성Synthesis of compounds of formula 14

Ar 분위기 하에서, 500 mL 4 구 플라스크에 TaCl5 17.9 g (50.0 mmol) 및 탈수 디클로로메탄 300 ml를 가하고, 얻어진 액체 온도를 25 ℃로 유지하며 교반한 후, 실온 하에 트리스(2,2,2-트리플루오로에틸)포스페이트 17.7 g (51.5 mmol)을 적하하고 5 시간 교반하였다. 감압 하에 용매 및 미반응 트리스(2,2,2-트리플루오로에틸)포스페이트를 증류시킨 후, 증류 정제하여 목적물 30.9 g (수율 87.9 %)을 얻었다.Under Ar atmosphere, 17.9 g (50.0 mmol) of TaCl 5 and 300 ml of dehydrated dichloromethane were added to a 500 mL four-necked flask, and the resulting liquid was stirred while maintaining the temperature at 25°C. Then, Tris (2,2,2- 17.7 g (51.5 mmol) of trifluoroethyl) phosphate was added dropwise and stirred for 5 hours. The solvent and unreacted tris(2,2,2-trifluoroethyl)phosphate were distilled off under reduced pressure, and then purified by distillation to obtain 30.9 g of the target product (yield 87.9%).

(분석치)(analysis value)

(1) 1H-NMR (중벤젠)(1) 1H-NMR (heavy benzene)

3.85 ppm(6H, doublet of quarted)3.85 ppm(6H, doublet of quarted)

(2) 원소 분석 (이론치)(2) Elemental analysis (theoretical value)

Ta: 25.9 % (25.8 %), C: 10.7 % (10.3 %), H: 1.1 % (0.9 %), Cl: 25.7 % (25.2 %), F: 24.6 % (24.4 %), P: 4.4 % (4.4 %) Ta: 25.9% (25.8%), C: 10.7% (10.3%), H: 1.1% (0.9%), Cl: 25.7% (25.2%), F: 24.6% (24.4%), P: 4.4% ( 4.4%)

평가예 1 내지 4와 비교 평가예 1 내지 4Comparative evaluation examples 1 to 4 with evaluation examples 1 to 4

다음에, 합성예 1 내지 4에서 얻어진 식 2, 식 6, 식 7, 및 식 14의 화합물들과, 아래에 나타낸 비교 화합물 1, 비교 화합물 2, 비교 화합물 3, 및 비교 화합물 4 각각에 대하여 상압 TG-DTA(Thermogravimetry - Differential Thermal Analysis) 질량 50 % 감소 온도(T1), 25 ℃에서의 상태, 및 융점을 다음과 같이 평가하였다. Next, the compounds of Formula 2, Formula 6, Formula 7, and Formula 14 obtained in Synthesis Examples 1 to 4, and Comparative Compound 1, Comparative Compound 2, Comparative Compound 3, and Comparative Compound 4 shown below, respectively, were subjected to normal pressure. TG-DTA (Thermogravimetry - Differential Thermal Analysis) 50% mass reduction temperature (T1), state at 25°C, and melting point were evaluated as follows.

비교 화합물 1Comparative Compound 1

Figure 112020082881946-pat00005
Figure 112020082881946-pat00005

비교 화합물 2Comparative Compound 2

Figure 112020082881946-pat00006
Figure 112020082881946-pat00006

비교 화합물 3Comparative Compound 3

Figure 112020082881946-pat00007
Figure 112020082881946-pat00007

비교 화합물 4Comparative Compound 4

Figure 112020082881946-pat00008
Figure 112020082881946-pat00008

상기 비교 화합물 4에서, 약어 "nBu" 는 노말부틸기를 지칭한다. In Comparative Compound 4 above, the abbreviation “nBu” refers to a normal butyl group.

(1) 상압 TG-DTA 평가(1) Normal pressure TG-DTA evaluation

TG-DTA를 이용하여, 상압 하에서 Ar 유량은 100 mL/min, 승온 속도는 10 ℃/min, 주사 온도 범위는 30 ℃ 내지 600 ℃로 하여, 합성예 1 내지 4에서 얻어진 식 2, 식 6, 식 7, 및 식 14의 화합물들과, 비교 화합물 1, 비교 화합물 2, 비교 화합물 3, 및 비교 화합물 4 각각의 질량 50 % 감소 온도(T1)를 측정하고, 그 결과를 표 1에 나타내었다. Using TG-DTA, under normal pressure, the Ar flow rate was 100 mL/min, the temperature increase rate was 10 °C/min, and the scanning temperature range was 30 °C to 600 °C, using Equations 2 and 6 obtained in Synthesis Examples 1 to 4, The 50% mass reduction temperature (T1) of the compounds of Formula 7 and Formula 14 and Comparative Compound 1, Comparative Compound 2, Comparative Compound 3, and Comparative Compound 4 were measured, and the results are shown in Table 1.

(2) 융점 평가(2) Melting point evaluation

합성예 1 내지 4에서 얻어진 식 2, 식 6, 식 7, 및 식 14의 화합물들과, 비교 화합물 1, 비교 화합물 2, 비교 화합물 3, 및 비교 화합물 4 각각에 대하여 25 ℃에서의 화합물의 상태를 육안으로 관측하고, 25 ℃에서 고체인 물질의 융점을 측정하여 그 결과를 표 1에 나타내었다. State of the compounds at 25°C for the compounds of Formula 2, Formula 6, Formula 7, and Formula 14 obtained in Synthesis Examples 1 to 4, and Comparative Compound 1, Comparative Compound 2, Comparative Compound 3, and Comparative Compound 4, respectively. was observed with the naked eye, the melting point of the solid material was measured at 25°C, and the results are shown in Table 1.

평가예Evaluation example 금속 종류metal type 화합물compound T1
(℃)
T1
(℃)
25 ℃에서의 상태Condition at 25℃ 융점
(℃)
melting point
(℃)
평가예 1Evaluation example 1 NbNb 식 2Equation 2 181181 액체Liquid -- 평가예 2Evaluation example 2 식 6Equation 6 210210 액체Liquid -- 평가예 3Evaluation example 3 식 7Equation 7 150150 액체Liquid -- 비교 평가예 1Comparative evaluation example 1 비교 화합물 1Comparative Compound 1 184184 고체solid 205205 비교 평가예 2Comparative evaluation example 2 비교 화합물 2Comparative Compound 2 379379 고체solid 359359 평가예 4Evaluation example 4 TaTa 식 14Equation 14 215215 액체Liquid -- 비교 평가예 3Comparative evaluation example 3 비교 화합물 3Comparative Compound 3 181181 고체solid 220220 비교 평가예 4Comparative evaluation example 4 비교 화합물 4Comparative Compound 4 245245 고체solid 119119

표 1의 결과에서, 식 2, 식 6, 식 7, 및 식 14의 화합물들은 모두 상압 TG-DTA 질량 50 % 감소 온도(T1)가 약 215 ℃ 이하로서 증기압이 비교적 큰 화합물들인 것을 확인하였다. 또한, 식 2, 식 6, 식 7, 및 식 14의 화합물들은 모두 25 ℃에서 액체로서, 융점이 25 ℃보다 낮은 화합물들인 것을 확인하였다. From the results in Table 1, it was confirmed that the compounds of Equation 2, Equation 6, Equation 7, and Equation 14 were all compounds with relatively high vapor pressures, with the atmospheric pressure TG-DTA mass reduction temperature (T1) of 50% being about 215°C or less. In addition, it was confirmed that the compounds of Formula 2, Formula 6, Formula 7, and Formula 14 were all liquid at 25°C and had melting points lower than 25°C.

반면, 비교 화합물 1, 비교 화합물 2, 비교 화합물 3, 및 비교 화합물 4 각각은 115 ℃ 이상의 비교적 높은 융점을 가지는 것을 확인하였다. 비교 화합물 1 및 비교 화합물 3은 상압 TG-DTA 질량 50 % 감소 온도(T1)가 약 180 ℃ 부근으로서 본 발명에 따른 화합물들과 유사하게 증기압이 비교적 큰 화합물들이지만, 융점이 205 ℃ 이상으로 상당히 높은 수준인 것을 확인하였다.On the other hand, it was confirmed that Comparative Compound 1, Comparative Compound 2, Comparative Compound 3, and Comparative Compound 4 each had a relatively high melting point of 115°C or higher. Comparative Compound 1 and Comparative Compound 3 have a 50% reduction temperature (T1) of TG-DTA mass at normal pressure around 180°C, and are compounds with relatively high vapor pressures similar to the compounds according to the present invention, but their melting points are significantly above 205°C. It was confirmed that it was at a high level.

평가예 5 내지 8과 비교 평가예 5 내지 8 (금속 함유막 형성)Comparative evaluation examples 5 to 8 Evaluation examples 5 to 8 (metal-containing film formation)

다음에, 합성예 1 내지 4에서 얻어진 식 2, 식 6, 식 7, 및 식 14의 화합물들과, 비교 화합물 1, 비교 화합물 2, 비교 화합물 3, 및 비교 화합물 4 각각을 원료로 사용하고, 도 3a의 증착 장치를 사용하여 실리콘 기판 상에 니오븀 질화막을 형성하였다. 상기 니오븀 질화막을 형성하기 위한 ALD 공정 조건은 다음과 같았다. Next, the compounds of Formula 2, Formula 6, Formula 7, and Formula 14 obtained in Synthesis Examples 1 to 4, and Comparative Compound 1, Comparative Compound 2, Comparative Compound 3, and Comparative Compound 4, respectively, were used as raw materials, A niobium nitride film was formed on a silicon substrate using the deposition apparatus of FIG. 3A. ALD process conditions for forming the niobium nitride film were as follows.

(조건)(condition)

반응 온도 (기판 온도): 250 ℃Reaction temperature (substrate temperature): 250 ℃

반응성 가스: 암모니아 가스Reactive Gas: Ammonia Gas

(공정)(process)

상기와 같은 조건으로 다음과 같은 일련의 공정 (1) 내지 공정 (4)를 1 사이클로 하여, 150 사이클을 반복하였다.Under the above conditions, the following series of processes (1) to (4) were performed as one cycle, and 150 cycles were repeated.

공정 (1): 원료 용기 가열 온도 90 ℃, 원료 용기 내부 압력 100 Pa의 조건에서 기화된 원료를 챔버 내에 도입하여 챔버 내의 압력 100 Pa에서 30 초 동안 퇴적시키는 공정. Process (1): A process of introducing the vaporized raw material into the chamber under the conditions of a raw material container heating temperature of 90°C and an internal pressure of the raw material container of 100 Pa and depositing it for 30 seconds at a pressure within the chamber of 100 Pa.

공정 (2): 10 초 동안 Ar 퍼지에 의해 미반응된 원료를 제거하는 공정. Process (2): A process of removing unreacted raw materials by Ar purge for 10 seconds.

공정 (3): 반응성 가스를 공급하고 챔버 압력 100 Pa에서 30 초 동안 반응시키는 공정. Process (3): Supplying reactive gas and reacting at a chamber pressure of 100 Pa for 30 seconds.

공정 (4): 10 초 동안 Ar 퍼지에 의해 미반응된 원료를 제거하는 공정. Process (4): A process of removing unreacted raw materials by Ar purge for 10 seconds.

상기 공정으로 얻어진 박막들 각각의 두께를 X 선 반사율법에 의해 측정하고, X 선 회절법으로 얻어진 박막들 각각의 화합물을 확인하였으며, X 선 광전자 분광법으로 얻어진 박막들 각각의 탄소 함유량을 측정하여, 그 결과를 표 2에 나타내었다. The thickness of each thin film obtained through the above process was measured by X-ray reflectivity method, the compound of each thin film obtained by X-ray diffraction method was confirmed, and the carbon content of each thin film obtained by The results are shown in Table 2.

평가예Evaluation example 화합물compound 박막 두께
(nm)
thin film thickness
(nm)
박막의 화합물thin film compounds 탄소 함유량carbon content
평가예 5Evaluation example 5 식 2Equation 2 5.55.5 NbNNbN 미검출Not detected 평가예 6Evaluation example 6 식 6Equation 6 8.58.5 NbNNbN 미검출Not detected 평가예 7Evaluation example 7 식 7Equation 7 5.05.0 NbNNbN 미검출Not detected 비교 평가예 5Comparative evaluation example 5 비교 화합물 1Comparative Compound 1 2.52.5 NbNNbN 미검출Not detected 비교 평가예 6Comparative evaluation example 6 비교 화합물 2Comparative Compound 2 3.03.0 NbNNbN 6 원자%6 atomic% 평가예 8Evaluation example 8 식 14Equation 14 8.08.0 TaNTaN 미검출Not detected 비교 평가예 7Comparative evaluation example 7 비교 화합물 3Comparative Compound 3 2.02.0 TaNTaN 미검출Not detected 비교 평가예 8Comparative evaluation example 8 비교 화합물 4Comparative Compound 4 2.52.5 TaNTaN 7 원자%7 atomic%

표 2의 결과에서, ALD 방법으로 얻어진 박막들 중 비교 화합물 2 및 비교 화합물 4로부터 얻어진 박막들은 각각 탄소 함유량이 6 원자% 이상이었다. 반면, 식 2, 식 6, 식 7, 및 식 14의 화합물들로부터 얻어진 박막들은 검출 한계인 0.1 원자% 이하로서, 고품질의 박막인 것을 확인하였다. 또한, ALD 공정을 150 사이클 수행한 후 얻어진 박막들의 두께를 평가한 결과, 비교 화합물 1, 비교 화합물 2, 비교 화합물 3, 및 비교 화합물 4의 경우에는 각각 3 nm 이하인 반면, 식 2, 식 6, 식 7, 및 식 14의 화합물들로부터 얻어진 박막들은 5.0 nm 이상으로서, 박막 형성 공정의 생산성이 우수한 것을 확인하였다. From the results in Table 2, among the thin films obtained by the ALD method, the thin films obtained from Comparative Compound 2 and Comparative Compound 4 each had a carbon content of 6 atomic% or more. On the other hand, the thin films obtained from the compounds of Equation 2, Equation 6, Equation 7, and Equation 14 were confirmed to be high quality thin films with a detection limit of 0.1 atomic% or less. In addition, as a result of evaluating the thickness of the thin films obtained after performing 150 cycles of the ALD process, it was 3 nm or less for Comparative Compound 1, Comparative Compound 2, Comparative Compound 3, and Comparative Compound 4, whereas Equations 2, 6, The thin films obtained from the compounds of Equation 7 and Equation 14 were 5.0 nm or larger, confirming that the productivity of the thin film formation process was excellent.

상기한 평가예들로부터 확인한 바와 같이, 본 발명의 기술적 사상에 의한 유기금속 부가 화합물들은 융점이 낮고 증기압이 크며 ALD 또는 CVD 공정에 의한 박막 형성의 원료로 사용될 때 박막 형성의 생산성을 높일 수 있다. As confirmed from the above evaluation examples, organometallic addition compounds according to the technical spirit of the present invention have a low melting point and high vapor pressure, and can increase the productivity of thin film formation when used as a raw material for thin film formation by an ALD or CVD process.

이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상 및 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형 및 변경이 가능하다. Above, the present invention has been described in detail with preferred embodiments, but the present invention is not limited to the above embodiments, and various modifications and changes can be made by those skilled in the art within the technical spirit and scope of the present invention. This is possible.

310: 기판, 360: 유전막, 370: 커패시터, LE: 하부 전극, UE: 상부 전극.310: substrate, 360: dielectric film, 370: capacitor, LE: lower electrode, UE: upper electrode.

Claims (20)

다음 일반식 (I)의 유기금속 부가 화합물.
일반식 (I)
Figure 112023111434014-pat00009

일반식 (I)에서,
R1, R2, 및 R3는 각각 독립적으로 C1-C5의 알킬기이고, R1, R2, 및 R3 중 적어도 하나는 상기 알킬기에 포함된 적어도 2 개의 수소 원자가 불소 원자로 치환되어 있고,
M은 니오븀 원자, 탄탈륨 원자, 또는 바나듐 원자이고,
X는 할로겐 원자이고,
m은 3 내지 5의 정수이고,
n은 1 또는 2임.
Organometallic addition compounds of the following general formula (I):
General formula (I)
Figure 112023111434014-pat00009

In general formula (I),
R 1 , R 2 , and R 3 are each independently a C1-C5 alkyl group, and at least one of R 1 , R 2 , and R 3 has at least two hydrogen atoms in the alkyl group replaced with fluorine atoms,
M is a niobium atom, a tantalum atom, or a vanadium atom,
X is a halogen atom,
m is an integer from 3 to 5,
n is 1 or 2.
제1항에 있어서,
R1, R2, 및 R3 중 적어도 하나는 직쇄형 알킬기인 유기금속 부가 화합물.
According to paragraph 1,
An organometallic addition compound in which at least one of R 1 , R 2 , and R 3 is a straight-chain alkyl group.
제1항에 있어서,
R1, R2, 및 R3 중 적어도 하나는 분기형 알킬기인 유기금속 부가 화합물.
According to paragraph 1,
An organometallic addition compound wherein at least one of R 1 , R 2 , and R 3 is a branched alkyl group.
제1항에 있어서,
일반식 (I)에서, M은 니오븀 원자 또는 탄탈륨 원자이고,
X는 불소 원자 또는 염소 원자인 유기금속 부가 화합물.
According to paragraph 1,
In general formula (I), M is a niobium atom or a tantalum atom,
An organometallic addition compound where X is a fluorine atom or a chlorine atom.
제1항에 있어서,
R1, R2, 및 R3는 각각 독립적으로 트리플루오로메틸기, 트리플루오로에틸기, 헥사플루오로이소프로필기, 또는 노나플루오로 tert-부틸기인 유기금속 부가 화합물.
According to paragraph 1,
R 1 , R 2 , and R 3 are each independently a trifluoromethyl group, a trifluoroethyl group, a hexafluoroisopropyl group, or a nonafluoro tert-butyl group.
제1항에 있어서,
일반식 (I)에서, m은 5이고, n은 1인 유기금속 부가 화합물.
According to paragraph 1,
In general formula (I), m is 5 and n is 1.
제1항에 있어서,
일반식 (I)에서, M은 니오븀 원자 또는 탄탈륨 원자이고,
X는 염소 원자이고,
R1, R2, 및 R3 는 각각 분기형 알킬기인 유기금속 부가 화합물.
According to paragraph 1,
In general formula (I), M is a niobium atom or a tantalum atom,
X is a chlorine atom,
An organometallic addition compound in which R 1 , R 2 , and R 3 are each a branched alkyl group.
제1항에 있어서,
일반식 (I)에서, M은 니오븀 원자 또는 탄탈륨 원자이고,
X는 불소 원자이고,
R1, R2, 및 R3 는 각각 분기형 알킬기인 유기금속 부가 화합물.
According to paragraph 1,
In general formula (I), M is a niobium atom or a tantalum atom,
X is a fluorine atom,
An organometallic addition compound in which R 1 , R 2 , and R 3 are each a branched alkyl group.
제1항에 있어서,
일반식 (I)에서, M은 니오븀 원자 또는 탄탈륨 원자이고,
X는 염소 원자이고,
R1, R2, 및 R3는 각각 상기 알킬기에 포함된 모든 수소 원자가 불소 원자로 치환된 유기금속 부가 화합물.
According to paragraph 1,
In general formula (I), M is a niobium atom or a tantalum atom,
X is a chlorine atom,
R 1 , R 2 , and R 3 are each organometallic addition compounds in which all hydrogen atoms contained in the alkyl group are replaced with fluorine atoms.
제1항에 있어서,
일반식 (I)에서, M은 니오븀 원자 또는 탄탈륨 원자이고,
X는 불소 원자이고,
R1, R2, 및 R3 는 각각 상기 알킬기에 포함된 모든 수소 원자가 불소 원자로 치환된 유기금속 부가 화합물.
According to paragraph 1,
In general formula (I), M is a niobium atom or a tantalum atom,
X is a fluorine atom,
R 1 , R 2 , and R 3 are each organometallic addition compounds in which all hydrogen atoms contained in the alkyl group are replaced with fluorine atoms.
제1항에 있어서,
일반식 (I)의 화합물은 상온에서 액체인 유기금속 부가 화합물.
According to paragraph 1,
The compound of general formula (I) is an organometallic addition compound that is liquid at room temperature.
다음 일반식 (I)의 유기금속 부가 화합물을 사용하여 기판 위에 금속 함유막을 형성하는 단계를 포함하는 집적회로 소자의 제조 방법.
일반식 (I)

일반식 (I)에서,
R1, R2, 및 R3는 각각 독립적으로 C1-C5의 알킬기이고, R1, R2, 및 R3 중 적어도 하나는 상기 알킬기에 포함된 적어도 하나의 수소 원자가 불소 원자로 치환되어 있고,
M은 니오븀 원자, 탄탈륨 원자, 또는 바나듐 원자이고,
X는 할로겐 원자이고,
m은 3 내지 5의 정수이고,
n은 1 또는 2임.
A method of manufacturing an integrated circuit device comprising forming a metal-containing film on a substrate using an organometallic addition compound of the following general formula (I).
General formula (I)

In general formula (I),
R 1 , R 2 , and R 3 are each independently a C1-C5 alkyl group, and at least one of R 1 , R 2 , and R 3 has at least one hydrogen atom contained in the alkyl group replaced with a fluorine atom,
M is a niobium atom, a tantalum atom, or a vanadium atom,
X is a halogen atom,
m is an integer from 3 to 5,
n is 1 or 2.
제12항에 있어서,
상기 유기금속 부가 화합물은 상온에서 액체인 집적회로 소자의 제조 방법.
According to clause 12,
A method of manufacturing an integrated circuit device in which the organometallic addition compound is liquid at room temperature.
제12항에 있어서,
일반식 (I)에서, M은 니오븀 원자 또는 탄탈륨 원자이고,
X는 불소 원자 또는 염소 원자인 집적회로 소자의 제조 방법.
According to clause 12,
In general formula (I), M is a niobium atom or a tantalum atom,
A method of manufacturing an integrated circuit element where X is a fluorine atom or a chlorine atom.
제12항에 있어서,
R1, R2, 및 R3는 각각 독립적으로 트리플루오로메틸기, 트리플루오로에틸기, 헥사플루오로이소프로필기, 또는 노나플루오로 tert-부틸기인 집적회로 소자의 제조 방법.
According to clause 12,
R 1 , R 2 , and R 3 are each independently a trifluoromethyl group, a trifluoroethyl group, a hexafluoroisopropyl group, or a nonafluoro tert-butyl group.
제12항에 있어서,
일반식 (I)에서, m은 5이고, n은 1인 집적회로 소자의 제조 방법.
According to clause 12,
In general formula (I), m is 5 and n is 1. A method of manufacturing an integrated circuit element.
제12항에 있어서,
상기 금속 함유막을 형성하는 단계는
상기 일반식 (I)의 화합물을 상기 기판 위에 공급하는 단계와,
반응성 가스를 상기 기판 위에 공급하는 단계를 포함하는 집적회로 소자의 제조 방법.
According to clause 12,
The step of forming the metal-containing film is
supplying a compound of general formula (I) onto the substrate;
A method of manufacturing an integrated circuit device comprising supplying a reactive gas onto the substrate.
제17항에 있어서,
상기 반응성 가스는 NH3, N2 플라즈마, 유기 아민 화합물, 히드라진 화합물(hydrazine compound), 또는 이들의 조합 중에서 선택되는 집적회로 소자의 제조 방법.
According to clause 17,
The reactive gas is NH 3 , N 2 plasma, an organic amine compound, a hydrazine compound, or a combination thereof.
제17항에 있어서,
상기 반응성 가스는 O2, O3, O2 플라즈마, H2O, NO2, NO, N2O (nitrous oxide), CO, CO2, H2O2, HCOOH, CH3COOH, (CH3CO)2O, 알콜, 과산화물(peroxide), 황 산화물, 또는 이들의 조합 중에서 선택되는 집적회로 소자의 제조 방법.
According to clause 17,
The reactive gases include O 2 , O 3 , O 2 plasma, H 2 O, NO 2 , NO, N 2 O (nitrous oxide), CO, CO 2 , H 2 O 2 , HCOOH, CH 3 COOH, (CH 3 CO) 2 A method of manufacturing an integrated circuit device selected from O, alcohol, peroxide, sulfur oxide, or a combination thereof.
제17항에 있어서,
상기 반응성 가스는 H2 인 집적회로 소자의 제조 방법.
According to clause 17,
A method of manufacturing an integrated circuit device wherein the reactive gas is H 2 .
KR1020200098822A 2020-03-16 2020-08-06 Organometallic adduct compounds and method of manufacturing integrated circuit device using the same KR102665411B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CN202110210695.6A CN113402544A (en) 2020-03-16 2021-02-25 Organometallic addition compounds and methods of fabricating integrated circuits using the same
US17/193,531 US20210284667A1 (en) 2020-03-16 2021-03-05 Organometallic adduct compound and method of manufacturing integrated circuit using the same
JP2021040520A JP2021147395A (en) 2020-03-16 2021-03-12 Organometallic adduct compound and method of manufacturing integrated circuit element using the same
TW110109301A TW202200598A (en) 2020-03-16 2021-03-16 Organometallic adduct compound and method of manufacturing integrated circuit using the same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020200032285 2020-03-16
KR20200032285 2020-03-16

Publications (2)

Publication Number Publication Date
KR20210117121A KR20210117121A (en) 2021-09-28
KR102665411B1 true KR102665411B1 (en) 2024-05-14

Family

ID=77923276

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200098822A KR102665411B1 (en) 2020-03-16 2020-08-06 Organometallic adduct compounds and method of manufacturing integrated circuit device using the same

Country Status (1)

Country Link
KR (1) KR102665411B1 (en)

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
Helvetica Chimica Acta, 58(8), pp.2272-2283
Helvetica Chimica Acta, 59(7), pp.2383-2392
Helvetica Chimica Acta, 60(8), pp.2695-2702
Journal of Inorganic and Nuclear Chemistry, 32(5), pp.1729-1735
Russian Journal of General Chemistry, 78(8). pp.1509-1514

Also Published As

Publication number Publication date
KR20210117121A (en) 2021-09-28

Similar Documents

Publication Publication Date Title
WO2019203035A1 (en) Source material for thin film formation for atomic layer deposition and method for producing thin film
WO2022190877A1 (en) Thin film-forming starting material for use in atomic layer deposition method, thin film, method for producing thin film, and zinc compound
US20210388010A1 (en) Organometallic compound and method of manufacturing integrated circuit using the same
US11746121B2 (en) Molybdenum compound and method of manufacturing integrated circuit device using the same
US20210284667A1 (en) Organometallic adduct compound and method of manufacturing integrated circuit using the same
KR102665411B1 (en) Organometallic adduct compounds and method of manufacturing integrated circuit device using the same
KR102523420B1 (en) Organometallic compounds and method of manufacturing integrated circuit device using the same
KR102627457B1 (en) Niobium compound and method of forming thin film
KR20220161372A (en) Zinc compound, raw material for thin film formation, thin film and manufacturing method thereof
KR20220137522A (en) Organometallic adduct compounds and method of manufacturing integrated circuit device using the same
US20230040334A1 (en) Yttrium compound and method of manufacturing integrated circuit device by using the same
KR20220083724A (en) A novel tin compound, a raw material for forming a thin film containing the compound, a thin film formed using the raw material for forming the thin film, a method using the compound as a precursor to produce the thin film, and a method for manufacturing the thin film
US20240067663A1 (en) Yttrium compound, source material for forming yttrium-containing film, and method of manufacturing integrated circuit device using the same
US20220324887A1 (en) Organometallic adduct compound and method of manufacturing integrated circuit device by using the same
JP2023043193A (en) Organometallic adduct compound and method of manufacturing integrated circuit device by using the same
WO2022220153A1 (en) Thin film-forming feedstock for use in atomic layer deposition, thin film, method for producing thin film, and ruthenium compound
CN114787168B (en) Compound, raw material for forming thin film, and method for producing thin film
KR102602822B1 (en) Raw materials for thin film formation, thin film manufacturing method, and new compounds
WO2023276716A1 (en) Starting material for forming thin film, thin film and method for producing thin film
WO2020203783A1 (en) Raw material for forming thin film, method for producing thin film, and scandium compound
JP6704808B2 (en) Raw material for forming thin film and method for producing thin film
KR20230107612A (en) Thin film manufacturing method
JP2024078466A (en) Raw material for forming thin film by atomic layer deposition method, thin film, method for producing thin film, and ruthenium compound
KR20230069155A (en) Raw material for forming thin film for atomic layer deposition and method for producing thin film
KR20230107613A (en) Thin film manufacturing method

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant