KR102624938B1 - Wafer bevel edge etching apparatus using local plasma - Google Patents

Wafer bevel edge etching apparatus using local plasma Download PDF

Info

Publication number
KR102624938B1
KR102624938B1 KR1020220069621A KR20220069621A KR102624938B1 KR 102624938 B1 KR102624938 B1 KR 102624938B1 KR 1020220069621 A KR1020220069621 A KR 1020220069621A KR 20220069621 A KR20220069621 A KR 20220069621A KR 102624938 B1 KR102624938 B1 KR 102624938B1
Authority
KR
South Korea
Prior art keywords
wafer
plasma
reaction gas
bevel edge
etching device
Prior art date
Application number
KR1020220069621A
Other languages
Korean (ko)
Other versions
KR20230169523A (en
Inventor
지영호
배준호
Original Assignee
코스텍시스템(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 코스텍시스템(주) filed Critical 코스텍시스템(주)
Priority to KR1020220069621A priority Critical patent/KR102624938B1/en
Publication of KR20230169523A publication Critical patent/KR20230169523A/en
Application granted granted Critical
Publication of KR102624938B1 publication Critical patent/KR102624938B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32385Treating the edge of the workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Abstract

본 발명은 웨이퍼 베벨 에지 식각 장치에 관한 것으로, 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치는, 웨이퍼가 적재되는 하나 이상의 로드포트; 상기 하나 이상의 로드포트와 결합되고, 대기압 상태에서 상기 하나 이상의 로드포트에 적재된 웨이퍼를 이송하기 위한 대기로봇이 구비된 프론트엔드 모듈; 상기 프론트엔드 모듈과 결합되고, 상기 프론트엔드 모듈을 통해 이송되는 웨이퍼가 적재되는 로드락 챔버; 상기 로드락 챔버와 결합되며, 상기 로드락 챔버에 적재된 웨이퍼를 이송하기 위한 진공로봇이 구비된 트랜스퍼 모듈; 및 상기 트랜스퍼 모듈에 결합되고, 상기 진공로봇에 의해 이송된 웨이퍼의 에지 부분을 식각 처리하는 다수의 프로세스 챔버를 포함하고, 상기 다수의 프로세스 챔버는 상기 웨이퍼의 에지 부분이 삽입되어 상기 웨이퍼의 에지 부분을 플라즈마를 이용하여 식각하는 하나 이상의 플라즈마 반응기를 포함할 수 있다. 본 발명에 의하면, 웨이퍼의 베벨 에지 영역을 식각하기 위해 프로세스 챔버 내에 웨이퍼의 베벨 에지 영역만 삽입될 수 있는 플라즈마 반응기를 이용함으로서, 프로세스 챔버 내에서 종래에 비해 상대적으로 작은 공간을 이용하여 하나의 프로세스 챔버 내에서 다수의 웨이퍼를 동시에 처리할 수 있어, 웨이퍼 처리 생산성을 높일 수 있는 효과가 있다.The present invention relates to a wafer bevel edge etching device. The wafer bevel edge etching device according to an embodiment of the present invention includes one or more load ports on which wafers are loaded; a front-end module coupled to the one or more load ports and provided with an atmospheric robot for transferring wafers loaded in the one or more load ports under atmospheric pressure; a load lock chamber coupled to the front-end module and in which wafers transported through the front-end module are loaded; A transfer module coupled to the load lock chamber and equipped with a vacuum robot for transferring wafers loaded in the load lock chamber; and a plurality of process chambers coupled to the transfer module and etching the edge portion of the wafer transferred by the vacuum robot, wherein the edge portion of the wafer is inserted into the plurality of process chambers to etch the edge portion of the wafer. It may include one or more plasma reactors that etch using plasma. According to the present invention, by using a plasma reactor into which only the bevel edge region of the wafer can be inserted into the process chamber to etch the bevel edge region of the wafer, a single process can be performed using a relatively small space in the process chamber compared to the prior art. Multiple wafers can be processed simultaneously within the chamber, which has the effect of increasing wafer processing productivity.

Description

국부 플라즈마를 이용한 웨이퍼 베벨 에지 에칭 장치{WAFER BEVEL EDGE ETCHING APPARATUS USING LOCAL PLASMA}Wafer bevel edge etching device using local plasma {WAFER BEVEL EDGE ETCHING APPARATUS USING LOCAL PLASMA}

본 발명은 국부 플라즈마를 이용한 웨이퍼 베벨 에지 식각 장치에 관한 것으로, 더욱 상세하게는 웨이퍼 베벨의 에지를 식각하는 국부 플라즈마를 이용한 웨이퍼 베벨 에지 식각 장치에 관한 것이다.The present invention relates to a wafer bevel edge etching device using local plasma, and more specifically, to a wafer bevel edge etching device using local plasma to etch the edge of the wafer bevel.

반도체 등의 전자 부품을 생산하기 위한 소재로 반도체 웨이퍼가 이용된다. 이러한 반도체 웨이퍼는 단결정 실리콘 잉곳을 일정한 두께로 절단하고, 웨이퍼 에지 영역을 연마한 다음 절단된 표면 중 한 면을 경면 처리하여 제작된다. 이때, 웨이퍼의 에지 영역은 별도의 소자나 회로 패턴을 제작하지 않는 영역이고, 경사지게 형성된다. 이런 에지 영역을 웨이퍼 베벨 에지 영역이라 한다.Semiconductor wafers are used as a material to produce electronic components such as semiconductors. These semiconductor wafers are manufactured by cutting a single crystal silicon ingot to a certain thickness, polishing the wafer edge area, and then mirror-finishing one of the cut surfaces. At this time, the edge area of the wafer is an area where separate devices or circuit patterns are not manufactured, and is formed to be inclined. This edge area is called the wafer bevel edge area.

그런데, 웨이퍼 베벨 에지를 연마 공정으로 형성하면, 표면이 매끄럽지 못하고 거칠게 형성될 수 있다. 웨이퍼 베벨 에지의 표면이 거칠면 이후 공정을 진행하는 동안 웨이퍼 베벨 에지 영역에도 증착되는 막들이 웨이퍼 베벨 에지의 표면 형상을 따라 형성되어 울퉁불퉁한 표면을 가질 수 있다. 그리고 이렇게 형성된 울퉁불퉁한 표면 중 일부가 공정 중에 떨어져 나가 이후 공정이나 장비를 오염시킬 수 있는 문제가 있다.However, if the wafer bevel edge is formed through a polishing process, the surface may not be smooth and may be formed rough. If the surface of the wafer bevel edge is rough, films deposited on the wafer bevel edge area during subsequent processes may be formed along the surface shape of the wafer bevel edge, resulting in an uneven surface. Additionally, there is a problem that some of the uneven surfaces formed in this way may fall off during the process and contaminate subsequent processes or equipment.

특히, 반도체 공정이 초미세화되면서 수율에 직접적으로 영향을 줄 수 있는 파티클(particle) 제어의 중요성이 더욱 커지고 있다. 파티클 제어 관점에서 웨이퍼의 베벨 에지 영역에 대한 주의가 필요하며, 반도체 공정 중에 다양한 필름이 적층되고, 웨이퍼를 에칭하는 과정이 반복됨에 따라 웨이퍼 베벨 에지 영역에 불연속적으로 적층(deposition)된 입자성 필름과 불완전한 에칭으로 인해 필름의 찌꺼기(residue)나 폴리머(polymer) 등이 생성되어 쌓일 수 있다. 그로인해, 후속 열 공정, 에칭 공정, CMP, 세정 공정 과정에서 쌓인 찌꺼기나 폴리머가 떨어져 나와 파티클 형태로 웨이퍼 안쪽의 칩 영역까지 침범하여 반도체 제조공정에 심각한 수율 저하를 초래할 수 있는 문제가 있다.In particular, as semiconductor processes become ultra-fine, the importance of particle control, which can directly affect yield, is increasing. From a particle control perspective, attention to the bevel edge area of the wafer is required. During the semiconductor process, various films are stacked, and as the wafer etching process is repeated, the particle film is discontinuously deposited on the bevel edge area of the wafer. Due to excessive and incomplete etching, film residue or polymer may be generated and accumulated. As a result, there is a problem that residue or polymer accumulated during the subsequent thermal process, etching process, CMP, and cleaning process may come off and invade the chip area inside the wafer in the form of particles, causing a serious decrease in yield in the semiconductor manufacturing process.

그에 따라 웨이퍼 베벨 에지 영역에 대한 세정을 통해 필름 찌꺼기나 입자성 이물질 등을 제거하여 수율에 영향을 줄 수 있는 주요 파티클의 생성을 방지할 수 있다. 그리고 최근 웨이퍼 에지까지 칩 영역을 확장하고 있기 때문에 웨이퍼 베벨 에지를 에칭하는 것은 필수적인 과정으로 처리되고 있다.Accordingly, by cleaning the wafer bevel edge area, film residues and particulate matter can be removed to prevent the generation of major particles that can affect yield. And since the chip area has recently been expanded to the wafer edge, etching the wafer bevel edge is treated as an essential process.

종래의 웨이퍼 베벨 에지 식각 장치는, 플라즈마를 이용하여 웨이퍼의 베벨 에지 영역을 식각하는데, 웨이퍼의 중앙 영역은 플라즈마가 형성되는 것을 억제하기 위해 보호판으로 막고, 웨이퍼 에지에만 플라즈마를 형성하여, 대략 1 내지 3mm의 에지 영역과 베벨 부위의 잠재적인 파티클 발생 근원을 식각한다.A conventional wafer bevel edge etching device uses plasma to etch the bevel edge region of a wafer. The central region of the wafer is blocked with a protective plate to suppress plasma formation, and plasma is formed only at the edge of the wafer. Potential particle generation sources in the 3mm edge area and bevel area are etched.

그런데, 이러한 종래의 웨이퍼 베벨 에지 식각 장치는, 웨이퍼의 중앙 영역에 플라즈마가 형성되는 것을 방지하기 위해 웨이퍼의 중앙 부분을 막기 위한 기구를 이용하고 하나의 프로세스 챔버에서 하나의 웨이퍼만을 처리하기 때문에 생산성이 낮고, 다량의 웨이퍼를 처리하려면 장비의 설치 면적이 커지는 문제가 있다.However, this conventional wafer bevel edge etching device uses a mechanism to block the central part of the wafer to prevent plasma from forming in the central area of the wafer and processes only one wafer in one process chamber, so productivity is low. There is a problem that the installation area of the equipment increases in order to process a low and large amount of wafers.

또한, 종래의 웨이퍼 베벨 에지 식각 장치는, 로드포트 및 프론트엔드 모듈을 통해 웨이퍼를 정렬하여 프로세스 챔버로 이동하기 때문에 프로세스 챔버의 웨이퍼 척 위에 배치되는 웨이퍼의 위치가 정밀하지 못하는 경우가 발생하며, 그로 인해 베벨 영역이 균일하게 식각되지 않는 문제가 있다.In addition, since the conventional wafer bevel edge etching device aligns the wafer through the load port and the front-end module and moves it to the process chamber, the position of the wafer placed on the wafer chuck of the process chamber is sometimes not precise, resulting in Due to this, there is a problem in which the bevel area is not etched uniformly.

그리고 웨이퍼 중앙 영역을 막은 상태에서 웨이퍼의 에지 영역에 대한 식각이 이루어지기 때문에 프로세스 챔버 내에 전체적으로 플라즈마가 발생하여 식각 효율에 비해 이용되는 반응가스의 소모량이 많고, 소비전력이 높은 문제가 있다.In addition, since the edge area of the wafer is etched while the central area of the wafer is blocked, plasma is generated throughout the process chamber, resulting in a problem of high consumption of reaction gas and high power consumption compared to the etching efficiency.

대한민국 공개특허 제10-2010-0079222호 (2010.07.08)Republic of Korea Patent Publication No. 10-2010-0079222 (2010.07.08)

본 발명이 해결하고자 하는 과제는, 효율적으로 웨이퍼의 베벨 에지 영역을 식각할 수 있는 웨이퍼 베벨 에지 식각 장치를 제공하는 것이다.The problem to be solved by the present invention is to provide a wafer bevel edge etching device that can efficiently etch the bevel edge region of a wafer.

본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치는, 웨이퍼가 적재되는 하나 이상의 로드포트; 상기 하나 이상의 로드포트와 결합되고, 대기압 상태에서 상기 하나 이상의 로드포트에 적재된 웨이퍼를 이송하기 위한 대기로봇이 구비된 프론트엔드 모듈; 상기 프론트엔드 모듈과 결합되고, 상기 프론트엔드 모듈을 통해 이송되는 웨이퍼가 적재되는 로드락 챔버; 상기 로드락 챔버와 결합되며, 상기 로드락 챔버에 적재된 웨이퍼를 이송하기 위한 진공로봇이 구비된 트랜스퍼 모듈; 및 상기 트랜스퍼 모듈에 결합되고, 상기 진공로봇에 의해 이송된 웨이퍼의 에지 부분을 식각 처리하는 다수의 프로세스 챔버들을 포함하고, 각 프로세스 챔버는, 상기 웨이퍼의 에지 부분이 삽입되어 상기 웨이퍼의 에지 부분을 플라즈마를 이용하여 식각하는 하나 이상의 플라즈마 반응기; 상기 하나 이상의 플라즈마 반응기에 상기 웨이퍼의 에지 부분이 삽입되도록 상기 웨이퍼가 놓이고, 상기 하나 이상의 플라즈마 반응기에 삽입된 상기 웨이퍼를 회전시키는 회전 척; 및 상기 회전 척 상에 배치되어 상기 웨이퍼의 위치를 정렬하는 정렬부를 포함할 수 있다.A wafer bevel edge etching device according to an embodiment of the present invention includes one or more load ports on which a wafer is loaded; a front-end module coupled to the one or more load ports and provided with an atmospheric robot for transferring wafers loaded in the one or more load ports under atmospheric pressure; a load lock chamber coupled to the front-end module and in which wafers transported through the front-end module are loaded; A transfer module coupled to the load lock chamber and equipped with a vacuum robot for transferring wafers loaded in the load lock chamber; and a plurality of process chambers coupled to the transfer module and etching the edge portion of the wafer transferred by the vacuum robot, each process chamber into which the edge portion of the wafer is inserted to form the edge portion of the wafer. One or more plasma reactors for etching using plasma; a rotation chuck for placing the wafer so that an edge portion of the wafer is inserted into the one or more plasma reactors and rotating the wafer inserted into the one or more plasma reactors; and an alignment unit disposed on the rotation chuck to align the position of the wafer.

그리고 상기 각 프로세스 챔버는, 상기 회전 척에 연결되며, 상기 웨이퍼가 상기 하나 이상의 플라즈마 반응기에 삽입되도록 상기 웨이퍼를 이동하는 웨이퍼 이동암; 및 상기 회전 척 상에 배치된 웨이퍼의 위치를 감지하는 정렬 센서를 더 포함할 수 있다.And each of the process chambers includes a wafer moving arm connected to the rotary chuck and moving the wafer so that the wafer is inserted into the one or more plasma reactors; And it may further include an alignment sensor that detects the position of the wafer placed on the rotating chuck.

이때, 상기 하나 이상의 플라즈마 반응기는, 상기 플라즈마가 발생되는 플라즈마 발생 영역을 형성하는 프레임; 상기 플라즈마 발생 영역에 플라즈마가 발생되도록 RF 전원을 발생시키는 활성전극; 상기 플라즈마 발생 영역에 상기 활성전극에 의해 발생된 RF 전원에 의해 상기 플라즈마가 발생되도록 상기 웨이퍼의 내측에서 상기 웨이퍼의 에지 부분 방향으로 반응가스를 공급하는 반응가스 공급부; 상기 플라즈마 반응기에 형성된 홈을 통해 삽입된 상기 웨이퍼에 질소를 공급하는 제1 및 제2 질소 공급부; 및 상기 플라즈마 발생 영역에 공급된 상기 반응가스 및 상기 질소가 배출되기 위한 가스 배기부를 포함할 수 있다.At this time, the one or more plasma reactors include: a frame forming a plasma generation area where the plasma is generated; an active electrode that generates RF power to generate plasma in the plasma generation area; a reaction gas supply unit that supplies a reaction gas from the inside of the wafer toward an edge portion of the wafer so that the plasma is generated in the plasma generation area by RF power generated by the active electrode; first and second nitrogen supply units supplying nitrogen to the wafer inserted through a groove formed in the plasma reactor; and a gas exhaust unit for discharging the reaction gas and nitrogen supplied to the plasma generation area.

또한, 상기 반응가스 공급부는 상기 플라즈마 반응기에 형성된 홈의 상부에 배치되며, 상기 반응가스를 상기 웨이퍼의 내측에서 상기 웨이퍼의 에지 부분을 향해 상기 플라즈마 발생 영역의 상부에서 수평 방향으로 공급하기 위해 상기 플라즈마 발생 영역과 연동된 반응가스 공급라인이 수평 방향으로 형성될 수 있다.In addition, the reaction gas supply unit is disposed at the top of the groove formed in the plasma reactor, and supplies the reaction gas in a horizontal direction from the top of the plasma generation area from the inside of the wafer toward the edge of the wafer. A reaction gas supply line linked to the generation area may be formed in a horizontal direction.

또한, 상기 반응가스 공급부는 상기 플라즈마 반응기에 형성된 홈의 상부에 배치되며, 상기 반응가스를 상기 웨이퍼의 내측에서 상기 웨이퍼의 에지 부분을 향하면서 상기 플라즈마 발생 영역의 상부에서 상기 플라즈마 발생 영역의 하부를 향해 사선 방향으로 공급하기 위해 상기 플라즈마 발생 영역과 연동된 반응가스 공급라인이 하향 경사진 사선 방향으로 형성될 수 있다.In addition, the reaction gas supply unit is disposed at the top of the groove formed in the plasma reactor, and supplies the reaction gas from the upper part of the plasma generation area to the lower part of the plasma generation area while directing the reaction gas from the inside of the wafer toward the edge portion of the wafer. In order to supply the gas in a diagonal direction, a reaction gas supply line linked to the plasma generation area may be formed in a diagonal direction inclined downward.

또한, 상기 하나 이상의 플라즈마 반응기는, 상기 플라즈마 발생 영역의 상기 플라즈마 반응기에 형성된 홈의 내측 끝단 하부에 배치되며, RF 전원을 발생시키는 바이어스 전극을 더 포함할 수 있다.Additionally, the one or more plasma reactors may be disposed below an inner end of a groove formed in the plasma reactor in the plasma generation area and may further include a bias electrode that generates RF power.

여기서, 상기 제1 및 제2 질소 공급부는 상기 반응가스 공급부보다 상기 웨이퍼의 내측으로 더 위치되도록 상기 플라즈마 반응기에 형성된 홈의 상부 및 하부에 각각 배치되고, 상기 반응가스 공급부에서 공급된 반응가스가 상기 웨이퍼의 에지 부분을 제외한 다른 부분에 접촉하지 못하도록 상기 웨이퍼에 질소를 공급할 수 있다.Here, the first and second nitrogen supply units are respectively disposed above and below the groove formed in the plasma reactor so as to be located further inside the wafer than the reaction gas supply unit, and the reaction gas supplied from the reaction gas supply unit is Nitrogen may be supplied to the wafer to prevent it from contacting any part other than the edge of the wafer.

이때, 상기 활성전극은 13.56MHz, 27.12MHz 및 60MHz 중 어느 하나에 해당하는 RF 전원을 발생하고, 이때, RF 전원 용량은 0.1kW 내지 10kW일 수 있다.At this time, the active electrode generates RF power corresponding to any one of 13.56 MHz, 27.12 MHz, and 60 MHz, and at this time, the RF power capacity may be 0.1 kW to 10 kW.

또한, 상기 정렬부는 상기 웨이퍼의 위치를 이동시키기 위한 다수의 샤프트들 및 상기 다수의 샤프트들을 각각 구동하기 위한 다수의 모터들을 포함할 수 있다.Additionally, the alignment unit may include a plurality of shafts for moving the position of the wafer and a plurality of motors for driving each of the plurality of shafts.

또한, 상기 다수의 샤프트들은 상기 진공로봇에 의해 상기 회전 척 상에 배치된 웨이퍼의 중심에서 각각 편심될 수 있다.Additionally, the plurality of shafts may each be eccentric from the center of the wafer placed on the rotating chuck by the vacuum robot.

그리고 상기 다수의 샤프트들은 제1 내지 제4 샤프트들을 포함하고, 상기 제4 샤프트는 상기 제1 내지 제3 샤프트들보다 상기 회전 척 상에 배치된 웨이퍼의 중심에서 더 크게 편심될 수 있다.And the plurality of shafts include first to fourth shafts, and the fourth shaft may be eccentric to a greater extent from the center of the wafer placed on the rotary chuck than the first to third shafts.

또한, 상기 다수의 샤프트들은 각각 서로 다른 크기의 반경을 가질 수 있다.Additionally, each of the plurality of shafts may have radii of different sizes.

그리고 상기 하나 이상의 플라즈마 반응기는 네 개의 플라즈마 반응기들을 포함하며, 상기 웨이퍼 이동암은 상기 네 개의 플라즈마 반응기들에 상기 웨이퍼가 삽입되도록 X자 형상으로 형성되고, 상기 정렬 센서는 상기 X자 형상의 중심에 배치되어 상기 회전 척 상에 놓인 웨이퍼의 위치를 감지할 수 있다.And the one or more plasma reactors include four plasma reactors, the wafer moving arm is formed in an X shape to insert the wafer into the four plasma reactors, and the alignment sensor is disposed at the center of the X shape. It is possible to detect the position of the wafer placed on the rotating chuck.

이때, 상기 정렬 센서는 360도 회전되도록 상기 웨이퍼 이동암에 배치될 수 있다.At this time, the alignment sensor may be placed on the wafer moving arm so that it rotates 360 degrees.

또한, 상기 각 프로세스 챔버는, 상기 하나 이상의 플라즈마 반응기에 상기 웨이퍼가 삽입되어 처리되는 동안 상기 웨이퍼의 위치를 감지하는 위치 센서를 더 포함할 수 있다.Additionally, each process chamber may further include a position sensor that detects the position of the wafer while the wafer is inserted into the one or more plasma reactors and processed.

또한, 상기 가스 배기부는 상기 하나 이상의 플라즈마 반응기에 형성된 상기 홈에서 상기 플라즈마 발생 영역의 상기 하부를 향해 사선 방향으로 이격되도록 상기 플라즈마 발생 영역의 하부에 배치될 수 있다.Additionally, the gas exhaust unit may be disposed below the plasma generation area so as to be spaced diagonally from the groove formed in the at least one plasma reactor toward the lower part of the plasma generation area.

본 발명에 의하면, 웨이퍼의 베벨 에지 영역을 식각하기 위해 프로세스 챔버 내에 웨이퍼의 베벨 에지 영역만 삽입될 수 있는 플라즈마 반응기를 이용함으로서, 프로세스 챔버 내에서 종래에 비해 상대적으로 작은 공간을 이용하여 하나의 프로세스 챔버 내에서 다수의 웨이퍼를 동시에 처리할 수 있어, 웨이퍼 처리 생산성을 높일 수 있는 효과가 있다.According to the present invention, by using a plasma reactor into which only the bevel edge region of the wafer can be inserted into the process chamber to etch the bevel edge region of the wafer, a single process can be performed using a relatively small space in the process chamber compared to the prior art. Multiple wafers can be processed simultaneously within the chamber, which has the effect of increasing wafer processing productivity.

더욱이, 프로세스 챔버 내에 웨이퍼를 이송한 다음 정렬하기 때문에 웨이퍼 베벨 에지 영역에 대한 제어의 정확도를 높일 수 있어, 웨이퍼 베벨 에지 영역의 처리 정밀도를 높일 수 있는 효과가 있다.Moreover, since the wafer is transported and then aligned within the process chamber, the control accuracy of the wafer bevel edge area can be improved, which has the effect of increasing the processing precision of the wafer bevel edge area.

또한, 플라즈마 반응영역을 프로세스 챔버 내에 배치된 플라즈마 반응기 내로 한정함으로써, 웨이퍼 베벨 에지 영역에 대한 식각 공정 동안 소모되는 반응가스의 양을 종래에 비해 최소화할 수 있고, 그에 따라 전력이용을 줄일 수 있어, 비용을 최소화할 수 있는 효과가 있다.In addition, by limiting the plasma reaction area to the plasma reactor disposed in the process chamber, the amount of reaction gas consumed during the etching process for the wafer bevel edge area can be minimized compared to the prior art, thereby reducing power usage. It has the effect of minimizing costs.

그리고 본 실시예에서, 60MHz 이상의 고주파수를 이용한 플라즈마를 이용하여 저압공정이 가능하고, 미세패턴 가공에 유리하므로, 베벨 영역의 식각 비율을 높일 수 있고, 슬로프 조절이 용이한 효과가 있다.In this embodiment, a low-pressure process is possible using plasma using a high frequency of 60 MHz or higher, and is advantageous for fine pattern processing, so the etch rate of the bevel area can be increased and the slope can be easily adjusted.

도 1은 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치의 평면도를 개략적으로 도시한 도면이다.
도 2a 및 도 2b는 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치에서 웨이퍼를 식각하기 위한 플라즈마 반응기를 도시한 도면이다.
도 3은 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치의 플라즈마 반응기를 도시한 도면이다.
도 4 내지 도 7은 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치에서 웨이퍼를 식각하는 과정을 설명하기 위한 예들을 도시한 도면이다.
도 8은 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치의 프로세스 챔버를 도시한 도면이다.
도 9 및 도 10은 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치의 정렬부를 설명하기 위한 도면이다.
도 11 및 도 12는 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치의 웨이퍼를 정렬하기 위한 것을 설명하기 위한 도면이다.
도 13a 및 13b는 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치의 위치 센서를 설명하기 위한 도면이다.
1 is a schematic plan view of a wafer bevel edge etching device according to an embodiment of the present invention.
2A and 2B are diagrams illustrating a plasma reactor for etching a wafer in a wafer bevel edge etching device according to an embodiment of the present invention.
Figure 3 is a diagram showing a plasma reactor of a wafer bevel edge etching device according to an embodiment of the present invention.
4 to 7 are diagrams illustrating examples for explaining a process of etching a wafer in a wafer bevel edge etching device according to an embodiment of the present invention.
FIG. 8 is a diagram illustrating a process chamber of a wafer bevel edge etching device according to an embodiment of the present invention.
9 and 10 are diagrams for explaining an alignment portion of a wafer bevel edge etching device according to an embodiment of the present invention.
11 and 12 are diagrams for explaining alignment of wafers in a wafer bevel edge etching device according to an embodiment of the present invention.
13A and 13B are diagrams for explaining a position sensor of a wafer bevel edge etching device according to an embodiment of the present invention.

본 발명의 바람직한 실시예에 대하여 첨부된 도면을 참조하여 더 구체적으로 설명한다.Preferred embodiments of the present invention will be described in more detail with reference to the attached drawings.

도 1은 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치의 평면도를 개략적으로 도시한 도면이다.1 is a schematic plan view of a wafer bevel edge etching device according to an embodiment of the present invention.

도 1을 참조하면, 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치(100)는, 로드포트(110), 프론트엔드 모듈(120), 로드락 챔버(130), 트랜스퍼 모듈(140), 제1 프로세스 챔버(150) 및 제2 프로세스 챔버(160)를 포함한다.Referring to FIG. 1, the wafer bevel edge etching device 100 according to an embodiment of the present invention includes a load port 110, a front end module 120, a load lock chamber 130, a transfer module 140, It includes a first process chamber 150 and a second process chamber 160.

로드포트(110)는, 다수의 웨이퍼(W)가 각각 적재될 수 있게 다수 개가 구비될 수 있고, 미처리된 웨이퍼(W)가 제1 및 제2 프로세스 챔버(150, 160)로 전달되도록 배치될 수 있다. 그리고 제1 및 제2 프로세스 챔버(150, 160)에서 처리가 완료된 웨이퍼(W)가 전달되어 이후 공정을 위해 배치될 수 있다. 다수 개의 로드포트(110)는 프론트엔드 모듈(120)에 각각 결합된다.The load port 110 may be provided in plural numbers so that a plurality of wafers W can be loaded on each, and may be arranged to transfer the unprocessed wafers W to the first and second process chambers 150 and 160. You can. Additionally, the wafer W that has been processed in the first and second process chambers 150 and 160 may be transferred and placed for subsequent processing. A plurality of load ports 110 are each coupled to the front-end module 120.

프론트엔드 모듈(120)은 로드포트(110)와 로드락 챔버(130) 사이에 배치되고, 내부에 대기로봇(122)이 구비된다. 대기로봇(122)은 본 실시예에서, 두 개의 로봇 암을 이용하여 로드포트(110)에 적재된 웨이퍼(W)를 로드락 챔버(130)에 전달한다. 또는, 대기로봇(122)은, 로드락 챔버(130)에 적재된 웨이퍼(W)를 로드포트(110)로 전달한다.The front end module 120 is placed between the load port 110 and the load lock chamber 130, and a standby robot 122 is provided therein. In this embodiment, the standby robot 122 uses two robot arms to deliver the wafer W loaded on the load port 110 to the load lock chamber 130. Alternatively, the waiting robot 122 delivers the wafer W loaded in the load lock chamber 130 to the load port 110.

이때, 프론트엔드 모듈(120)은 오염되지 않은 대기 상태에 노출된 상태이다. At this time, the front-end module 120 is exposed to an uncontaminated atmosphere.

로드락 챔버(130)는 프론트엔드 모듈(120)과 트랜스퍼 모듈(140) 사이에 위치하고, 프론트엔드 모듈(120)에서 전달된 웨이퍼(W)를 제1 및 제2 프로세스 챔버(150, 160) 측으로 전달하기 위해 적재한다. 그리고 제1 및 제2 프로세스 챔버(150, 160)에서 처리가 완료된 웨이퍼(W)를 트랜스퍼 모듈(140)을 통해 프론트엔드 모듈(120)로 전달하기 위해 적재한다.The load lock chamber 130 is located between the front-end module 120 and the transfer module 140, and stores the wafer (W) transferred from the front-end module 120 toward the first and second process chambers 150 and 160. Loaded for delivery. Then, the wafers W that have been processed in the first and second process chambers 150 and 160 are loaded to be transferred to the front end module 120 through the transfer module 140.

트랜스퍼 모듈(140)은 도 1에 도시된 바와 같이, 평면 형상이 다각 형상을 가질 수 있고, 일면에 로드락 챔버(130)가 배치되고, 다른 두면에 제1 및 제2 프로세스 챔버(150, 160)가 배치될 수 있다. 트랜스퍼 모듈(140)은 내부에 진공로봇(142)이 배치되고, 진공로봇(142)이 로드락 챔버(130)에서 제1 및 제2 프로세스 챔버(150, 160) 중 어느 하나로 웨이퍼(W)를 이송할 수 있다. 그리고 제1 및 제2 프로세스 챔버(150, 160) 중 어느 하나에서 로드락 챔버(130)로 웨이퍼(W)를 이송할 수 있다.As shown in FIG. 1, the transfer module 140 may have a polygonal shape in plan, with a load lock chamber 130 disposed on one side and first and second process chambers 150 and 160 on the other two sides. ) can be placed. The transfer module 140 has a vacuum robot 142 disposed therein, and the vacuum robot 142 transfers the wafer W from the load lock chamber 130 to one of the first and second process chambers 150 and 160. It can be transported. Additionally, the wafer W may be transferred from any one of the first and second process chambers 150 and 160 to the load lock chamber 130 .

본 실시예에서, 진공로봇(142)은 한 번에 두 개의 웨이퍼(W)를 이송할 수 있고, 도시된 바와 같이, 제1 및 제2 프로세스 챔버(150, 160)에는 네 개의 웨이퍼(W)가 처리를 위해 배치될 수 있다. 그에 따라 진공로봇(142)은 한 번에 두 개씩, 두 번에 걸쳐 하나의 프로세스 챔버에 웨이퍼(W)를 이송할 수 있다.In this embodiment, the vacuum robot 142 can transfer two wafers (W) at a time, and as shown, four wafers (W) are placed in the first and second process chambers 150 and 160. may be placed for processing. Accordingly, the vacuum robot 142 can transfer the wafers W to one process chamber twice, two at a time.

제1 및 제2 프로세스 챔버(150, 160)는, 도 1에 도시된 바와 같이, 트랜스퍼 모듈(140)의 두 면에 각각 배치될 수 있다. 제1 및 제2 프로세스 챔버(150, 160)는 각각 독립적으로 구동되며, 각 프로세스 챔버에서 웨이퍼(W)에 대한 처리가 이루어질 수 있다. 본 실시예에서, 제1 및 제2 프로세스 챔버(150, 160)는, 웨이퍼(W)의 베벨 에지를 식각하기 위해 구비된다.The first and second process chambers 150 and 160 may be disposed on two sides of the transfer module 140, respectively, as shown in FIG. 1 . The first and second process chambers 150 and 160 are driven independently, and the wafer W can be processed in each process chamber. In this embodiment, the first and second process chambers 150 and 160 are provided to etch the bevel edge of the wafer (W).

이를 위해 제1 및 제2 프로세스 챔버(150, 160)는, 각각 플라즈마 반응기(151), 웨이퍼 이동암(153), 회전 척(155), 정렬부(157) 및 정렬 센서(159)를 포함한다. 본 실시예에서, 제1 프로세스 챔버(150)를 이용하여 설명하며, 제2 프로세스 챔버(160)는 제1 프로세스 챔버(150)와 그 구성 및 동작이 동일하여 자세한 설명은 생략한다.To this end, the first and second process chambers 150 and 160 include a plasma reactor 151, a wafer moving arm 153, a rotation chuck 155, an alignment unit 157, and an alignment sensor 159, respectively. In this embodiment, the description is made using the first process chamber 150, and since the second process chamber 160 has the same configuration and operation as the first process chamber 150, detailed description will be omitted.

도 2a 및 도 2b는 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치(100)에서 웨이퍼(W)를 식각하기 위한 플라즈마 반응기(151)를 도시한 도면이다. 그리고 도 3은 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치(100)의 플라즈마 반응기(151)를 도시한 도면이다. 그리고 도 4 내지 도 7은 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치(100)에서 웨이퍼(W)를 식각하는 과정을 설명하기 위한 예들을 도시한 도면이다.FIGS. 2A and 2B are diagrams illustrating a plasma reactor 151 for etching a wafer W in the wafer bevel edge etching apparatus 100 according to an embodiment of the present invention. And FIG. 3 is a diagram illustrating the plasma reactor 151 of the wafer bevel edge etching device 100 according to an embodiment of the present invention. 4 to 7 are diagrams showing examples for explaining the process of etching the wafer W in the wafer bevel edge etching apparatus 100 according to an embodiment of the present invention.

먼저, 도 2a 및 도 2b와 도 3 내지 도 7을 참조하여, 제1 프로세스 챔버(150) 내에 배치된 플라즈마 반응기(151)에 대해 설명한다.First, with reference to FIGS. 2A and 2B and FIGS. 3 to 7 , the plasma reactor 151 disposed in the first process chamber 150 will be described.

플라즈마 반응기(151)는, 도 2a 및 도 2b, 그리고 도 3 및 도 4에 도시된 바와 같이, 프레임(151a), 활성전극(151b), 반응가스 공급부(151c), 반응가스 공급라인(151ca), 제1 질소 공급부(151d), 제2 질소 공급부(151e), 메시 플레이트(151f), 가스 배기부(151g), 바이어스 전극(151h)을 포함한다.As shown in FIGS. 2A and 2B and 3 and 4, the plasma reactor 151 includes a frame 151a, an active electrode 151b, a reaction gas supply unit 151c, and a reaction gas supply line 151ca. , a first nitrogen supply part 151d, a second nitrogen supply part 151e, a mesh plate 151f, a gas exhaust part 151g, and a bias electrode 151h.

프레임(151a)은 다른 구성을 지지하고, 웨이퍼(W)의 에지 부분이 일부 삽입될 수 있게 홈이 형성될 수 있다. 그리고 프레임(151a)의 내부에 플라즈마 발생 영역(R)이 형성될 수 있다. 그에 따라 프레임(151a)에 삽입된 웨이퍼(W)의 에지 부분이 플라즈마 발생 영역(R)에서 발생된 플라즈마에 의해 식각될 수 있다.The frame 151a supports other configurations, and a groove may be formed into which an edge portion of the wafer W can be partially inserted. And a plasma generation region R may be formed inside the frame 151a. Accordingly, the edge portion of the wafer W inserted into the frame 151a may be etched by the plasma generated in the plasma generation region R.

이때, 웨이퍼(W)는 회전 척(155) 상에 배치될 수 있으며, 후술하겠지만, 회전 척(155)에 배치된 웨이퍼(W)는 정렬부(157)에 의해 회전 척(155)의 중앙에 정렬된 상태에서 프레임(151a)의 홈에 삽입될 수 있다.At this time, the wafer W may be placed on the rotary chuck 155. As will be described later, the wafer W placed on the rotary chuck 155 is positioned in the center of the rotary chuck 155 by the alignment unit 157. In an aligned state, it can be inserted into the groove of the frame 151a.

그리고 도 1에 도시된 바와 같이, 트랜스퍼 모듈(140)에 포함된 진공 로봇에 의해 제1 프로세스 챔버(150)로 이송된 웨이퍼(W)는 회전 척(155) 상에 배치되고, 정렬부(157)에 의해 회전 척(155)의 중앙에 배치되며, 웨이퍼 이동암(153)에 의해 이동되어 프레임(151a)의 홈에 삽입될 수 있다. 웨이퍼(W)가 정렬부(157)에 의해 회전 척(155)의 중앙에 배치되는 것에 대한 자세한 사항은 후술한다.And as shown in FIG. 1, the wafer W transferred to the first process chamber 150 by the vacuum robot included in the transfer module 140 is placed on the rotation chuck 155 and the alignment unit 157 ) is placed in the center of the rotating chuck 155, and can be moved by the wafer moving arm 153 and inserted into the groove of the frame 151a. Details on how the wafer W is placed in the center of the rotary chuck 155 by the alignment unit 157 will be described later.

도 2a 및 도 2b에 도시된 바와 같이, 웨이퍼(W)의 에지 일부가 플라즈마 발생 영역(R)에 삽입되면, 플라즈마 발생 영역(R)에서 웨이퍼(W)의 에지 부분을 식각하기 위해 플라즈마가 발생된다. 그리고 회전 척(155)이 회전하여 웨이퍼(W)의 에지 부분에 대한 식각 처리가 수행될 수 있다.As shown in FIGS. 2A and 2B, when a portion of the edge of the wafer W is inserted into the plasma generation region R, plasma is generated to etch the edge portion of the wafer W in the plasma generation region R. do. Then, the rotary chuck 155 rotates to perform an etching process on the edge portion of the wafer W.

그리고 도 3을 참조하면, 프레임(151a)에는 반응가스 공급부(151c), 제1 질소 공급부(151d) 및 가스 배기부(151g)가 배치될 수 있다. 그에 따라 외부에서 공급된 반응가스가 반응가스 공급부(151c)를 통해 공급되어 웨이퍼(W)를 식각할 수 있다. 이때, 본 실시예에서, 반응가스는 플라즈마를 발생시키기 위한 가스일 수 있다. 그리고 제1 질소 공급부(151d)를 통해 질소가 공급되어 웨이퍼(W)의 에지 부분 외의 다른 영역에 반응가스가 접촉하지 않도록 보호할 수 있다. 또한, 웨이퍼(W)를 거친 반응가스와 질소는 가스 배기부(151g)를 통해 외부로 배출될 수 있다.And referring to FIG. 3, a reaction gas supply unit 151c, a first nitrogen supply unit 151d, and a gas exhaust unit 151g may be disposed in the frame 151a. Accordingly, the reaction gas supplied from the outside can be supplied through the reaction gas supply unit 151c to etch the wafer W. At this time, in this embodiment, the reaction gas may be a gas for generating plasma. Additionally, nitrogen is supplied through the first nitrogen supply part 151d to prevent the reaction gas from contacting areas other than the edge portion of the wafer W. Additionally, the reaction gas and nitrogen that have passed through the wafer (W) may be discharged to the outside through the gas exhaust unit (151g).

도 4를 참조하여, 본 실시예에서, 웨이퍼 베벨 에지 식각 장치(100)에서 플라즈마를 이용하여 웨이퍼(W)의 에지 부분을 식각하는 것에 대해 설명한다.Referring to FIG. 4 , in this embodiment, etching the edge portion of the wafer W using plasma in the wafer bevel edge etching apparatus 100 will be described.

플라즈마 반응기(151)는 내부에 프레임(151a), 활성전극(151b), 반응가스 공급부(151c), 반응가스 공급라인(151ca), 제1 및 제2 질소 공급부(151d, 151e) 및 가스 배기부(151g)가 배치되고, 플라즈마 발생 영역(R)을 구비된다. 이를 위해 일 측에 웨이퍼(W)의 에지 부분이 삽입될 수 있는 홈이 형성될 수 있다.The plasma reactor 151 includes a frame 151a, an active electrode 151b, a reaction gas supply unit 151c, a reaction gas supply line 151ca, first and second nitrogen supply units 151d and 151e, and a gas exhaust unit. (151g) is disposed and is provided with a plasma generation region (R). For this purpose, a groove into which the edge portion of the wafer W can be inserted may be formed on one side.

프레임(151a)은 플라즈마 반응기(151)의 내부에 배치되고 플라즈마 발생 영역(R)의 위치에 소정의 공간이 형성되도록 형성되며, 내부에 형성된 소정의 공간은 플라즈마 반응기(151)의 홈과 연결되며, 홈보다 크게 형성될 수 있다. 본 실시예에서, 프레임(151a)은 세라믹으로 제조될 수 있다.The frame 151a is disposed inside the plasma reactor 151 and is formed so that a predetermined space is formed at the position of the plasma generation region (R), and the predetermined space formed inside is connected to the groove of the plasma reactor 151. , it can be formed larger than the groove. In this embodiment, the frame 151a may be made of ceramic.

활성전극(151b)은 프레임(151a)에 형성된 소정의 공간 내에 배치되고, 플라즈마 반응기(151)의 홈이 형성된 위치에 대향된 위치에 배치될 수 있다. 활성전극(151b)은 약 60MHz의 RF 전원을 발생할 수 있고, 플라즈마 반응기(151)가 접지로 이용될 수 있다. 또한, 활성전극(151b)에서 발생할 수 있는 RF 전원은 13.56MHz나 27.12MHz를 사용할 수 있고, 이때, RF 전원 용량은 0.1kW 내지 10kW를 사용할 수 있다.The active electrode 151b may be disposed within a predetermined space formed in the frame 151a, and may be disposed in a position opposite to the position where the groove of the plasma reactor 151 is formed. The active electrode 151b can generate RF power of about 60 MHz, and the plasma reactor 151 can be used as a ground. Additionally, the RF power that can be generated from the active electrode 151b can use 13.56 MHz or 27.12 MHz, and in this case, the RF power capacity can be used in the range of 0.1 kW to 10 kW.

반응가스 공급부(151c)는 외부에서 공급된 반응가스를 플라즈마 발생 영역(R)에 공급한다. 본 실시예에서, 반응가스 공급부(151c)를 통해 공급된 반응가스는 반응가스 공급라인(151ca)을 통해 플라즈마 발생 영역(R)으로 공급될 수 있다. 이때, 반응가스 공급부(151c)는 플라즈마 반응기(151)의 홈이 형성된 위치의 상부에 배치될 수 있으며, 반응가스 공급라인(151ca)은 도 3에 도시된 바와 같이, 플라즈마 발생 영역(R)에 수평 방향으로 반응가스를 공급할 수 있게 플라즈마 발생 영역(R)의 내측 상부에 배치될 수 있다.The reaction gas supply unit 151c supplies reaction gas supplied from the outside to the plasma generation region (R). In this embodiment, the reaction gas supplied through the reaction gas supply unit 151c may be supplied to the plasma generation region R through the reaction gas supply line 151ca. At this time, the reaction gas supply unit 151c may be disposed above the groove of the plasma reactor 151, and the reaction gas supply line 151ca is located in the plasma generation region R, as shown in FIG. 3. It may be disposed at the upper inner part of the plasma generation region (R) so that the reaction gas can be supplied in the horizontal direction.

그에 따라 반응가스 공급라인(151ca)을 통해 플라즈마 발생 영역(R)에 공급된 반응가스는, 수평 방향으로 공급되어 플라즈마 발생 영역(R) 내에 넓게 퍼져 공급될 수 있다. 이렇게 반응가스가 플라즈마 발생 영역(R)의 상부에서 공급되어, 반응가스가 플라즈마 발생 영역(R) 전체에 고르게 퍼지도록 할 수 있다.Accordingly, the reaction gas supplied to the plasma generation region (R) through the reaction gas supply line 151ca may be supplied horizontally and spread widely within the plasma generation region (R). In this way, the reaction gas is supplied from the upper part of the plasma generation region (R), so that the reaction gas can be evenly spread throughout the plasma generation region (R).

여기서, 플라즈마 발생 영역(R)은 내부 공간의 모서리 부분이 라운드 처리가 이루어져 플라즈마 발생 영역(R) 내로 공급된 반응가스가 플라즈마 발생 영역(R) 전역에 고르게 퍼지도록 할 수 있다.Here, the corners of the interior space of the plasma generation region (R) may be rounded so that the reaction gas supplied into the plasma generation region (R) can be evenly spread throughout the plasma generation region (R).

그리고 이렇게 플라즈마 발생 영역(R)에 반응가스가 고르게 퍼진 상태에서 활성전극(151b)에서 전원이 발생하면, 플라즈마 발생 영역(R)에 플라즈마가 발생하고, 발생된 플라즈마에 의해 웨이퍼(W)가 식각될 수 있다. And when power is generated from the active electrode (151b) with the reaction gas evenly spread in the plasma generation region (R), plasma is generated in the plasma generation region (R), and the wafer (W) is etched by the generated plasma. It can be.

제1 및 제2 질소 공급부(151d, 151e)는 플라즈마 반응기(151)의 홈 내에 질소를 공급하기 위해 구비된다. 제1 질소 공급부(151d)는, 플라즈마 반응기(151)의 홈이 형성된 위치의 상부에 배치될 수 있고, 반응가스 공급부(151c)보다 외측에 배치될 수 있다. 그리고 제2 질소 공급부(151e)는 플라즈마 반응기(151)의 홈이 형성된 위치의 하부에 배치될 수 있으며, 제1 질소 공급부(151d)와 대향된 위치에 배치될 수 있다.The first and second nitrogen supply units 151d and 151e are provided to supply nitrogen into the groove of the plasma reactor 151. The first nitrogen supply unit 151d may be placed above the groove of the plasma reactor 151 and may be placed outside the reaction gas supply unit 151c. Additionally, the second nitrogen supply unit 151e may be placed below the groove of the plasma reactor 151 and may be placed opposite to the first nitrogen supply unit 151d.

그에 따라 제1 및 제2 질소 공급부(151d, 151e)는 각각 플라즈마 반응기(151)의 홈 내에 질소를 공급할 수 있으며, 도 3에 도시된 바와 같이, 웨이퍼(W)가 플라즈마 반응기(151)의 홈에 삽입되면, 웨이퍼(W)의 상면과 하면에 각각 질소를 공급할 수 있다. 따라서 웨이퍼(W)의 상면과 하면에 각각 공급된 질소는 반응가스 공급부(151c)를 통해 공급된 반응가스에 의해 발생된 플라즈마가 웨이퍼(W)의 에지 부분 외에 접촉되는 것을 차단할 수 있다. 그에 따라 플라즈마가 웨이퍼(W)의 에지 부분에만 접촉하여 식각될 수 있다.Accordingly, the first and second nitrogen supply units 151d and 151e can each supply nitrogen into the groove of the plasma reactor 151, and as shown in FIG. 3, the wafer W is supplied into the groove of the plasma reactor 151. When inserted, nitrogen can be supplied to the upper and lower surfaces of the wafer W, respectively. Therefore, the nitrogen supplied to the upper and lower surfaces of the wafer (W) can block the plasma generated by the reaction gas supplied through the reaction gas supply unit 151c from contacting areas other than the edge portion of the wafer (W). Accordingly, the plasma can be etched by contacting only the edge portion of the wafer (W).

그리고 제1 및 제2 질소 공급부(151d, 151e)를 통해 공급된 질소의 일부는 플라즈마 반응기(151)의 홈을 통해 외부로 배출될 수 있고, 또한, 플라즈마 발생 영역(R)으로 유입된 질소는 가스 배기부(151g)를 통해 배출될 수 있다.In addition, part of the nitrogen supplied through the first and second nitrogen supply units 151d and 151e may be discharged to the outside through the groove of the plasma reactor 151, and nitrogen introduced into the plasma generation region (R) may be discharged to the outside. It can be discharged through the gas exhaust unit (151g).

가스 배기부(151g)는 플라즈마 발생 영역(R)에 공급된 반응가스와 질소가스를 외부로 배출하기 위해 구비된다. 가스 배기부(151g)는 플라즈마 발생 영역(R)의 하부에 배치되며, 도 4에 도시된 바와 같이, 플라즈마 반응기(151)에 형성된 홈에 인접한 위치에 배치된다. 그에 따라 반응가스 공급부(151c)에서 반응가스 공급 라인을 통해 공급된 반응가스는, 플라즈마 발생 영역(R)의 상부 일 측에서 수평 방향으로 공급되어 플라즈마 발생 영역(R)의 전역에 고르게 퍼지고, 이렇게 퍼진 반응가스가 플라즈마 발생기의 홈 측에 배치된 가스 배기부(151g)를 통해 외부로 배출될 수 있다. 그에 따라 반응가스는 웨이퍼(W)의 에지 부분을 감싸듯이 돌아 가스 배기부(151g) 측을 이동할 수 있고, 반응가스에 의해 발생된 플라즈마에 의해 웨이퍼(W)의 에지 부분이 식각될 수 있다.The gas exhaust unit 151g is provided to discharge the reaction gas and nitrogen gas supplied to the plasma generation region R to the outside. The gas exhaust unit 151g is disposed below the plasma generation region R, and as shown in FIG. 4, is disposed adjacent to the groove formed in the plasma reactor 151. Accordingly, the reaction gas supplied from the reaction gas supply unit 151c through the reaction gas supply line is supplied in the horizontal direction from the upper side of the plasma generation region (R) and spreads evenly throughout the plasma generation region (R), like this. The spread reaction gas may be discharged to the outside through the gas exhaust unit 151g disposed on the groove side of the plasma generator. Accordingly, the reaction gas can move toward the gas exhaust portion 151g by surrounding the edge of the wafer W, and the edge of the wafer W can be etched by the plasma generated by the reaction gas.

이때, 가스 배기부(151g)의 상부에는 메시 플레이트(151f)가 배치될 수 있다. 그에 따라 메시 플레이트(151f)는 플라즈마 발생 영역(R)에서 가스 배기부(151g)로 배출되는 반응가스 및 질소와 함께 가스 배기부(151g)를 통해 배출될 수 있는 이물질을 제거할 수 있다.At this time, a mesh plate 151f may be disposed on the upper part of the gas exhaust unit 151g. Accordingly, the mesh plate 151f can remove foreign substances that may be discharged through the gas exhaust portion 151g along with the reaction gas and nitrogen discharged from the plasma generation region R to the gas exhaust portion 151g.

도 5는 본 발명의 웨이퍼 베벨 에지 식각 장치의 플라즈마 반응기의 변형된 다른 실시예를 도시한 도면이다.Figure 5 is a diagram showing another modified embodiment of the plasma reactor of the wafer bevel edge etching device of the present invention.

도 5를 참조하면, 플라즈마 반응기(151)는 내부에 프레임(151a), 활성전극(151b), 반응가스 공급부(151c), 반응가스 공급라인(151ca), 제1 및 제2 질소 공급부(151d, 151e), 가스 배기부(151g) 및 바이어스 전극(151h)을 포함할 수 있다.Referring to FIG. 5, the plasma reactor 151 includes a frame 151a, an active electrode 151b, a reaction gas supply unit 151c, a reaction gas supply line 151ca, first and second nitrogen supply units 151d, 151e), a gas exhaust unit 151g, and a bias electrode 151h.

이때, 플라즈마 반응기(151)의 변형된 다른 실시예에서, 다른 구성은 도 4에 도시된 일 실시예에서와 동일한 설명은 생략한다.At this time, in another modified embodiment of the plasma reactor 151, description of other configurations that are the same as in the embodiment shown in FIG. 4 will be omitted.

바이어스 전극(151h)은, 플라즈마 발생 영역(R) 내에 배치되고, 가스 배기부(151g)의 상부에 가스 배기부(151g)와 일정 간격 이격된 상태로 배치되는데, 플라즈마 반응기(151)에 형성된 홈에 인접한 위치에 배치될 수 있다. 그리고 바이어스 전극(151h)은 플라즈마 반응기(151)와 전기적으로 연결될 수 있다. 그에 따라 활성전극(151b)과 바이어스 전극(151h) 사이에 반응가스에 의해 플라즈마가 발생할 수 있다. 본 실시예에서, 바이어스 전극(151h)은 약 2MHz의 RF 전원을 발생할 수 있다.The bias electrode 151h is disposed in the plasma generation region R, and is disposed on the upper part of the gas exhaust portion 151g at a predetermined distance from the gas exhaust portion 151g. The bias electrode 151h is disposed in a groove formed in the plasma reactor 151. It can be placed in a location adjacent to . And the bias electrode 151h may be electrically connected to the plasma reactor 151. Accordingly, plasma may be generated by the reaction gas between the active electrode 151b and the bias electrode 151h. In this embodiment, the bias electrode 151h can generate RF power of about 2 MHz.

그리고 바이어스 전극(151h)은 가스 배기부(151g)의 상부에 배치됨에 따라 플라즈마 발생 영역(R) 내에서 이동되는 반응가스의 이동 경로가 달라질 수 있다. 도 5에 도시된 바와 같이, 바이어스 전극(151h)은 플라즈마 반응기(151)에 형성된 홈의 하단에 인접하게 배치됨에 따라 반응가스는 웨이퍼(W)에 에지 부분에 소정의 시간 동안 머무를 수 있고, 그에 따라 바이어스 전극(151h)의 상부에서 플라즈마의 발생이 다른 위치에서보다 많이 발생할 수 있어, 웨이퍼(W) 에지 부분에 대한 식각이 보다 효과적으로 이루어질 수 있다.In addition, as the bias electrode 151h is disposed on the upper part of the gas exhaust unit 151g, the movement path of the reaction gas moving within the plasma generation region R may vary. As shown in FIG. 5, the bias electrode 151h is disposed adjacent to the bottom of the groove formed in the plasma reactor 151, so that the reaction gas can stay at the edge of the wafer W for a predetermined time, and Accordingly, more plasma may be generated on the upper part of the bias electrode 151h than at other locations, so that the edge portion of the wafer W can be etched more effectively.

그리고 플라즈마 반응기(151)에 형성된 홈 내에서 공급된 질소 가스에 의해 반응가스가 밀려 가스 배기부(151g)를 통해 외부로 배출될 수 있다.Additionally, the reaction gas may be pushed by the nitrogen gas supplied within the groove formed in the plasma reactor 151 and discharged to the outside through the gas exhaust portion 151g.

도 6은 본 발명의 웨이퍼 베벨 에지 식각 장치의 플라즈마 반응기의 변형된 또 다른 실시예를 도시한 도면이다.Figure 6 is a diagram showing another modified embodiment of the plasma reactor of the wafer bevel edge etching device of the present invention.

도 6을 참조하면, 플라즈마 반응기(151)는 내부에 프레임(151a), 활성전극(151b), 반응가스 공급부(151c), 반응가스 공급라인(151ca), 제1 및 제2 질소 공급부(151d, 151e) 및 가스 배기부(151g)를 포함할 수 있다.Referring to FIG. 6, the plasma reactor 151 includes a frame 151a, an active electrode 151b, a reaction gas supply unit 151c, a reaction gas supply line 151ca, first and second nitrogen supply units 151d, 151e) and a gas exhaust unit 151g.

플라즈마 반응기(151)의 변형된 또 다른 실시예에서, 도 4에 도시된 일 실시예에서와 동일한 설명에 대해서는 생략한다.In another modified embodiment of the plasma reactor 151, the same description as in the embodiment shown in FIG. 4 is omitted.

도 6을 참조하면, 반응가스 공급라인(151ca)은 반응가스 공급부(151c)에서 플라즈마 활성 영역 내로 공급되기 위한 라인으로, 도시된 바와 같이, 사선 방향으로 경사지게 형성된다. 이때, 반응가스 공급라인(151ca)은, 반응가스 공급부(151c)에서 웨이퍼(W)가 플라즈마 반응기(151)의 홈을 통해 삽입되었을 때, 웨이퍼(W)의 에지 부분을 향하도록 사선 방향으로 경사지게 형성된다.Referring to FIG. 6, the reaction gas supply line 151ca is a line for supplying from the reaction gas supply unit 151c into the plasma active region, and as shown, is formed to be inclined in a diagonal direction. At this time, the reaction gas supply line 151ca is inclined diagonally toward the edge of the wafer W when the wafer W is inserted from the reaction gas supply unit 151c through the groove of the plasma reactor 151. is formed

그에 따라 반응가스 공급부(151c)에서 반응가스 공급라인(151ca)을 통해 공급된 반응가스가 웨이퍼(W)에 거의 직접 접촉할 수 있고, 활성전극(151b)에 의해 발생된 RF 전원에 의해 웨이퍼(W)의 에지 부분에서 직접적으로 플라즈마가 발생할 수 있다. 따라서 웨이퍼(W)의 에지 부분에 대한 식각이 보다 효과적으로 이루어질 수 있다.Accordingly, the reaction gas supplied from the reaction gas supply unit 151c through the reaction gas supply line 151ca can almost directly contact the wafer W, and the wafer (W) is activated by the RF power generated by the active electrode 151b. Plasma can be generated directly at the edge of W). Therefore, etching of the edge portion of the wafer W can be performed more effectively.

그리고 반응가스는 플라즈마 발생 영역(R)의 하부에 배치된 가스 배기부(151g)를 통해 외부로 배출될 수 있다.Additionally, the reaction gas may be discharged to the outside through the gas exhaust unit 151g disposed below the plasma generation region R.

도 7은 본 발명의 웨이퍼 베벨 에지 식각 장치의 플라즈마 반응기의 변형된 또 다른 실시예를 도시한 도면이다.Figure 7 is a diagram showing another modified embodiment of the plasma reactor of the wafer bevel edge etching device of the present invention.

도 7을 참조하면, 플라즈마 반응기(151)는 내부에 프레임(151a), 활성전극(151b), 반응가스 공급부(151c), 반응가스 공급라인(151ca), 제1 및 제2 질소 공급부(151d, 151e) 및 가스 배기부(151g)를 포함할 수 있다.Referring to FIG. 7, the plasma reactor 151 includes a frame 151a, an active electrode 151b, a reaction gas supply unit 151c, a reaction gas supply line 151ca, first and second nitrogen supply units 151d, 151e) and a gas exhaust unit 151g.

그리고 플라즈마 반응기(151)의 변형된 또 다른 실시예인 본 실시예에서, 도 4에 도시된 일 실시예에서와 동일한 설명에 대해서는 생략한다.And in this embodiment, which is another modified embodiment of the plasma reactor 151, the same description as in the embodiment shown in FIG. 4 will be omitted.

프레임(151a)은 도 7에 도시된 바와 같이, 'ㄷ'자 형상을 가질 수 있고, 'ㄷ'자 형상에서 상부에 위치한 바(bar)의 길이가 하부에 위치한 바(bar)의 길이보다 길게 형성될 수 있다. 그리고 상부 및 하부에 위치한 바(bar)의 사이에 활성전극(151b)이 배치될 수 있다. 또한, 활성전극(151b)과 하부에 위치한 바(bar)의 일 측에 플라즈마 활성 영역이 배치될 수 있다.As shown in FIG. 7, the frame 151a may have a 'ㄷ' shape, and in the 'ㄷ' shape, the length of the bar located at the top is longer than the length of the bar located at the bottom. can be formed. Additionally, the active electrode 151b may be disposed between the upper and lower bars. Additionally, a plasma active area may be disposed on one side of the active electrode 151b and a bar located below.

플라즈마 활성 영역은 앞선 실시예들과 마찬가지로, 플라즈마 반응기(151)에 형성된 홈과 연결되도록 형성되며, 모서리 부분이 라운드 처리가 이루어질 수 있다.As in the previous embodiments, the plasma active area is formed to be connected to the groove formed in the plasma reactor 151, and the corners may be rounded.

그리고 반응가스 공급라인(151ca)은 사선 방향으로 경사지게 형성된다. 이때, 반응가스 공급라인(151ca)은, 반응가스 공급부(151c)에서 웨이퍼(W)가 플라즈마 반응기(151)의 홈을 통해 삽입되었을 때, 웨이퍼(W)의 에지 부분을 향하도록 사선 방향으로 경사지게 형성될 수 있다.And the reaction gas supply line 151ca is formed to be inclined in a diagonal direction. At this time, the reaction gas supply line 151ca is inclined diagonally toward the edge of the wafer W when the wafer W is inserted from the reaction gas supply unit 151c through the groove of the plasma reactor 151. can be formed.

또한, 가스 배기부(151g)는 플라즈마 발생 영역(R)에 공급된 반응가스와 질소가스가 배출되도록 플라즈마 발생 영역(R)의 하부에 배치된다. 이때, 가스 배기부(151g)는 플라즈마 발생 영역(R)의 내측에 배치될 수 있는데, 플라즈마 반응기(151)에 형성된 홈에서 최대한 이격된 위치에 배치될 수 있다.Additionally, the gas exhaust unit 151g is disposed below the plasma generation region (R) to discharge the reaction gas and nitrogen gas supplied to the plasma generation region (R). At this time, the gas exhaust unit 151g may be disposed inside the plasma generation region R, and may be disposed as spaced as possible from the groove formed in the plasma reactor 151.

그에 따라 사선 방향으로 경사지게 형성된 반응가스 공급라인(151ca)을 통해 공급된 반응가스는 웨이퍼(W)의 에지 부분을 스치듯 반응가스 공급라인(151ca)의 경사진 사선 방향으로 이동할 수 있고, 해당 방향을 따라 배치된 가스 배기부(151g)를 통해 외부로 배출될 수 있다.Accordingly, the reaction gas supplied through the reaction gas supply line 151ca inclined in the diagonal direction can move in the inclined diagonal direction of the reaction gas supply line 151ca as if grazing the edge of the wafer W, and moves in that direction. It can be discharged to the outside through the gas exhaust part 151g disposed along.

도 8은 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치의 프로세스 챔버를 도시한 도면이다.FIG. 8 is a diagram illustrating a process chamber of a wafer bevel edge etching device according to an embodiment of the present invention.

앞서 설명한 바와 같이, 웨이퍼 베벨 에지 식각 장치(100)의 프로세스 챔버는 내부에 플라즈마 반응기(151), 웨이퍼 이동암(153), 회전 척(155), 정렬부(157) 및 정렬 센서(159)를 포함한다.As previously described, the process chamber of the wafer bevel edge etching apparatus 100 includes a plasma reactor 151, a wafer moving arm 153, a rotation chuck 155, an alignment unit 157, and an alignment sensor 159. do.

본 실시예에서, 플라즈마 반응기(151)는 하나의 프로세스 챔버 내에 네 개가 구비될 수 있다. 그에 따라 하나의 프로세스 챔버에서, 네 개의 웨이퍼(W)를 동시에 처리할 수 있다.In this embodiment, four plasma reactors 151 may be provided in one process chamber. Accordingly, in one process chamber, four wafers (W) can be processed simultaneously.

그리고 네 개의 플라즈마 반응기(151)에 각각 웨이퍼(W)를 처리하기 위해 웨이퍼(W)를 이동시키기 위한 웨이퍼 이동암(153)이 구비되며, 웨이퍼 이동암(153)은 도시된 바와 같이, X자 형상으로 배치될 수 있다. 그리고 웨이퍼 이동암(153)의 각 끝단에 회전 척(155)이 배치될 수 있다. 이렇게 회전 척(155) 상에 웨이퍼(W)가 배치된 상태에서, 웨이퍼 이동암(153)의 이동에 의해 웨이퍼(W)가 회전 척(155)에 위치된 상태에서, 플라즈마 반응기(151) 내에 삽입될 수 있다.In addition, each of the four plasma reactors 151 is equipped with a wafer moving arm 153 for moving the wafer W to process the wafer W. As shown, the wafer moving arm 153 has an can be placed. Additionally, a rotating chuck 155 may be disposed at each end of the wafer moving arm 153. With the wafer W placed on the rotary chuck 155, the wafer W is placed on the rotary chuck 155 by moving the wafer moving arm 153 and inserted into the plasma reactor 151. It can be.

이때, 트랜스퍼 모듈(140)에 배치된 진공로봇(142)에 의해 웨이퍼(W)가 회전 척(155) 상에 위치하도록 운반되는데, 이 과정에서 웨이퍼(W)가 정확하게 회전 척(155)의 중앙에 배치하는 것이 쉽지 않다. 그에 따라 회전 척(155)의 중앙에 웨이퍼(W)가 배치되도록 정렬부(157)가 웨이퍼(W)의 위치를 정렬할 수 있다. 이렇게 정렬부(157)는 웨이퍼(W)의 중심이 회전 척(155)의 중심에 일치하도록 웨이퍼(W)의 위치를 보정할 수 있다. 이러한 정렬부(157)의 자세한 구성에 대해서는 후술한다.At this time, the wafer (W) is transported to be positioned on the rotating chuck 155 by the vacuum robot 142 disposed in the transfer module 140. In this process, the wafer (W) is accurately positioned at the center of the rotating chuck 155. It is not easy to place in . Accordingly, the alignment unit 157 can align the position of the wafer (W) so that the wafer (W) is placed at the center of the rotating chuck (155). In this way, the alignment unit 157 can correct the position of the wafer W so that the center of the wafer W coincides with the center of the rotating chuck 155. The detailed configuration of this alignment unit 157 will be described later.

정렬 센서(159)는 X자 형상의 웨이퍼 이동암(153)의 중앙에 배치되며, 360도로 회전할 수 있도록 배치된다. 정렬 센서(159)는 웨이퍼(W)의 중심이 회전 척(155)의 중심에 일치하도록 배치되었는지 여부를 감지하여, 감지된 결과를 정렬부(157)에 전송한다. 본 실시예에서, 회전 척(155)은 웨이퍼 이동암(153)의 각 끝단에 배치됨에 따라 네 개의 회전 척(155)이 구비되며, 정렬 센서(159)는 360도로 회전하여 각 회전 척(155)에 배치된 웨이퍼(W)의 위치를 감지할 수 있다.The alignment sensor 159 is placed in the center of the X-shaped wafer moving arm 153 and is arranged to rotate 360 degrees. The alignment sensor 159 detects whether the center of the wafer W is aligned with the center of the rotating chuck 155 and transmits the detected result to the alignment unit 157. In this embodiment, the rotary chuck 155 is provided with four rotary chucks 155 as they are disposed at each end of the wafer moving arm 153, and the alignment sensor 159 rotates 360 degrees to detect each rotary chuck 155. The position of the wafer (W) placed in can be detected.

회전 척(155)의 기준 세팅은, 회전 척(155)의 5mm 편심 위치점과 제3 샤프트(157c)의 중심으로 지그 등을 이용하여 모터의 원점으로 설정한다. 그리고 제1 샤프트(157a)와 회전 척(155)은 동심으로 제작되어 회전 척(155)의 직경에 대해 정렬 센서(159)를 통해 1회 위치를 파악한다. 또한, 제2 샤프트(157b)를 기준으로 5mm 편심된 제1 샤프트(157a)를 120도 간격으로 회전하면서, 정렬 센서(159)를 통해 감지하여, 3점의 원 중심을 구할 수 있다. 이때, 3점에 대한 원의 중심을 구하면, 이 위치가 제2 샤프트(157b)의 위치이다.The standard setting of the rotary chuck 155 is set at the 5 mm eccentric position of the rotary chuck 155 and the center of the third shaft 157c as the origin of the motor using a jig, etc. In addition, the first shaft 157a and the rotary chuck 155 are manufactured concentrically, and the position of the diameter of the rotary chuck 155 is determined once through the alignment sensor 159. In addition, the first shaft 157a, which is 5 mm eccentric with respect to the second shaft 157b, can be rotated at 120-degree intervals and detected through the alignment sensor 159 to obtain the three-point center of the circle. At this time, if the center of the circle with respect to the three points is found, this position is the position of the second shaft 157b.

제3 샤프트(157c)는 제2 샤프트(157b)가 고정된 상태에서 회전 척(155)을 120도 간격으로 회전하여 정렬 센서(159)를 통해 세 차례에 걸쳐 측정하여 원의 중심을 구하기 위한 3점을 얻을 수 있다. 이때, 이렇게 구한 원의 중심은 제3 샤프트(157c)의 중심이다. 이렇게 제1 내지 제3 샤프트(157a, 157b, 157c)의 위치를 파악하면, 원하는 위치로의 이동을 할 수 있는데, 제3 샤프트(157c)의 중심을 기준으로 하여 웨이퍼(W)의 위치가 얼마나 틀어져 있는지 정렬 센서(159)를 통해 치수를 파악할 수 있으며, 또한, 그에 대한 보정이 이루어질 수 있다.The third shaft 157c rotates the rotary chuck 155 at 120-degree intervals while the second shaft 157b is fixed, and measures three times through the alignment sensor 159 to obtain the center of the circle. You can get points. At this time, the center of the circle obtained in this way is the center of the third shaft 157c. If the positions of the first to third shafts 157a, 157b, and 157c are identified in this way, they can be moved to the desired position. How much is the position of the wafer W based on the center of the third shaft 157c? The dimensions can be checked through the alignment sensor 159 to see if they are out of alignment, and corrections can be made for them.

이렇게 정렬 센서(159)에 의해 감지된 웨이퍼(W)의 위치에 따라 정렬부(157)는 웨이퍼(W)의 회전 중심축인 제3 샤프트(157c)의 중심이 회전 척(155)의 중심에 일치하도록 웨이퍼(W)의 위치를 보정할 수 있다. 여기서, 웨이퍼(W)가 플라즈마 반응기(151)에 삽입된 상태에서 회전 척(155)의 회전으로 웨이퍼(W)를 회전시켜 웨이퍼(W)의 에지 부분을 식각하는데, 웨이퍼(W)의 중심이 회전 척(155)의 중심에 일치하지 않으면, 웨이퍼(W)의 에지 부분이 일정하지 않게 식각될 수 있다. 따라서 회전 척(155) 상에 배치된 웨이퍼(W)의 위치를 보정하여 웨이퍼(W)의 중심과 회전 척(155)의 중심을 일치시키는 것이 웨이퍼(W)의 에지 부분을 일정하게 식각하는데 중요한 역할을 한다.According to the position of the wafer W detected by the alignment sensor 159, the alignment unit 157 determines that the center of the third shaft 157c, which is the rotation center axis of the wafer W, is at the center of the rotation chuck 155. The position of the wafer (W) can be corrected to match. Here, with the wafer W inserted into the plasma reactor 151, the wafer W is rotated by the rotation of the rotary chuck 155 to etch the edge portion of the wafer W. The center of the wafer W is etched. If the center of the rotating chuck 155 is not aligned, the edge portion of the wafer W may be etched unevenly. Therefore, correcting the position of the wafer W placed on the rotary chuck 155 and making the center of the wafer W coincide with the center of the rotary chuck 155 is important for uniformly etching the edge portion of the wafer W. It plays a role.

도 9 및 도 10은 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치의 정렬부(157)를 설명하기 위한 도면이고, 도 11 및 도 12는 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치의 웨이퍼를 정렬하기 위한 것을 설명하기 위한 도면이다.FIGS. 9 and 10 are diagrams for explaining the alignment portion 157 of the wafer bevel edge etching device according to an embodiment of the present invention, and FIGS. 11 and 12 are diagrams for wafer bevel edge etching according to an embodiment of the present invention. This is a drawing to explain how to align the wafer of the device.

도 9 및 도 10을 참조하면, 본 실시예에서, 웨이퍼 베벨 에지 식각 장치(100)에 포함된 정렬부(157)는, 제1 내지 제4 샤프트(157a, 157b, 157c, 157d), 제1 내지 제4 모터(Ma, Mb, Mc, Md)와 액추에이터(AT)를 포함한다.9 and 10, in this embodiment, the alignment portion 157 included in the wafer bevel edge etching device 100 includes first to fourth shafts 157a, 157b, 157c, and 157d, and the first to fourth motors (Ma, Mb, Mc, Md) and an actuator (AT).

제1 내지 제4 샤프트(157a, 157b, 157c, 157d)는, 웨이퍼(W)의 위치를 보정하기 위해, 각각 회전 척(155)의 중심에서 소정의 간격을 가지도록 편심된 상태로 배치될 수 있으며, 회전 각도에 따라 웨이퍼(W)의 중심이 이동될 수 있다. 도시된 바와 같이, 제1 샤프트(157a)는 제1 내지 제4 샤프트(157a, 157b, 157c, 157d) 중 가장 작은 반경을 가지며, 제2 샤프트(157b)는 제1 샤프트(157a)보다 큰 반경을 가질 수 있다. 그리고 제3 샤프트(157c)는 제2 샤프트(157b)보다 큰 반경을 가지고, 제4 샤프트(157d)는 가장 큰 반경을 가질 수 있다. 그리고 도시된 바와 같이, 제1 샤프트(157a)와 제2 샤프트(157b)는 각각의 원주가 한 점에서 접촉할 수 있고, 제2 샤프트(157b)와 제3 샤프트(157c)는 각각의 원주가 한 점에서 접촉할 수 있으며, 제3 샤프트(157c)와 제4 샤프트(157d)는 각각의 원주가 한 점에서 접촉할 수 있다.The first to fourth shafts 157a, 157b, 157c, and 157d may be arranged eccentrically to have a predetermined distance from the center of the rotary chuck 155, respectively, in order to correct the position of the wafer W. And, the center of the wafer W may be moved depending on the rotation angle. As shown, the first shaft 157a has the smallest radius among the first to fourth shafts 157a, 157b, 157c, and 157d, and the second shaft 157b has a radius larger than that of the first shaft 157a. You can have Additionally, the third shaft 157c may have a larger radius than the second shaft 157b, and the fourth shaft 157d may have the largest radius. And as shown, the circumferences of the first shaft 157a and the second shaft 157b may be in contact at one point, and the circumferences of the second shaft 157b and the third shaft 157c may be in contact with each other at one point. They may contact at one point, and the circumferences of the third shaft 157c and the fourth shaft 157d may contact each other at one point.

그리고 본 실시예에서, 제1 샤프트(157a)와 회전 척(155)은 동심이고, 웨이퍼(W)의 위치와 회전 척(155)은 5mm 편심될 수 있다. 제2 및 제3 샤프트(157b, 157c)는 회전 척(155)의 중심에서 약 5mm 편심될 수 있다. 이때, 제2 및 제3 샤프트(157b, 157c)의 편심된 위치는 각각 서로 다른 위치일 수 있다. 그리고 제4 샤프트(157d)는 제3 샤프트(157c)의 중심에서 약 20mm 편심될 수 있다. 즉, 도 11에 도시된 바와 같이, 웨이퍼(W)가 안착된 위치의 중심(C0)에서 수평 방향으로 우측에 5mm 편심된 위치에 제1 샤프트(157a)의 중심(C1)이 배치될 수 있고, 웨이퍼(W)가 안착된 위치의 중심(C0)에서 우측 상향의 대각선 방향으로 5mm 편심된 위치에 제2 샤프트(157b)의 중심(C2)이 배치될 수 있다.And in this embodiment, the first shaft 157a and the rotary chuck 155 are concentric, and the position of the wafer W and the rotary chuck 155 may be eccentric by 5 mm. The second and third shafts 157b and 157c may be eccentric about 5 mm from the center of the rotary chuck 155. At this time, the eccentric positions of the second and third shafts 157b and 157c may be different positions. And the fourth shaft 157d may be eccentric about 20 mm from the center of the third shaft 157c. That is, as shown in FIG. 11, the center C1 of the first shaft 157a may be placed at a position 5 mm eccentric to the right in the horizontal direction from the center C0 of the position where the wafer W is seated. , the center C2 of the second shaft 157b may be disposed at a position 5 mm eccentric in the diagonal direction upward to the right from the center C0 of the position where the wafer W is seated.

이때, 제4 샤프트(157d)는 웨이퍼(W)가 플라즈마 반응기(151)에 삽입되는 위치인 회전 척(155)의 중심에 위치하도록 이동시키는 역할을 한다.At this time, the fourth shaft 157d serves to move the wafer W to be positioned at the center of the rotating chuck 155, which is the position where the wafer W is inserted into the plasma reactor 151.

그리고 제1 내지 제4 샤프트(157a, 157b, 157c, 157d)를 구동시키기 위해 제1 내지 제4 샤프트(157a, 157b, 157c, 157d)에 연결된 제1 내지 제4 모터(Ma, Mb, Mc, Md)가 구비된다. 제1 내지 제4 모터(Ma, Mb, Mc, Md)는 도 9 및 도 10에 도시된 바와 같이, 배치될 수 있으며, 각각 벨트에 의해 제1 내지 제4 샤프트(157a, 157b, 157c, 157d)와 연결될 수 있다.And first to fourth motors (Ma, Mb, Mc, Md) is provided. The first to fourth motors (Ma, Mb, Mc, Md) may be arranged as shown in FIGS. 9 and 10, and are connected to the first to fourth shafts (157a, 157b, 157c, 157d) by belts, respectively. ) can be connected to.

또한, 제1 내지 제4 모터(Ma, Mb, Mc, Md)를 제어하기 위한 액추에이터(AT)가 더 구비될 수 있다.Additionally, an actuator (AT) for controlling the first to fourth motors (Ma, Mb, Mc, Md) may be further provided.

도 12를 참조하면, 트랜스퍼 모듈(140)에 포함된 진공로봇(142)은 웨이퍼(W)를 제1 프로세스 챔버(150) 내에 배치된 회전 척(155) 상으로 이동시킨다. 이때, 진공로봇(142)에 의해 이송된 웨이퍼(W)는 회전 척(155)에 위치되는데, 이때의 웨이퍼(W)의 중심 위치가 제1 점(P1)이다. 회전 척(155)과 웨이퍼(W)의 위치는 정확한 위치가 아니므로, 제1 및 제2 샤프트(157a, 157b)에 의해 웨이퍼(W)를 제2 점(P2)로 이동한 다음, 정렬 센서(159)에 의해 웨이퍼(W)의 위치를 감지하여, 웨이퍼(W)의 회전 중심축인 제3 샤프트(157c)의 중심 센터 위치로 보정한다. 그리고 웨이퍼(W)의 중심과 제3 샤프트(157c)의 중심이 동심이 된 상태에서, 제4 샤프트(157d)에 의해 제3 점(P3)으로 이동된다. 여기서, 제3 점(P3)은 웨이퍼(W)가 플라즈마 반응기(151)에 삽입되기 위한 위치이다. 이때, 제1 내지 제3 샤프트(157a, 157b, 157c)가 동시에 회전하면 웨이퍼(W)는 제3 점(P3) 위치에서 회전될 수 있다.Referring to FIG. 12, the vacuum robot 142 included in the transfer module 140 moves the wafer W onto the rotating chuck 155 disposed in the first process chamber 150. At this time, the wafer W transferred by the vacuum robot 142 is positioned on the rotating chuck 155, and the center position of the wafer W at this time is the first point P1. Since the positions of the rotation chuck 155 and the wafer W are not accurate, the wafer W is moved to the second point P2 by the first and second shafts 157a and 157b, and then the alignment sensor The position of the wafer W is detected by (159) and corrected to the center position of the third shaft 157c, which is the rotation center axis of the wafer W. Then, with the center of the wafer W and the center of the third shaft 157c concentric, it is moved to the third point P3 by the fourth shaft 157d. Here, the third point P3 is a position at which the wafer W is inserted into the plasma reactor 151. At this time, when the first to third shafts 157a, 157b, and 157c rotate simultaneously, the wafer W may be rotated at the third point P3.

이때, 진공로봇(142)에 의해 웨이퍼(W)가 회전 척(155) 상으로 위치시키는 위치(P1)를 지정할 수 있는데, 이는, 진공로봇(142)에 의해 웨이퍼(W)가 이송되는 과정에서 플라즈마 반응기(151)와의 간섭을 피하기 위함이다. 그에 따라 제1 내지 제3 샤프트(157a, 157b, 157c)에 의한 보정은 작게 이동하여 제3 샤프트(157c)의 중심과 웨이퍼(W)의 중심을 일치하는 보정이 이루어지며, 제3 샤프트(157c)는 웨이퍼(W)의 회전에 사용되고, 제4 샤프트(157d)에 의한 동작은 제3 샤프트(157c)를 크게 이동하는 위치 동작(stroke)이 이루어질 수 있다.At this time, the position (P1) where the wafer (W) is placed on the rotating chuck 155 by the vacuum robot 142 can be designated, which is in the process of transferring the wafer (W) by the vacuum robot 142. This is to avoid interference with the plasma reactor 151. Accordingly, the correction by the first to third shafts 157a, 157b, and 157c is moved small so that the center of the third shaft 157c matches the center of the wafer W, and the third shaft 157c ) is used to rotate the wafer (W), and the operation by the fourth shaft (157d) can be a positional movement (stroke) that greatly moves the third shaft (157c).

도 13a 및 13b는 본 발명의 일 실시예에 따른 웨이퍼 베벨 에지 식각 장치의 위치 센서를 설명하기 위한 도면이다.13A and 13B are diagrams for explaining a position sensor of a wafer bevel edge etching device according to an embodiment of the present invention.

도 13a 및 도 13b에 도시된 바와 같이, 필요에 따라 본 실시예에 따른 웨이퍼 베벨 식각 장치(100)에 위치 센서(PS)가 더 구비될 수 있다.As shown in FIGS. 13A and 13B, the wafer bevel etching apparatus 100 according to the present embodiment may be further provided with a position sensor PS, if necessary.

위치 센서(PS)는 웨이퍼(W)의 위치를 감지하기 위해 구비되며, 웨이퍼(W)의 에지 부분의 위치를 감지하여, 웨이퍼(W)의 위치가 회전 척(155) 상의 위치에서 웨이퍼(W)가 틀어진 위치 치수를 감지할 수 있다. 본 실시예에서, 위치 센서(PS)는 웨이퍼(W)의 에지 부분을 감지하기 위해 플라즈마 반응기(151)와 이격된 위치에 배치되고, 도 13b에 도시된 바와 같이, 웨이퍼(W)의 에지 부분의 일부에 끼워진 형상으로 형성될 수 있다. 즉, 위치 센서(PS)는 'ㄷ'자 형상으로 형성되어, 'ㄷ'자 형상의 상하 끝면에 발광 및 수광 센서가 삽입되어 발광 및 수광 센서를 통해 웨이퍼(W)의 끝면을 감지할 수 있다.The position sensor PS is provided to detect the position of the wafer W, and detects the position of the edge portion of the wafer W, so that the position of the wafer W is determined from the position on the rotary chuck 155. ) can detect the wrong position dimension. In this embodiment, the position sensor PS is disposed at a position spaced apart from the plasma reactor 151 to detect the edge portion of the wafer W, as shown in FIG. 13B. It may be formed into a shape inserted into a part of . That is, the position sensor PS is formed in a 'ㄷ' shape, and light emitting and light receiving sensors are inserted into the upper and lower end surfaces of the 'ㄷ' shape, so that the end surface of the wafer (W) can be detected through the light emitting and light receiving sensors. .

위치 센서(PS)는 웨이퍼(W)의 에지 부분이 플라즈마 반응기(151)에서 식각되는 처리가 이루어지는 동안 정렬 센서(159)가 회전하면서 실시간으로 웨이퍼(W)의 위치를 감지할 수 있다.The position sensor PS can detect the position of the wafer W in real time while the alignment sensor 159 rotates while the edge portion of the wafer W is etched in the plasma reactor 151.

*위에서 설명한 바와 같이 본 발명에 대한 구체적인 설명은 첨부된 도면을 참조한 실시예에 의해서 이루어졌지만, 상술한 실시예는 본 발명의 바람직한 예를 들어 설명하였을 뿐이므로, 본 발명이 상기 실시예에만 국한되는 것으로 이해돼서는 안 되며, 본 발명의 권리범위는 후술하는 청구범위 및 그 등가개념으로 이해되어야 할 것이다.*As described above, the specific description of the present invention has been made based on examples with reference to the attached drawings, but since the above-described embodiments are only explained by referring to preferred examples of the present invention, the present invention is not limited to the above embodiments. It should not be understood as such, and the scope of rights of the present invention should be understood in terms of the claims and equivalent concepts described later.

100: 웨이퍼 베벨 에지 식각 장치
110: 로드포트
120: 프론트엔드 모듈 122: 대기로봇
130: 로드락 챔버
140: 트랜스퍼 모듈 142: 진공로봇
150: 제1 프로세스 챔버
151: 플라즈마 반응기
151a: 프레임 151b: 활성전극
151c: 반응가스 공급부 151ca: 반응가스 공급라인
151d: 제1 질소 공급부 151e: 제2 질소 공급부
151f: 메시 플레이트 151g: 가스 배기부
151h: 바이어스 전극
153: 웨이퍼 이동암 155: 회전 척
157: 정렬부
157a, 157b, 157c, 157d: 제1 내지 제4 샤프트
159: 정렬 센서
160: 제2 프로세스 챔버
Ma, Mb, Mc, Md: 제1 내지 제4 모터
AT: 액추에이터 PS: 위치 센서
R: 플라즈마 발생 영역
100: Wafer bevel edge etching device
110: Load port
120: Front-end module 122: Standby robot
130: Load lock chamber
140: Transfer module 142: Vacuum robot
150: first process chamber
151: Plasma reactor
151a: Frame 151b: Active electrode
151c: reaction gas supply unit 151ca: reaction gas supply line
151d: first nitrogen supply unit 151e: second nitrogen supply unit
151f: mesh plate 151g: gas exhaust
151h: bias electrode
153: wafer moving arm 155: rotating chuck
157: Alignment section
157a, 157b, 157c, 157d: first to fourth shafts
159: Alignment sensor
160: second process chamber
Ma, Mb, Mc, Md: first to fourth motors
AT: Actuator PS: Position sensor
R: Plasma generation area

Claims (16)

웨이퍼가 적재되는 하나 이상의 로드포트;
상기 하나 이상의 로드포트와 결합되고, 대기압 상태에서 상기 하나 이상의 로드포트에 적재된 웨이퍼를 이송하기 위한 대기로봇이 구비된 프론트엔드 모듈;
상기 프론트엔드 모듈과 결합되고, 상기 프론트엔드 모듈을 통해 이송되는 웨이퍼가 적재되는 로드락 챔버;
상기 로드락 챔버와 결합되며, 상기 로드락 챔버에 적재된 웨이퍼를 이송하기 위한 진공로봇이 구비된 트랜스퍼 모듈; 및
상기 트랜스퍼 모듈에 결합되고, 상기 진공로봇에 의해 이송된 웨이퍼의 에지 부분을 식각 처리하는 다수의 프로세스 챔버들을 포함하고,
각 프로세스 챔버는,
상기 웨이퍼의 에지 부분이 삽입되어 상기 웨이퍼의 에지 부분을 플라즈마를 이용하여 식각하는 하나 이상의 플라즈마 반응기;
상기 하나 이상의 플라즈마 반응기에 상기 웨이퍼의 에지 부분이 삽입되도록 상기 웨이퍼가 놓이고, 상기 하나 이상의 플라즈마 반응기에 삽입된 상기 웨이퍼를 회전시키는 회전 척; 및
상기 회전 척 상에 배치되어 상기 웨이퍼의 위치를 정렬하는 정렬부를 포함하며;
상기 정렬부는 상기 웨이퍼의 위치를 이동시키기 위한 다수의 샤프트들 및 상기 다수의 샤프트들을 각각 구동하기 위한 다수의 모터들을 포함하는, 웨이퍼 베벨 에지 식각 장치.
One or more load ports where wafers are loaded;
a front-end module coupled to the one or more load ports and provided with an atmospheric robot for transferring wafers loaded in the one or more load ports under atmospheric pressure;
a load lock chamber coupled to the front-end module and in which wafers transported through the front-end module are loaded;
A transfer module coupled to the load lock chamber and equipped with a vacuum robot for transferring wafers loaded in the load lock chamber; and
It is coupled to the transfer module and includes a plurality of process chambers that etch an edge portion of the wafer transferred by the vacuum robot,
Each process chamber,
One or more plasma reactors into which the edge portion of the wafer is inserted to etch the edge portion of the wafer using plasma;
a rotation chuck for placing the wafer so that an edge portion of the wafer is inserted into the one or more plasma reactors and rotating the wafer inserted into the one or more plasma reactors; and
It includes an alignment unit disposed on the rotating chuck to align the position of the wafer;
The alignment unit includes a plurality of shafts for moving the position of the wafer and a plurality of motors for respectively driving the plurality of shafts.
청구항 1에 있어서,
상기 각 프로세스 챔버는,
상기 회전 척에 연결되며, 상기 웨이퍼가 상기 하나 이상의 플라즈마 반응기에 삽입되도록 상기 웨이퍼를 이동하는 웨이퍼 이동암; 및
상기 회전 척 상에 배치된 웨이퍼의 위치를 감지하는 정렬 센서를 더 포함하는 웨이퍼 베벨 에지 식각 장치.
In claim 1,
Each process chamber is,
a wafer moving arm connected to the rotary chuck and moving the wafer so that the wafer is inserted into the one or more plasma reactors; and
A wafer bevel edge etching device further comprising an alignment sensor that detects the position of the wafer placed on the rotating chuck.
웨이퍼가 적재되는 하나 이상의 로드포트;
상기 하나 이상의 로드포트와 결합되고, 대기압 상태에서 상기 하나 이상의 로드포트에 적재된 웨이퍼를 이송하기 위한 대기로봇이 구비된 프론트엔드 모듈;
상기 프론트엔드 모듈과 결합되고, 상기 프론트엔드 모듈을 통해 이송되는 웨이퍼가 적재되는 로드락 챔버;
상기 로드락 챔버와 결합되며, 상기 로드락 챔버에 적재된 웨이퍼를 이송하기 위한 진공로봇이 구비된 트랜스퍼 모듈; 및
상기 트랜스퍼 모듈에 결합되고, 상기 진공로봇에 의해 이송된 웨이퍼의 에지 부분을 식각 처리하는 다수의 프로세스 챔버들을 포함하고,
각 프로세스 챔버는,
상기 웨이퍼의 에지 부분이 삽입되어 상기 웨이퍼의 에지 부분을 플라즈마를 이용하여 식각하는 하나 이상의 플라즈마 반응기;
상기 하나 이상의 플라즈마 반응기에 상기 웨이퍼의 에지 부분이 삽입되도록 상기 웨이퍼가 놓이고, 상기 하나 이상의 플라즈마 반응기에 삽입된 상기 웨이퍼를 회전시키는 회전 척; 및
상기 회전 척 상에 배치되어 상기 웨이퍼의 위치를 정렬하는 정렬부를 포함하며;
상기 하나 이상의 플라즈마 반응기는,
상기 플라즈마가 발생되는 플라즈마 발생 영역을 형성하는 프레임;
상기 플라즈마 발생 영역에 플라즈마가 발생되도록 RF 전원을 발생시키는 활성전극;
상기 플라즈마 발생 영역에 상기 활성전극에 의해 발생된 RF 전원에 의해 상기 플라즈마가 발생되도록 상기 웨이퍼의 내측에서 상기 웨이퍼의 에지 부분 방향으로 반응가스를 공급하는 반응가스 공급부;
상기 플라즈마 반응기에 형성된 홈을 통해 삽입된 상기 웨이퍼에 질소를 공급하는 제1 및 제2 질소 공급부; 및
상기 플라즈마 발생 영역에 공급된 상기 반응가스 및 상기 질소가 배출되기 위한 가스 배기부를 포함하는 웨이퍼 베벨 에지 식각 장치.
One or more load ports where wafers are loaded;
a front-end module coupled to the one or more load ports and provided with an atmospheric robot for transferring wafers loaded in the one or more load ports under atmospheric pressure;
a load lock chamber coupled to the front-end module and in which wafers transported through the front-end module are loaded;
A transfer module coupled to the load lock chamber and equipped with a vacuum robot for transferring wafers loaded in the load lock chamber; and
It is coupled to the transfer module and includes a plurality of process chambers that etch an edge portion of the wafer transferred by the vacuum robot,
Each process chamber,
One or more plasma reactors into which the edge portion of the wafer is inserted to etch the edge portion of the wafer using plasma;
a rotation chuck for placing the wafer so that an edge portion of the wafer is inserted into the one or more plasma reactors and rotating the wafer inserted into the one or more plasma reactors; and
It includes an alignment unit disposed on the rotating chuck to align the position of the wafer;
The one or more plasma reactors,
A frame forming a plasma generation area where the plasma is generated;
an active electrode that generates RF power to generate plasma in the plasma generation area;
a reaction gas supply unit that supplies a reaction gas from the inside of the wafer toward an edge portion of the wafer so that the plasma is generated in the plasma generation area by RF power generated by the active electrode;
first and second nitrogen supply units supplying nitrogen to the wafer inserted through a groove formed in the plasma reactor; and
A wafer bevel edge etching device including a gas exhaust unit for discharging the reaction gas and nitrogen supplied to the plasma generation area.
청구항 3에 있어서,
상기 반응가스 공급부는 상기 플라즈마 반응기에 형성된 홈의 상부에 배치되며, 상기 반응가스를 상기 웨이퍼의 내측에서 상기 웨이퍼의 에지 부분을 향해 상기 플라즈마 발생 영역의 상부에서 수평 방향으로 공급하기 위해 상기 플라즈마 발생 영역과 연동된 반응가스 공급라인이 수평 방향으로 형성된 웨이퍼 베벨 에지 식각 장치.
In claim 3,
The reaction gas supply part is disposed at the top of the groove formed in the plasma reactor, and supplies the reaction gas in a horizontal direction from the upper part of the plasma generation area from the inside of the wafer toward the edge of the wafer. A wafer bevel edge etching device in which the reaction gas supply line connected to the wafer is formed in a horizontal direction.
청구항 3에 있어서,
상기 반응가스 공급부는 상기 플라즈마 반응기에 형성된 홈의 상부에 배치되며, 상기 반응가스를 상기 웨이퍼의 내측에서 상기 웨이퍼의 에지 부분을 향하면서 상기 플라즈마 발생 영역의 상부에서 상기 플라즈마 발생 영역의 하부를 향해 사선 방향으로 공급하기 위해 상기 플라즈마 발생 영역과 연동된 반응가스 공급라인이 하향 경사진 사선 방향으로 형성된 웨이퍼 베벨 에지 식각 장치.
In claim 3,
The reaction gas supply unit is disposed at the top of the groove formed in the plasma reactor, and supplies the reaction gas from the inside of the wafer toward the edge of the wafer in an oblique direction from the top of the plasma generation region to the bottom of the plasma generation region. A wafer bevel edge etching device in which a reaction gas supply line linked to the plasma generation area is formed in a downwardly slanted diagonal direction to supply in a direction.
청구항 3에 있어서, 상기 하나 이상의 플라즈마 반응기는,
상기 플라즈마 발생 영역의 상기 플라즈마 반응기에 형성된 홈의 내측 끝단 하부에 배치되며, RF 전원을 발생시키는 바이어스 전극을 더 포함하는 웨이퍼 베벨 에지 식각 장치.
The method of claim 3, wherein the one or more plasma reactors:
A wafer bevel edge etching device disposed below an inner end of a groove formed in the plasma reactor of the plasma generation area and further comprising a bias electrode that generates RF power.
청구항 3에 있어서,
상기 제1 및 제2 질소 공급부는 상기 반응가스 공급부보다 상기 웨이퍼의 내측으로 더 위치되도록 상기 플라즈마 반응기에 형성된 홈의 상부 및 하부에 각각 배치되고, 상기 반응가스 공급부에서 공급된 반응가스가 상기 웨이퍼의 에지 부분을 제외한 다른 부분에 접촉하지 못하도록 상기 웨이퍼에 질소를 공급하는 웨이퍼 베벨 에지 식각 장치.
In claim 3,
The first and second nitrogen supply units are respectively disposed above and below the groove formed in the plasma reactor so as to be located further inside the wafer than the reaction gas supply unit, and the reaction gas supplied from the reaction gas supply unit is supplied to the wafer. A wafer bevel edge etching device that supplies nitrogen to the wafer to prevent it from contacting parts other than the edge.
청구항 3에 있어서,
상기 활성전극은 13.56MHz, 27.12MHz 및 60MHz 중 어느 하나에 해당하는 RF 전원을 발생하고, 이때, RF 전원 용량은 0.1kW 내지 10kW인 웨이퍼 베벨 에지 식각 장치.
In claim 3,
The active electrode generates RF power corresponding to any one of 13.56 MHz, 27.12 MHz, and 60 MHz, and at this time, the RF power capacity is 0.1 kW to 10 kW.
삭제delete 청구항 1에 있어서,
상기 다수의 샤프트들은 상기 진공로봇에 의해 상기 회전 척 상에 배치된 웨이퍼의 중심에서 각각 편심된 웨이퍼 베벨 에지 식각 장치.
In claim 1,
A wafer bevel edge etching device wherein the plurality of shafts are each eccentric from the center of the wafer placed on the rotating chuck by the vacuum robot.
청구항 10에 있어서,
상기 다수의 샤프트들은 제1 내지 제4 샤프트들을 포함하고,
상기 제4 샤프트는 상기 제1 내지 제3 샤프트들보다 상기 회전 척 상에 배치된 웨이퍼의 중심에서 더 크게 편심된 웨이퍼 베벨 에지 식각 장치.
In claim 10,
The plurality of shafts include first to fourth shafts,
The fourth shaft is eccentric to a greater extent from the center of the wafer placed on the rotary chuck than the first to third shafts.
청구항 10에 있어서,
상기 다수의 샤프트들은 각각 서로 다른 크기의 반경을 갖는 웨이퍼 베벨 에지 식각 장치.
In claim 10,
A wafer bevel edge etching device wherein the plurality of shafts each have radii of different sizes.
청구항 2에 있어서,
상기 하나 이상의 플라즈마 반응기는 네 개의 플라즈마 반응기들을 포함하며,
상기 웨이퍼 이동암은 상기 네 개의 플라즈마 반응기들에 상기 웨이퍼가 삽입되도록 X자 형상으로 형성되고,
상기 정렬 센서는 상기 X자 형상의 중심에 배치되어 상기 회전 척 상에 놓인 웨이퍼의 위치를 감지하는 웨이퍼 베벨 에지 식각 장치.
In claim 2,
The one or more plasma reactors include four plasma reactors,
The wafer moving arm is formed in an X shape to insert the wafer into the four plasma reactors,
The alignment sensor is disposed at the center of the X-shape and detects the position of the wafer placed on the rotating chuck.
청구항 2에 있어서,
상기 정렬 센서는 360도 회전되도록 상기 웨이퍼 이동암에 배치된 웨이퍼 베벨 에지 식각 장치.
In claim 2,
The alignment sensor is a wafer bevel edge etching device disposed on the wafer moving arm so that it rotates 360 degrees.
청구항 1에 있어서, 상기 각 프로세스 챔버는,
상기 하나 이상의 플라즈마 반응기에 상기 웨이퍼가 삽입되어 처리되는 동안 상기 웨이퍼의 위치를 감지하는 위치 센서를 더 포함하는 웨이퍼 베벨 에지 식각 장치.
The method according to claim 1, wherein each process chamber:
A wafer bevel edge etching device further comprising a position sensor that detects the position of the wafer while the wafer is inserted into the one or more plasma reactors and processed.
청구항 5에 있어서,
상기 가스 배기부는 상기 하나 이상의 플라즈마 반응기에 형성된 상기 홈에서 상기 플라즈마 발생 영역의 상기 하부를 향해 사선 방향으로 이격되도록 상기 플라즈마 발생 영역의 하부에 배치된 웨이퍼 베벨 에지 식각 장치.

In claim 5,
A wafer bevel edge etching device wherein the gas exhaust unit is disposed at a lower portion of the plasma generation region so as to be spaced diagonally from the groove formed in the at least one plasma reactor toward the lower portion of the plasma generation region.

KR1020220069621A 2022-06-08 2022-06-08 Wafer bevel edge etching apparatus using local plasma KR102624938B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220069621A KR102624938B1 (en) 2022-06-08 2022-06-08 Wafer bevel edge etching apparatus using local plasma

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020220069621A KR102624938B1 (en) 2022-06-08 2022-06-08 Wafer bevel edge etching apparatus using local plasma

Publications (2)

Publication Number Publication Date
KR20230169523A KR20230169523A (en) 2023-12-18
KR102624938B1 true KR102624938B1 (en) 2024-01-16

Family

ID=89309363

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220069621A KR102624938B1 (en) 2022-06-08 2022-06-08 Wafer bevel edge etching apparatus using local plasma

Country Status (1)

Country Link
KR (1) KR102624938B1 (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007299881A (en) * 2006-04-28 2007-11-15 Matsushita Electric Ind Co Ltd Bevel etching apparatus and bevel etching method

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070041899A (en) * 2005-10-17 2007-04-20 삼성전자주식회사 Wafers transferring apparatus and semiconductor preparing apparatus comprising wafers transferring apparatus
KR101053145B1 (en) * 2008-11-05 2011-08-02 세메스 주식회사 Substrate processing apparatus having a support member and the support member
KR20100079222A (en) 2008-12-31 2010-07-08 주식회사 동부하이텍 Bevel etching apparatus using atmospheric plasma
KR101463961B1 (en) * 2013-02-15 2014-11-26 최대규 Plasma process system

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007299881A (en) * 2006-04-28 2007-11-15 Matsushita Electric Ind Co Ltd Bevel etching apparatus and bevel etching method

Also Published As

Publication number Publication date
KR20230169523A (en) 2023-12-18

Similar Documents

Publication Publication Date Title
US6733594B2 (en) Method and apparatus for reducing He backside faults during wafer processing
US8123901B2 (en) Etching apparatus
TWI520248B (en) A bending correcting device for a semiconductor element substrate and a bending correction method
TW201603098A (en) Grazing angle plasma processing for modifying a substrate surface
US11897005B2 (en) Nozzle, substrate processing apparatus including the same, and substrate processing method
US20160001332A1 (en) Substrate treating apparatus and method
CN112091432A (en) Method and apparatus for processing substrate
CN110858557B (en) Buffer unit and apparatus and method for processing substrate using the same
KR20080111624A (en) Plasma etching apparatus and chamber cleaning method using plasma etching apparatus
KR102624938B1 (en) Wafer bevel edge etching apparatus using local plasma
US20220093446A1 (en) Processing apparatus and processing method
KR102270780B1 (en) Film removing method, substrate processing method, and substrate processing apparatus
US20200350156A1 (en) Substrate treating method, substrate treating apparatus, and substrate treating system
US20230197415A1 (en) Process gas supplying unit and substrate treating apparatus including the same
KR20200015264A (en) Wafer to wafer bonding method and wafer to wafer bonding system
US20240058898A1 (en) Wafer processing method
US20240105478A1 (en) Substrate processing system and maintenance method
KR102397244B1 (en) Semiconductor hybrid etching apparatus and method
JP2014038959A (en) Cleaner using spin table
US20240068921A1 (en) Particle monitoring system, particle monitoring method, and monitoring device
JP2023140638A (en) Backside processing device, plasma processing system and substrate processing method
KR20230071649A (en) Substrate treating apparatus and method thereof
KR20220095644A (en) Substrate treating apparatus and method
KR20240034549A (en) A method for processing substrate
KR20230098977A (en) Baffle unit and substrate treating apparatus including the same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant