KR102607828B1 - Monolithic 3d integrated circuit and method of fabricating thereof - Google Patents

Monolithic 3d integrated circuit and method of fabricating thereof Download PDF

Info

Publication number
KR102607828B1
KR102607828B1 KR1020210069226A KR20210069226A KR102607828B1 KR 102607828 B1 KR102607828 B1 KR 102607828B1 KR 1020210069226 A KR1020210069226 A KR 1020210069226A KR 20210069226 A KR20210069226 A KR 20210069226A KR 102607828 B1 KR102607828 B1 KR 102607828B1
Authority
KR
South Korea
Prior art keywords
semiconductor
layer
dielectric layer
dimensional
forming
Prior art date
Application number
KR1020210069226A
Other languages
Korean (ko)
Other versions
KR20220160939A (en
Inventor
허준석
Original Assignee
아주대학교산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아주대학교산학협력단 filed Critical 아주대학교산학협력단
Priority to KR1020210069226A priority Critical patent/KR102607828B1/en
Priority to PCT/KR2021/010943 priority patent/WO2022250201A1/en
Priority to US18/033,132 priority patent/US20230335550A1/en
Publication of KR20220160939A publication Critical patent/KR20220160939A/en
Application granted granted Critical
Publication of KR102607828B1 publication Critical patent/KR102607828B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8258Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using a combination of technologies covered by H01L21/8206, H01L21/8213, H01L21/822, H01L21/8252, H01L21/8254 or H01L21/8256
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/7606Transistor-like structures, e.g. hot electron transistor [HET]; metal base transistor [MBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

본 개시의 기술적 사상에 의한 일 양태에 따르면, 반도체 기판과, 반도체 기판에 형성된 제1 반도체 소자와, 반도체 기판 및 제1 반도체 소자를 덮는 유전체층과, 유전체층 내에 형성되는 배선 구조, 및 유전체층 상에 형성되고, 이차원 반도체 물질을 포함하는 씨드층 및 씨드층 상의 결정화된 반도체층을 포함하는 제2 반도체 소자를 포함하는, 모놀리식 3차원 집적 회로가 개시된다.According to an aspect according to the technical idea of the present disclosure, a semiconductor substrate, a first semiconductor element formed on the semiconductor substrate, a dielectric layer covering the semiconductor substrate and the first semiconductor element, a wiring structure formed in the dielectric layer, and a wiring structure formed on the dielectric layer. and a monolithic three-dimensional integrated circuit comprising a seed layer comprising a two-dimensional semiconductor material and a second semiconductor element comprising a crystallized semiconductor layer on the seed layer.

Figure R1020210069226
Figure R1020210069226

Description

모놀리식 3차원 집적 회로 및 이의 제조 방법 {MONOLITHIC 3D INTEGRATED CIRCUIT AND METHOD OF FABRICATING THEREOF}MONOLITHIC 3D INTEGRATED CIRCUIT AND METHOD OF FABRICATING THEREOF}

본 개시(disclosure)의 기술적 사상은 모놀리식 3차원 집적 회로 및 이의 제조 방법에 관한 것이다.The technical idea of the present disclosure relates to a monolithic three-dimensional integrated circuit and a method of manufacturing the same.

반도체 제조 산업은 집적 회로(Integrated Circuit, IC)의 프로세싱 능력 및 전력 소비를 개선하기 위해 계속해서 노력하고 있다. 전통적으로, 이것은 최소 피처 사이즈를 축소시킴으로써 달성되었다. 그러나, 최근에는 공정적 한계로 최소 피처 사이즈를 계속해서 줄이는 것이 어려워지고 있다. 이에, 다수의 소자층을 3차원(3D) IC로 적층하는 기술이 IC의 프로세싱 능력 및 전력 소비를 개선하기 위한 접근법으로 대두되고 있다. The semiconductor manufacturing industry continues to strive to improve the processing capabilities and power consumption of integrated circuits (ICs). Traditionally, this has been achieved by reducing the minimum feature size. However, recently, it has become difficult to continuously reduce the minimum feature size due to process limitations. Accordingly, technology for stacking multiple device layers into a three-dimensional (3D) IC is emerging as an approach to improve the processing ability and power consumption of the IC.

3D IC 기술로는 각 스택 대상에 대해 독립적으로 FEOL(Front-End-Of-Line) 공정(또는 전 공정)을 마친 후 집적하고 관통 실리콘 비아(Through Silicon Via, TSV)를 통해 상호 전기적으로 연결하는 멀티리식(multilithic) 기술과, 순차적으로 다수의 스택 대상들을 단일의 반도체 기판(예, 웨이퍼) 상에 직접 형성하는 모놀리식(monolithic) 기술이 있다. With 3D IC technology, each stack object is independently integrated after completing the FEOL (Front-End-Of-Line) process (or the entire process) and electrically connected to each other through a through silicon via (TSV). There are multilithic technology and monolithic technology, which sequentially forms multiple stack objects directly on a single semiconductor substrate (eg, wafer).

멀티리식 기술의 경우 각 층의 반도체 공정이 독립적으로 진행되기 때문에 공정 제약이 없다는 장점이 있지만 수직 배선 밀도가 낮고 웨이퍼 본딩으로 인한 경박화의 한계 등과 같은 단점이 있다. 이로 인해, 이상적인 수직 배선 밀도를 구현할 수 있고, 배선의 길이를 줄일 수 있으며, 얇은 층간 유전체층(Inter Layer Dielectric, ILD)을 사용하여 경박화가 가능한 모놀리식 기술에 대한 연구와 투자가 활발히 이루어지고 있다.In the case of multilithic technology, the semiconductor process of each layer is carried out independently, so there are no process restrictions, but it has disadvantages such as low vertical wiring density and limitations in thinness due to wafer bonding. Due to this, research and investment are being actively conducted on monolithic technology that can realize ideal vertical wiring density, reduce the length of wiring, and enable lightening by using a thin inter layer dielectric (ILD). .

그러나, 모놀리식 기술은 단일의 웨이퍼 상에 소자들과 배선 구조를 형성한 후 그 상부에 다른 소자들을 적층, 형성하는 과정에서 요구되는 고온 공정 조건으로 인한 한계가 있다. 예를 들어, 고온 공정 조건 하에서 상부 소자들을 형성함에 따라 고성능 Si CMOS와 같은 하부 소자의 특성과 신뢰성이 저하되는 문제가 있다. 나아가, 상호 접속 금속 배선들로 사용 가능한 소재의 제약과, 특성 저하 문제도 있다.However, monolithic technology has limitations due to the high temperature process conditions required in the process of forming devices and wiring structures on a single wafer and then stacking and forming other devices on top. For example, as upper devices are formed under high-temperature process conditions, there is a problem that the characteristics and reliability of lower devices, such as high-performance Si CMOS, are deteriorated. Furthermore, there are limitations on the materials that can be used for interconnection metal wires and problems with deterioration in properties.

대안으로 저온에서 상부 스택 집적이 가능한 반도체 물질로 결정질 실리콘계, 저온 산화물 반도체를 사용하는 방안 등이 제시되고 있다. 그러나, 이들은 전하 이동도의 한계 등에 기인한 성능 저하를 수반하기 때문에, 3D IC를 통해 달성하고자 하는 고성능화, 에너지 효율 개선의 근본적인 목적은 해결하지 못한다.As an alternative, methods such as using crystalline silicon-based or low-temperature oxide semiconductors as semiconductor materials capable of upper stack integration at low temperatures have been proposed. However, since these are accompanied by performance degradation due to limitations in charge mobility, etc., they do not solve the fundamental goals of improving performance and energy efficiency that are sought to be achieved through 3D ICs.

본 개시의 기술적 사상이 이루고자 하는 기술적 과제는, 낮은 열 버짓(thermal budget) 하에서도 사용 가능하며 결정질 실리콘의 전하 이동 특성 한계를 뛰어 넘을 수 있는 소재로 고이동도 반도체 소자를 형성 및 집적하여 모놀리식 기술의 한계를 극복할 수 있는 3차원 집적 회로 및 이의 제조 방법을 제공하는데 있다.The technical problem to be achieved by the technical idea of the present disclosure is to form and integrate a high-mobility semiconductor device using a material that can be used even under a low thermal budget and overcome the limitations of the charge transfer characteristics of crystalline silicon, thereby forming a monolithic device. The aim is to provide a three-dimensional integrated circuit and a manufacturing method thereof that can overcome the limitations of electronic technology.

본 개시의 기술적 사상이 이루고자 하는 기술적 과제는 이상에서 언급한 과제로 제한되지 않으며, 언급되지 않은 또 다른 과제는 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.The technical problem to be achieved by the technical idea of the present disclosure is not limited to the problems mentioned above, and other problems not mentioned will be clearly understood by those skilled in the art from the description below.

상기와 같은 목적을 달성하기 위하여, 본 개시의 기술적 사상에 의한 일 양태(aspect)에 따르면, 반도체 기판; 상기 반도체 기판에 형성된 제1 반도체 소자; 상기 반도체 기판 및 상기 제1 반도체 소자를 덮는 유전체층; 상기 유전체층 내에 형성되는 배선 구조; 및 상기 유전체층 상에 형성되고, 이차원 반도체 물질을 포함하는 씨드층 및 상기 씨드층 상의 결정화된 반도체층을 포함하는 제2 반도체 소자;를 포함하는, 모놀리식 3차원 집적 회로가 개시된다.In order to achieve the above object, according to an aspect according to the technical idea of the present disclosure, a semiconductor substrate; a first semiconductor device formed on the semiconductor substrate; a dielectric layer covering the semiconductor substrate and the first semiconductor device; a wiring structure formed within the dielectric layer; and a second semiconductor element formed on the dielectric layer and including a seed layer including a two-dimensional semiconductor material and a crystallized semiconductor layer on the seed layer. A monolithic three-dimensional integrated circuit comprising a.

예시적인 실시예에 따르면, 상기 이차원 반도체 물질은, 금속 칼코게나이드계 물질, 탄소 함유 물질 및 산화물 반도체 물질 중 적어도 하나를 포함할 수 있다.According to an exemplary embodiment, the two-dimensional semiconductor material may include at least one of a metal chalcogenide-based material, a carbon-containing material, and an oxide semiconductor material.

예시적인 실시예에 따르면, 상기 반도체층은, 상기 반도체 기판을 이루는 반도체 물질보다 높은 전하 이동도를 갖는 반도체 물질을 포함할 수 있다.According to an exemplary embodiment, the semiconductor layer may include a semiconductor material having a higher charge mobility than the semiconductor material forming the semiconductor substrate.

예시적인 실시예에 따르면, 상기 반도체층은, 게르마늄, 상기 게르마늄을 포함하는 화합물 반도체 물질, 및 칼코겐 물질 중 적어도 하나를 포함할 수 있다.According to an exemplary embodiment, the semiconductor layer may include at least one of germanium, a compound semiconductor material including germanium, and a chalcogen material.

예시적인 실시예에 따르면, 상기 반도체 기판은, 실리콘을 포함할 수 있다.According to an exemplary embodiment, the semiconductor substrate may include silicon.

본 개시의 기술적 사상에 의한 다른 양태에 따르면, 반도체 기판에 제1 반도체 소자를 형성하는 단계; 상기 반도체 기판 및 상기 제1 반도체 소자를 덮는 유전체층과 상기 유전체층 내의 배선 구조를 형성하는 단계; 상기 유전체층 상에 이차원 반도체 물질을 포함하는 씨드 구조를 형성하는 단계; 상기 씨드 구조 상에 결정화된 반도체층을 형성하는 단계;를 포함하는 모놀리식 3차원 집적 회로의 제조 방법이 개시된다.According to another aspect according to the technical spirit of the present disclosure, forming a first semiconductor device on a semiconductor substrate; forming a dielectric layer covering the semiconductor substrate and the first semiconductor device and a wiring structure within the dielectric layer; forming a seed structure including a two-dimensional semiconductor material on the dielectric layer; A method of manufacturing a monolithic three-dimensional integrated circuit is disclosed, including forming a crystallized semiconductor layer on the seed structure.

예시적인 실시예에 따르면, 상기 씨드 구조를 형성하는 단계는, 성장 기판 상에 형성된 상기 씨드 구조를 상기 유전체층 상에 전사하거나 상기 유전체층 상에 상기 씨드 구조를 직접 형성할 수 있다.According to an exemplary embodiment, the step of forming the seed structure may include transferring the seed structure formed on the growth substrate onto the dielectric layer or directly forming the seed structure on the dielectric layer.

예시적인 실시예에 따르면, 상기 씨드 구조는, 상기 이차원 반도체 물질로 이루어진 복수의 아일랜드형 구조물들을 포함하거나 상기 이차원 반도체 물질로 이루어진 필름 형상을 가질 수 있다.According to an exemplary embodiment, the seed structure may include a plurality of island-type structures made of the two-dimensional semiconductor material or may have a film shape made of the two-dimensional semiconductor material.

예시적인 실시예에 따르면, 상기 이차원 반도체 물질은, 금속 칼코게나이드계 물질, 탄소 함유 물질 및 산화물 반도체 물질 중 적어도 하나를 포함할 수 있다.According to an exemplary embodiment, the two-dimensional semiconductor material may include at least one of a metal chalcogenide-based material, a carbon-containing material, and an oxide semiconductor material.

예시적인 실시예에 따르면, 상기 예비 반도체층은, 상기 반도체 기판을 이루는 반도체 물질보다 높은 전하 이동도를 갖는 반도체 물질을 포함할 수 있다. According to an exemplary embodiment, the preliminary semiconductor layer may include a semiconductor material having a higher charge mobility than the semiconductor material forming the semiconductor substrate.

예시적인 실시예에 따르면, 상기 예비 반도체층은, 게르마늄, 상기 게르마늄을 포함하는 화합물 반도체 물질, 및 칼코겐 물질 중 적어도 하나를 포함할 수 있다.According to an exemplary embodiment, the preliminary semiconductor layer may include at least one of germanium, a compound semiconductor material including germanium, and a chalcogen material.

예시적인 실시예에 따르면, 상기 반도체층을 형성하는 단계는, 450℃ 이하의 온도에서 이루어지는 열처리를 통해 실시될 수 있다. According to an exemplary embodiment, forming the semiconductor layer may be performed through heat treatment at a temperature of 450°C or lower.

예시적인 실시예에 따르면, 상기 반도체 기판은, 실리콘을 포함할 수 있다. According to an exemplary embodiment, the semiconductor substrate may include silicon.

본 개시의 기술적 사상에 의한 실시예들에 따르면, BEOL(Back-End-of-Line) 공정(또는 후 공정) 후 저온 환경에서 유전체층 상에 이차원 반도체 물질과 결정질 반도체 물질(예를 들면, 게르마늄(Ge))을 포함하는 고이동도 채널 소재로 반도체 소자를 형성함으로써 모놀리식 3차원 집적 회로를 구현할 수 있다.According to embodiments based on the technical idea of the present disclosure, a two-dimensional semiconductor material and a crystalline semiconductor material (e.g., germanium (e.g., germanium ( By forming a semiconductor device with a high-mobility channel material containing Ge)), a monolithic three-dimensional integrated circuit can be implemented.

이에 따라, 모놀리식 3차원 집적 회로의 하부 고성능 반도체 소자들, 상호 접속 금속 배선 등의 특성, 신뢰성 저하를 방지할 수 있어 성능이 향상될 수 있고, 배선 구조의 간소화, 소형화를 통해 RC 지연 시간 증가와 전력 소모 증가 문제를 개선할 수 있으며, 집적도도 향상시킬 수 있는 효과가 있다.Accordingly, performance can be improved by preventing degradation of the characteristics and reliability of the lower high-performance semiconductor elements and interconnection metal wiring of the monolithic 3D integrated circuit, and RC delay time can be reduced through simplification and miniaturization of the wiring structure. It can improve the problem of increased power consumption and power consumption, and can also improve integration.

또한, 모놀리식 3차원 집적 회로에 요구되는 초미세화 공정을 위해 고가의 극자외선(EUV) 장비를 도입하지 않아도 되므로, 제조 비용을 크게 줄일 수 있다.Additionally, since there is no need to introduce expensive extreme ultraviolet (EUV) equipment for the ultra-miniaturization process required for monolithic 3D integrated circuits, manufacturing costs can be greatly reduced.

본 개시의 기술적 사상에 의한 실시예들이 얻을 수 있는 효과는 이상에서 언급한 효과들로 제한되지 않으며, 언급하지 않은 또 다른 효과들은 아래의 기재로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.The effects that can be achieved by the embodiments according to the technical idea of the present disclosure are not limited to the effects mentioned above, and other effects not mentioned can be obtained by those skilled in the art from the description below. can be clearly understood.

본 개시에서 인용되는 도면을 보다 충분히 이해하기 위하여 각 도면의 간단한 설명이 제공된다.
도 1은 본 개시의 예시적 실시예에 따른 모놀리식 3차원 집적 회로의 일부 실시 형태를 나타내는 단면도이다.
도 2는 도 1의 모놀리식 3차원 집적 회로의 몇몇 더 상세한 실시 형태를 나타내는 단면도이다.
도 3 및 도 4a 내지 도 4g는 본 개시의 예시적 실시예에 따른 모놀리식 3차원 집적 회로의 제조 방법을 설명하기 위한 도면들이다.
In order to more fully understand the drawings cited in this disclosure, a brief description of each drawing is provided.
1 is a cross-sectional view showing some embodiments of a monolithic three-dimensional integrated circuit according to an example embodiment of the present disclosure.
Figure 2 is a cross-sectional view showing some more detailed embodiments of the monolithic three-dimensional integrated circuit of Figure 1;
3 and 4A to 4G are diagrams for explaining a method of manufacturing a monolithic three-dimensional integrated circuit according to an exemplary embodiment of the present disclosure.

본 개시의 기술적 사상에 따른 예시적인 실시예들은 당해 기술 분야에서 통상의 지식을 가진 자에게 본 개시의 기술적 사상을 더욱 완전하게 설명하기 위하여 제공되는 것으로, 아래의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 개시의 기술적 사상의 범위가 아래의 실시예들로 한정되는 것은 아니다. 오히려, 이들 실시예들은 본 개시를 더욱 충실하고 완전하게 하며 당업자에게 본 발명의 기술적 사상을 완전하게 전달하기 위하여 제공되는 것이다.Illustrative embodiments according to the technical idea of the present disclosure are provided to more completely explain the technical idea of the present disclosure to those skilled in the art, and the examples below can be modified into various other forms. may be possible, and the scope of the technical idea of the present disclosure is not limited to the examples below. Rather, these embodiments are provided to make the present disclosure more faithful and complete and to fully convey the technical idea of the present invention to those skilled in the art.

본 개시에서 제1, 제2 등의 용어가 다양한 부재, 영역, 층들, 부위 및/또는 구성 요소들을 설명하기 위하여 사용되지만, 이들 부재, 부품, 영역, 층들, 부위 및/또는 구성 요소들은 이들 용어에 의해 한정되어서는 안 됨은 자명하다. 이들 용어는 특정 순서나 상하, 또는 우열을 의미하지 않으며, 하나의 부재, 영역, 부위, 또는 구성 요소를 다른 부재, 영역, 부위 또는 구성 요소와 구별하기 위하여만 사용된다. 따라서, 이하 상술할 제1 부재, 영역, 부위 또는 구성 요소는 본 개시의 기술적 사상의 가르침으로부터 벗어나지 않고서도 제2 부재, 영역, 부위 또는 구성 요소를 지칭할 수 있다. 예를 들면, 본 개시의 권리 범위로부터 이탈되지 않은 채 제1 구성 요소는 제2 구성 요소로 명명될 수 있고, 유사하게 제2 구성 요소도 제1 구성 요소로 명명될 수 있다.Although the terms first, second, etc. are used in this disclosure to describe various members, regions, layers, portions, and/or components, these members, parts, regions, layers, portions, and/or components are referred to by these terms. It is obvious that it should not be limited by . These terms do not imply any particular order, superiority, inferiority, or superiority or inferiority, and are used only to distinguish one member, region, region, or component from another member, region, region, or component. Accordingly, the first member, region, portion, or component to be described in detail below may refer to the second member, region, portion, or component without departing from the teachings of the technical idea of the present disclosure. For example, a first component may be referred to as a second component without departing from the scope of the present disclosure, and similarly, the second component may also be referred to as a first component.

달리 정의되지 않는 한, 여기에 사용되는 모든 용어들은 기술 용어와 과학 용어를 포함하여 본 개시의 개념이 속하는 기술 분야에서 통상의 지식을 가진 자가 공통적으로 이해하고 있는 바와 동일한 의미를 지닌다. 또한, 통상적으로 사용되는, 사전에 정의된 바와 같은 용어들은 관련되는 기술의 맥락에서 이들이 의미하는 바와 일관되는 의미를 갖는 것으로 해석되어야 하며, 여기에 명시적으로 정의하지 않는 한 과도하게 형식적인 의미로 해석되어서는 아니 될 것이다.Unless otherwise defined, all terms used herein, including technical terms and scientific terms, have the same meaning as commonly understood by those skilled in the art in the technical field to which the concept of the present disclosure pertains. Additionally, commonly used terms, as defined in dictionaries, should be interpreted to have meanings consistent with what they mean in the context of the relevant technology, and should not be used in an overly formal sense unless explicitly defined herein. It should not be interpreted.

어떤 실시예가 달리 구현 가능한 경우에 특정한 공정 순서는 설명되는 순서와 다르게 수행될 수도 있다. 예를 들면, 연속하여 설명되는 두 공정이 실질적으로 동시에 수행될 수도 있고, 설명되는 순서와 반대의 순서로 수행될 수도 있다.In cases where an embodiment can be implemented differently, a specific process sequence may be performed differently from the described sequence. For example, two processes described in succession may be performed substantially at the same time, or may be performed in an order opposite to the order in which they are described.

첨부한 도면에 있어서, 예를 들면, 제조 기술 및/또는 공차에 따라, 도시된 형상의 변형들이 예상될 수 있다. 따라서, 본 개시의 기술적 사상에 의한 실시예들은 본 개시에 도시된 영역의 특정 형상에 제한된 것으로 해석되어서는 아니되며, 예를 들면, 제조 과정에서 초래되는 형상의 변화를 포함하여야 한다. 도면 상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다.In the accompanying drawings, variations of the depicted shape may be expected, depending, for example, on manufacturing techniques and/or tolerances. Accordingly, embodiments based on the technical spirit of the present disclosure should not be construed as being limited to the specific shape of the area shown in the present disclosure, but should include, for example, changes in shape that occur during the manufacturing process. The same reference numerals are used for the same components in the drawings, and duplicate descriptions thereof are omitted.

여기에서 사용된 '및/또는' 용어는 언급된 부재들의 각각 및 하나 이상의 모든 조합을 포함한다.As used herein, the term 'and/or' includes each and every combination of one or more of the mentioned elements.

이하, 첨부한 도면들을 참조하여 본 개시의 기술적 사상에 의한 실시예들에 대해 더 상세히 설명한다.Hereinafter, embodiments based on the technical idea of the present disclosure will be described in more detail with reference to the attached drawings.

도 1은 본 개시의 예시적 실시예에 따른 모놀리식 3차원 집적 회로(10)의 일부 실시 형태를 나타내는 단면도이고, 도 2는 도 1의 모놀리식 3차원 집적 회로(10)의 몇몇 더 상세한 실시 형태를 나타내는 단면도로 상부와 하부에 CMOS 소자들이 집적된 실시 형태를 예시적으로 나타낸다.1 is a cross-sectional view illustrating some embodiments of a monolithic three-dimensional integrated circuit 10 according to an example embodiment of the present disclosure, and FIG. 2 is a cross-sectional view illustrating some further embodiments of the monolithic three-dimensional integrated circuit 10 of FIG. 1. A cross-sectional view showing a detailed embodiment, exemplarily showing an embodiment in which CMOS elements are integrated at the top and bottom.

도 1 및 도 2를 참조하면, 모놀리식 3차원 집적 회로(10)는 FEOL 구조(S1, 이하 제1 구조라 칭함), 제1 구조(S1) 상의 BEOL 구조(이하, 제2 구조라 칭함), 및 제2 구조(S2) 상의 스택 구조(S3, 이하 제3 구조라 칭함)를 포함한다.1 and 2, the monolithic three-dimensional integrated circuit 10 includes a FEOL structure (S1, hereinafter referred to as the first structure), a BEOL structure on the first structure (S1) (hereinafter referred to as the second structure), and a stack structure (S3, hereinafter referred to as the third structure) on the second structure (S2).

제1 구조(S1)는 반도체 기판(110)과 제1 소자부(120)를 포함할 수 있다.The first structure S1 may include a semiconductor substrate 110 and a first device portion 120.

반도체 기판(110)은 실리콘 기판일 수 있다. 이와 달리, 반도체 기판(110)은 실리콘게르마늄 기판, 게르마늄 기판, SGOI(silicon germanium on insulator), SOI(silicon-on-insulator), GOI(Germanium-On-Insulator) 중 하나일 수 있다. 또는, 반도체 기판(110)은 안티몬화 인듐, 납 텔루르 화합물, 인듐 비소, 인듐 인화물, 갈륨 비소 또는 안티몬화 갈륨 등과 같은 반도체 물질을 포함할 수 있다. 한편, 반도체 기판(110)은 도전 영역, 예를 들면, 불순물이 도핑된 웰(well), 또는 불순물이 도핑된 구조물을 포함할 수 있고, 또한, 반도체 기판(110)은 STI(shallow trench isolation) 구조와 같은 다양한 소자 분리 구조를 가질 수 있다(도 2 참조).The semiconductor substrate 110 may be a silicon substrate. Alternatively, the semiconductor substrate 110 may be one of a silicon germanium substrate, a germanium substrate, silicon germanium on insulator (SGOI), silicon-on-insulator (SOI), and germanium-on-insulator (GOI). Alternatively, the semiconductor substrate 110 may include a semiconductor material such as indium antimonide, lead tellurium compound, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide. Meanwhile, the semiconductor substrate 110 may include a conductive region, for example, a well doped with an impurity, or a structure doped with an impurity. Additionally, the semiconductor substrate 110 may include shallow trench isolation (STI). It can have various device isolation structures such as the structure (see FIG. 2).

제1 소자부(120)는 반도체 기판(110) 위에 그리고 그 내부에 배치될 수 있다. The first device portion 120 may be disposed on and within the semiconductor substrate 110 .

제1 소자부(120)는, 예를 들면, 트랜지스터, 메모리 셀, 픽셀 센서, 기타 유형의 반도체 소자, 또는 이들의 조합과 같은 복수의 제1 반도체 소자들을 포함할 수 있다.The first device unit 120 may include a plurality of first semiconductor devices, such as transistors, memory cells, pixel sensors, other types of semiconductor devices, or combinations thereof.

도 2에 도시된 제1 소자부(120)의 일 실시 형태를 더 자세히 설명하면, 제1 소자부(120)는 반도체 기판(110)에 NMOS 트랜지스터(123)와 PMOS 트랜지스터(125)가 상보적으로 동작하도록 형성된 CMOS(complementary metal oxide semiconductor) 소자를 포함할 수 있다. To describe an embodiment of the first device unit 120 shown in FIG. 2 in more detail, the first device unit 120 includes a complementary NMOS transistor 123 and a PMOS transistor 125 on a semiconductor substrate 110. It may include a complementary metal oxide semiconductor (CMOS) device formed to operate.

상기 CMOS 소자는, P형 실리콘으로 이루어진 반도체 기판(110) 내에 형성되는 N형 웰(well)(121), 소자 분리막(122)들에 의해 정의되는 N형 웰(121) 내의 활성 영역에 형성되는 PMOS 트랜지스터(125), N형 웰(121) 외의 활성 영역에 형성되는 NMOS 트랜지스터(123)를 포함할 수 있다.The CMOS device is formed in an N-type well 121 formed in a semiconductor substrate 110 made of P-type silicon, and in an active area in the N-type well 121 defined by device isolation films 122. It may include an NMOS transistor 123 formed in an active area other than the PMOS transistor 125 and the N-type well 121.

NMOS 트랜지스터(123)는 반도체 기판(110) 내에 고농도 N형 불순물이 도핑된 소스/드레인 영역(123a), 소스/드레인 영역(123a) 사이의 반도체 기판(110) 상에 순차 형성된 게이트 유전체층(123b), 및 게이트 전극(123c)을 포함할 수 있다. PMOS 트랜지스터(125)는 NMOS 트랜지스터(123)와 대응되는 구성을 가질 수 있다.The NMOS transistor 123 includes a source/drain region 123a doped with high concentration N-type impurities in the semiconductor substrate 110, and a gate dielectric layer 123b sequentially formed on the semiconductor substrate 110 between the source/drain regions 123a. , and a gate electrode 123c. The PMOS transistor 125 may have a configuration corresponding to the NMOS transistor 123.

제2 구조(S2)는 제1 소자부(120)의 상기 제1 반도체 소자들 상호 간을 전기적으로 연결하기 위한 제1 배선 구조(130)와, 반도체 기판(110)과 제1 소자부(120)를 덮고 제1 배선 구조(130)를 상호 절연시키기 위한 제1 유전체층(140)을 포함할 수 있다.The second structure (S2) includes a first wiring structure 130 for electrically connecting the first semiconductor elements of the first element part 120, the semiconductor substrate 110 and the first element part 120. ) may include a first dielectric layer 140 to cover and insulate the first wiring structure 130 from each other.

제1 배선 구조(130)는, 수평 방향(x 방향)으로 연장되는 배선층(130a)과, 배선층(130a)과 제1 소자부(120)의 상기 제1 반도체 소자들을 연결하며 수직 방향(y 방향)으로 연장되는 콘택 플러그(130b)를 포함할 수 있다. 편의상, 제1 배선 구조(130)의 일부에만 도면 부호를 표시하였다. 배선층(130a) 및 콘택 플러그(130b)는, 예를 들면, 알루미늄 구리, 구리, 텅스텐, 기타 금속, 또는 이들의 조합과 같은 전도성 물질을 포함할 수 있다. 실시예에 따라서, 배선층(130a) 및 콘택 플러그(130b)는, 금속층과 상기 금속층의 표면을 포위하는 도전성 배리어막을 포함할 수 있다. 예를 들면, 상기 금속층은 구리, 텅스텐, 탄탈륨, 티타늄, 코발트, 망간, 알루미늄, 및 이들의 조합으로 이루어질 수 있고, 상기 도전성 배리어막은 탄탈륨, 티타늄, 탄탈륨 질화물, 티타늄 질화물, 알루미늄 질화물, 텅스텐 질화물, 또는 이들의 조합으로 이루어질 수 있다. 한편, 제1 배선 구조(130)에서 수직 방향(y 방향)을 따라 차례로 적층되는 배선층(130a)의 적층 수는 특별히 제한되지 않고 다양하게 선택될 수 있다.The first wiring structure 130 connects the wiring layer 130a extending in the horizontal direction (x direction), the wiring layer 130a and the first semiconductor elements of the first device portion 120, and connects the wiring layer 130a to the first semiconductor devices of the first device portion 120 and connects the wiring layer 130a extending in the horizontal direction (x direction) to the first semiconductor devices in the vertical direction (y direction). ) may include a contact plug 130b extending to . For convenience, reference numerals are indicated only on a portion of the first wiring structure 130. The wiring layer 130a and the contact plug 130b may include, for example, a conductive material such as aluminum, copper, copper, tungsten, other metals, or a combination thereof. Depending on the embodiment, the wiring layer 130a and the contact plug 130b may include a metal layer and a conductive barrier film surrounding the surface of the metal layer. For example, the metal layer may be made of copper, tungsten, tantalum, titanium, cobalt, manganese, aluminum, and combinations thereof, and the conductive barrier film may be made of tantalum, titanium, tantalum nitride, titanium nitride, aluminum nitride, tungsten nitride, Or it may be a combination of these. Meanwhile, in the first wiring structure 130, the number of wiring layers 130a sequentially stacked along the vertical direction (y direction) is not particularly limited and can be selected in various ways.

제1 유전체층(140)은 절연 물질, 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 산화물보다 유전 상수가 작은 저유전율(low-k) 물질 중 적어도 하나를 포함할 수 있다. 그러나, 이에 제한되는 것은 아니며, 제1 유전체층(140)은 알루미늄 산화물, 하프늄 산화물과 같은 금속 산화물, 또는 금속 산질화물 등을 포함할 수 있다. 제1 유전체층(140)은 층간 절연막, 금속 층간 절연막이라 칭할 수도 있다. 그리고 도 1 및 도 2에서는 편의상 제1 유전체층(140)이 단일층인 것으로 도시하였으나, 이에 한정되는 것은 아니며, 제1 유전체층(140)은 다중층으로 구성될 수 있다.The first dielectric layer 140 may include at least one of an insulating material, for example, silicon oxide, silicon nitride, silicon oxynitride, and a low-k material with a dielectric constant smaller than silicon oxide. However, it is not limited thereto, and the first dielectric layer 140 may include a metal oxide such as aluminum oxide or hafnium oxide, or a metal oxynitride. The first dielectric layer 140 may also be called an interlayer insulating film or an interlayer insulating film. In FIGS. 1 and 2 , the first dielectric layer 140 is shown as a single layer for convenience, but the present invention is not limited thereto, and the first dielectric layer 140 may be composed of multiple layers.

제3 구조(S3)는, 제2 소자부(150)와, 제2 소자부(150)의 제2 반도체 소자들 상호 간 및/또는 상기 제2 반도체 소자들과 제1 소자부(120)의 상기 제1 반도체 소자들을 전기적으로 연결하기 위한 제2 배선 구조(160), 제2 소자부(150)를 덮고 제2 배선 구조(160)를 상호 절연시키기 위한 제2 유전체층(170)을 포함할 수 있다.The third structure (S3) is between the second device portion 150 and the second semiconductor devices of the second device portion 150 and/or between the second semiconductor devices and the first device portion 120. It may include a second wiring structure 160 for electrically connecting the first semiconductor devices, and a second dielectric layer 170 for covering the second device portion 150 and insulating the second wiring structure 160 from each other. there is.

제2 소자부(150)는, 제1 유전체층(140) 상에 배치될 수 있다. The second element unit 150 may be disposed on the first dielectric layer 140.

제2 소자부(150)도, 제1 소자부(120)의 상기 제1 반도체 소자들과 유사하게, 트랜지스터, 메모리 셀, 픽셀 센서, 기타 유형의 반도체 소자, 또는 이들의 조합과 같은 복수의 제2 반도체 소자들을 포함할 수 있다. Similar to the first semiconductor elements of the first element unit 120, the second element unit 150 also includes a plurality of semiconductor elements such as transistors, memory cells, pixel sensors, other types of semiconductor elements, or combinations thereof. 2 May include semiconductor devices.

다만, 제2 소자부(150)의 상기 제2 반도체 소자들은 제1 및 제2 구조(S1, S2)의 손상 없이 저온, 예를 들면, 대략 450℃ 이하의 온도에서 제조 가능하고, 상기 제1 반도체 소자들 대비 높은 전하 이동도를 가지는 소재로 이루어질 수 있다. However, the second semiconductor devices of the second device portion 150 can be manufactured at low temperatures, for example, at a temperature of approximately 450° C. or lower, without damaging the first and second structures (S1 and S2), and the first semiconductor devices It can be made of a material with high charge mobility compared to semiconductor devices.

이를 위해, 본 개시의 기술적 사상에 의하면, 상기 제2 반도체 소자들은, 씨드층과 상기 씨드층 상에서 결정화된 반도체층을 포함할 수 있다. To this end, according to the technical idea of the present disclosure, the second semiconductor devices may include a seed layer and a semiconductor layer crystallized on the seed layer.

상기 씨드층은, 통상 비정질 특성을 갖는 제1 유전체층(140) 상에서 상기 반도체층을 성장시키는 경우 대비 상기 반도체층의 결정성 향상에 직간접적으로 도움을 주는 층일 수 있다. 예를 들면, 상기 씨드층은 상기 반도체층의 결정 방향을 결정할 수 있고, 상기 반도체층이 상기 결정된 결정 방향으로 성장되도록 할 수 있다. 그러나, 이에 제한되는 것은 아니며, 상기 씨드층은 상기 반도체층에 아무런 힘을 가하지 않고 상기 반도체층의 결정 방향이 스스로 결정되어 성장되도록 할 수 있다.The seed layer may be a layer that directly or indirectly helps improve the crystallinity of the semiconductor layer compared to the case of growing the semiconductor layer on the first dielectric layer 140, which usually has amorphous characteristics. For example, the seed layer can determine the crystal direction of the semiconductor layer and allow the semiconductor layer to grow in the determined crystal direction. However, the present invention is not limited to this, and the seed layer may grow by determining the crystal direction of the semiconductor layer on its own without applying any force to the semiconductor layer.

상기 씨드층은, 이차원 반도체 물질, 예를 들면, 금속 칼코게나이드계 물질(metal chalcogenide based material), 탄소 함유 물질, 산화물 반도체 물질 중 적어도 하나를 포함할 수 있다.The seed layer may include at least one of a two-dimensional semiconductor material, for example, a metal chalcogenide based material, a carbon-containing material, or an oxide semiconductor material.

상기 금속 칼코게나이드계 물질은, 전이 금속(transition metal)과 칼코겐(chalcogen) 물질을 포함하는 TMDC(transition metal dichalcogenide) 물질일 수 있다. 상기 전이 금속은 Mo, W, Nb, V, Ta, Ti, Zr, Hf, Tc, Re 중 적어도 하나일 수 있으며, 상기 칼코겐 물질은 S, Se, Te 중 적어도 하나일 수 있다.The metal chalcogenide-based material may be a transition metal dichalcogenide (TMDC) material containing a transition metal and a chalcogen material. The transition metal may be at least one of Mo, W, Nb, V, Ta, Ti, Zr, Hf, Tc, and Re, and the chalcogen material may be at least one of S, Se, and Te.

상기 금속 칼코게나이드계 물질은, 비전이 금속(non-transition metal)을 포함하는 금속 칼코게나이드 물질을 포함하여 형성될 수 있으며, 상기 비전이금속은, 예를 들어, Ga, In, Sn, Ge, Pb 등일 수 있다.The metal chalcogenide-based material may be formed including a metal chalcogenide material containing a non-transition metal, and the non-transition metal may be, for example, Ga, In, Sn, It may be Ge, Pb, etc.

상기 탄소 함유 물질은, 그래핀(graphene)과 같은 탄소 함유 물질일 수 있으며, 상기 씨드층이 그래핀을 포함하는 경우 그래핀은 적어도 하나 이상이 포함될 수 있다.The carbon-containing material may be a carbon-containing material such as graphene, and when the seed layer includes graphene, at least one graphene may be included.

상기 산화물 반도체 물질은, Ga 산화물 반도체, Zn 산화물 반도체, 또는 In 산화물 반도체를 포함하는 물질일 수 있다.The oxide semiconductor material may be a material containing a Ga oxide semiconductor, a Zn oxide semiconductor, or an In oxide semiconductor.

상기 반도체층은, 예를 들면, 게르마늄(Ge)을 포함할 수 있다. 실시예에 따라서, 게르마늄(Ge)은 (110) 또는 (111)의 결정 배향(crystal orientation)을 갖는 단결정 게르마늄(Ge)일 수 있다. The semiconductor layer may include, for example, germanium (Ge). Depending on the embodiment, germanium (Ge) may be single crystal germanium (Ge) having a crystal orientation of (110) or (111).

또한, 상기 반도체층은, SiGe, GeSn 등과 같은 IV족 화합물 반도체 물질, S, Se, Te 등과 같은 칼코겐 물질 또는 이들의 조합을 포함할 수 있으며, 이에 제한되는 것은 아니다. Additionally, the semiconductor layer may include, but is not limited to, a group IV compound semiconductor material such as SiGe or GeSn, a chalcogen material such as S, Se, or Te, or a combination thereof.

또한, 상기 반도체층은 P형 또는 N형의 불순물이 도핑될 수 있다.Additionally, the semiconductor layer may be doped with P-type or N-type impurities.

도 2에 도시된 제2 소자부(150)의 일 실시 형태를 더 자세히 설명하면, 제2 소자부(150)는 게르마늄(Ge)을 채널 소재로 갖되, 제1 유전체층(140) 상에서 PMOS 트랜지스터(151)와 NMOS 트랜지스터(153)가 상보적으로 동작하도록 형성된 CMOS 소자를 포함할 수 있다. To describe an embodiment of the second device unit 150 shown in FIG. 2 in more detail, the second device unit 150 has germanium (Ge) as a channel material, and a PMOS transistor ( 151) and the NMOS transistor 153 may include a CMOS device formed to operate complementary.

PMOS 트랜지스터(151)는 제1 유전체층(140) 상의 이차원 반도체 물질 씨드층(151a), 이차원 반도체 물질 씨드층(151a) 상의 P형 게르마늄층(151b), P형 게르마늄층(151b) 상의 소스/드레인(151c), 소스/드레인(151c) 사이의 채널 영역 상부의 게이트 유전체층(151d), 게이트 유전체층(151d) 상의 게이트 전극(151e)을 포함할 수 있다. NMOS 트랜지스터(153)는 PMOS 트랜지스터(151)와 대응되는 구성을 가질 수 있다.The PMOS transistor 151 includes a two-dimensional semiconductor material seed layer 151a on the first dielectric layer 140, a P-type germanium layer 151b on the two-dimensional semiconductor material seed layer 151a, and a source/drain on the P-type germanium layer 151b. It may include (151c), a gate dielectric layer (151d) on the upper part of the channel region between the source/drain (151c), and a gate electrode (151e) on the gate dielectric layer (151d). The NMOS transistor 153 may have a configuration corresponding to the PMOS transistor 151.

한편, 도 2에서는 상기 CMOS 소자를 구성하는 PMOS 트랜지스터(151)와 NMOS 트랜지스터(153)가 플래너(planar) 채널을 갖는 실시 형태를 예시하고 있으나, 이에 한정되는 것은 아니다. PMOS 트랜지스터(151), NMOS 트랜지스터(153) 중 적어도 하나는 리세스(recessed) 채널을 가질 수도 있다.Meanwhile, FIG. 2 illustrates an embodiment in which the PMOS transistor 151 and the NMOS transistor 153 constituting the CMOS device have planar channels, but is not limited thereto. At least one of the PMOS transistor 151 and the NMOS transistor 153 may have a recessed channel.

제2 배선 구조(160)는, 제1 배선 구조(130)와 유사하게, 배선층(160a)과, 배선층(160a)과 제2 소자부(150)의 상기 제2 반도체 소자들을 연결하는 콘택 플러그(160b)를 포함할 수 있다. 제2 배선 구조(160)는 제1 및 제2 유전체층(140, 170)을 수직 방향(y 방향)을 따라 관통하여 배선층(160a)과 제1 배선 구조(130)의 배선층(130b)을 전기적으로 연결하는 관통 비아(160c)를 더 포함할 수 있다. 편의상, 제2 배선 구조(160)의 일부에만 도면 부호를 표시하였으며, 배선층(160a)과 콘택 플러그(160b), 그리고 관통 비아(160c)를 이루는 물질과 구조는 앞서 배선층(130a)과 콘택 플러그(130b)를 설명하면서 예시한 물질, 구조와 유사할 수 있다. The second wiring structure 160, similar to the first wiring structure 130, is a contact plug ( 160b) may be included. The second wiring structure 160 penetrates the first and second dielectric layers 140 and 170 along the vertical direction (y direction) to electrically connect the wiring layer 160a and the wiring layer 130b of the first wiring structure 130. It may further include a connecting through via (160c). For convenience, only part of the second wiring structure 160 is indicated with reference numerals, and the materials and structures forming the wiring layer 160a, the contact plug 160b, and the through via 160c are previously described as the wiring layer 130a and the contact plug ( It may be similar to the material and structure exemplified while explaining 130b).

제2 유전체층(170)도, 제1 유전체층(140)과 유사하게, 절연 물질, 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 저유전율 물질, 금속 산화물, 또는 금속 산질화물 중 적어도 하나를 포함할 수 있다. 그리고 편의상 도 1 및 도 2에서 제2 유전체층(170)도 단일층인 것으로 도시하였으나, 이에 한정되는 것은 아니며, 제2 유전체층(170)도 다중층으로 구성될 수 있다.Similar to the first dielectric layer 140, the second dielectric layer 170 also includes at least one of an insulating material, for example, silicon oxide, silicon nitride, silicon oxynitride, low dielectric constant material, metal oxide, or metal oxynitride. It can be included. For convenience, the second dielectric layer 170 is shown as a single layer in FIGS. 1 and 2, but the present invention is not limited thereto, and the second dielectric layer 170 may also be composed of multiple layers.

도 3 및 도 4a 내지 도 4g는 본 개시의 예시적 실시예에 따른 모놀리식 3차원 집적 회로의 제조 방법을 설명하기 위한 도면들이다. 도 4a 내지 도 4g는 도 1 및 도 2에 도시된 모놀리식 3차원 집적 회로(10)의 다양한 제조 공정들 중 일 실시예에 따른 제조 공정의 순서에 따른 단면도들을 나타낸다. 도 3 및 도 4a 내지 도 4g를 설명함에 있어서, 도 1 및 도 2에서와 동일하거나 상응하는 도면 부호는 동일 부재를 나타내며, 이하에서는 설명의 간략화를 위해 중복 설명은 생략한다.3 and 4A to 4G are diagrams for explaining a method of manufacturing a monolithic three-dimensional integrated circuit according to an exemplary embodiment of the present disclosure. FIGS. 4A to 4G show cross-sectional views according to the order of the manufacturing process according to one embodiment of the various manufacturing processes of the monolithic three-dimensional integrated circuit 10 shown in FIGS. 1 and 2. In describing FIGS. 3 and 4A to 4G, the same or corresponding reference numerals as in FIGS. 1 and 2 indicate the same members, and redundant descriptions are omitted below for simplification of explanation.

먼저, 도 3 및 도 4a를 참조하면, 단계 S301에서, 반도체 기판(110)에 제1 반도체 소자들을 형성한다. 반도체 기판(110)은 P형 실리콘 기판일 수 있고, 상기 제1 반도체 소자들은 CMOS 소자를 구성하는 NMOS 트랜지스터(123)와 PMOS 트랜지스터(125)일 수 있다. First, referring to FIGS. 3 and 4A, in step S301, first semiconductor devices are formed on the semiconductor substrate 110. The semiconductor substrate 110 may be a P-type silicon substrate, and the first semiconductor devices may be an NMOS transistor 123 and a PMOS transistor 125 that constitute a CMOS device.

일부 실시 형태에 있어서, 상기 제1 반도체 소자들을 형성하는 공정은, 반도체 기판(110) 전면에 N형 불순물을 주입하여 N형 웰(121)을 형성하는 단계와, N형 웰(121) 내에 복수의 소자 분리막(122)들을 형성하는 단계와, 반도체 기판(110)을 덮는 유전체층을 형성하는 단계와, 후속하여 유전체층을 덮는 전도성층을 형성하는 단계를 포함할 수 있다. 또한, 일부 실시 형태에 있어서, 이 공정은 유전체층과 전도성층을 선택적으로 에칭하여, 반도체 기판(110) 위에 적층된 게이트 유전체층(123b 참조)과 게이트 전극(123c 참조)을 형성하는 단계를 포함할 수 있다. 또, 일부 실시 형태에 있어서, 이 공정은 게이트 유전체층(123b)과 게이트 전극(123c)이 배치되는 반도체 기판(110)에 이온 주입을 선택적으로 수행하여 소스/드레인(123a)을 규정하는 단계를 포함할 수 있다. 또한, 이 공정은 후속하여 반도체 기판(110)을 어닐링하여 이온 주입에 의한 반도체 기판(110)의 결정 격자에 대한 손상을 복구하는 단계를 포함할 수 있다. 일부 실시 형태에 있어서, 어닐링은 예를 들면 약 600, 800, 1000, 또는 1200℃보다 고온에서 그리고/또는 예를 들면, 약 600-1200℃, 약 800-1000℃, 약 750-1200℃, 또는 약 700-1100℃ 사이의 고온에서 행해질 수 있다.In some embodiments, the process of forming the first semiconductor devices includes forming an N-type well 121 by injecting an N-type impurity into the entire surface of the semiconductor substrate 110, and forming a plurality of N-type wells 121 in the N-type well 121. It may include forming device isolation films 122, forming a dielectric layer covering the semiconductor substrate 110, and subsequently forming a conductive layer covering the dielectric layer. Additionally, in some embodiments, the process may include selectively etching the dielectric layer and the conductive layer to form a gate dielectric layer (see 123b) and a gate electrode (see 123c) stacked on the semiconductor substrate 110. there is. Additionally, in some embodiments, the process includes defining the source/drain 123a by selectively performing ion implantation into the semiconductor substrate 110 on which the gate dielectric layer 123b and the gate electrode 123c are disposed. can do. Additionally, this process may include subsequently annealing the semiconductor substrate 110 to repair damage to the crystal lattice of the semiconductor substrate 110 caused by ion implantation. In some embodiments, annealing is performed at a temperature greater than about 600, 800, 1000, or 1200° C., and/or at a temperature greater than about 600-1200° C., about 800-1000° C., about 750-1200° C., or It can be done at high temperatures between about 700-1100°C.

상술한 단계 S301의 수행에 따라 FEOL 구조가 규정될 수 있다.The FEOL structure can be defined according to the performance of step S301 described above.

도 3 및 도 4b를 참조하면, 단계 S303에서, 반도체 기판(110)과 상기 제1 반도체 소자들을 덮는 제1 유전체층(140)과 제1 배선 구조(130)를 형성한다.Referring to FIGS. 3 and 4B , in step S303, a first dielectric layer 140 and a first wiring structure 130 covering the semiconductor substrate 110 and the first semiconductor devices are formed.

일부 실시 형태에 있어서, 제1 유전체층(140)과 제1 배선 구조(130)를 형성하는 공정은, 반도체 기판(110) 위에 서브층을 반복해서 형성하는 단계와, 상기 서브층의 상부 표면 또는 상면에 평탄화를 수행하는 단계와, 상기 서브층을 선택적으로 에칭하여 수평 개구부 및/또는 수직 개구부를 형성하는 단계와, 수평 개구부 및/또는 수직 개구부를 전도성 재료로 충전하여 배선층(130a)과 콘택 플러그(130b)를 형성하는 단계를 포함할 수 있다. 평탄화는 예컨대 화학적 기계 연마(CMP)에 의해 수행될 수 있고, 에칭은 예컨대 포토리소그래피를 이용하여 수행될 수 있다.In some embodiments, the process of forming the first dielectric layer 140 and the first wiring structure 130 includes repeatedly forming a sub-layer on the semiconductor substrate 110, and an upper surface or upper surface of the sub-layer. performing planarization, selectively etching the sub-layer to form horizontal openings and/or vertical openings, and filling the horizontal openings and/or vertical openings with a conductive material to form a wiring layer 130a and a contact plug ( It may include forming 130b). Planarization may be performed, for example, by chemical mechanical polishing (CMP), and etching may be performed, for example, using photolithography.

상술한 단계 S303의 수행에 따라 BEOL 구조가 규정될 수 있다.The BEOL structure can be defined according to the performance of step S303 described above.

도 3 및 도 4c를 참조하면, 단계 S305에서, 제1 유전체층(140) 상에 이차원 반도체 물질을 포함하는 씨드 구조(151ap)와 예비 반도체층(151bp)을 형성한다. 씨드 구조(151ap)와 예비 반도체층(151bp)은 후술되는 제2 반도체 소자가 형성되는 영역 상에 형성될 수 있다. 편의 상, PMOS 트랜지스터(151)를 중심으로 도면 부호를 표시하였다.Referring to FIGS. 3 and 4C , in step S305, a seed structure 151ap including a two-dimensional semiconductor material and a preliminary semiconductor layer 151bp are formed on the first dielectric layer 140. The seed structure 151ap and the preliminary semiconductor layer 151bp may be formed on the area where the second semiconductor device, which will be described later, is formed. For convenience, reference numerals are centered around the PMOS transistor 151.

씨드 구조(151ap)는 복수의 아일랜드 형상의 구조물을 포함할 수 있으며, 예비 반도체층(151bp)도 씨드 구조(151ap)에 대응하는 형상을 가질 수 있다.The seed structure 151ap may include a plurality of island-shaped structures, and the preliminary semiconductor layer 151bp may also have a shape corresponding to the seed structure 151ap.

일부 실시 형태에 있어서, 씨드 구조(151ap)와 예비 반도체층(151bp)을 형성하는 공정은, 제1 유전체층(140) 상에 직접 이차원 반도체 물질층을 형성하거나 별도의 성장 기판(혹은 캐리어 기판) 상에 이차원 반도체 물질층을 형성한 후 기계적, 화학적 박리 공정 등을 통해 제1 유전체층(140) 상으로 전사하는 단계와, 상기 박리 공정 과정을 통해서 또는 패터닝 등을 통해 이차원 반도체 물질층을 복수의 아일랜드 형상의 구조물들을 갖는 씨드 구조(151ap)로 형성하는 단계와, 씨드 구조(151ap) 상에, 예를 들어, 게르마늄을 포함하는 예비 반도체층(151bp)을 형성하는 단계를 포함할 수 있다. 이차원 반도체 물질층과 예비 반도체층(151bp)의 형성은, MOCVD(Metal Organic Chemical Vapor Deposition), MBE(Molecular Beam Epitaxy), CBE(Chemical Beam Epitaxy), HVPE(Hydride Vapor Phase Epitaxy), ALD 공정 등을 이용하여 수행될 수 있다.In some embodiments, the process of forming the seed structure 151ap and the preliminary semiconductor layer 151bp includes forming a two-dimensional semiconductor material layer directly on the first dielectric layer 140 or on a separate growth substrate (or carrier substrate). forming a two-dimensional semiconductor material layer and then transferring it onto the first dielectric layer 140 through a mechanical or chemical peeling process, and forming the two-dimensional semiconductor material layer into a plurality of island shapes through the peeling process or through patterning, etc. It may include forming a seed structure 151ap having structures, and forming a preliminary semiconductor layer 151bp containing, for example, germanium, on the seed structure 151ap. The formation of the two-dimensional semiconductor material layer and the preliminary semiconductor layer (151 bp) involves MOCVD (Metal Organic Chemical Vapor Deposition), MBE (Molecular Beam Epitaxy), CBE (Chemical Beam Epitaxy), HVPE (Hydride Vapor Phase Epitaxy), and ALD processes. It can be performed using

도 3, 도 4d 및 도 4e를 참조하면, 단계 S307에서 씨드 구조(151ap) 상의 예비 반도체층(151bp)을 결정화시켜 반도체층(151b)을 형성한다. 편의 상, PMOS 트랜지스터(151)를 중심으로 도면 부호를 표시하였다.Referring to FIGS. 3, 4D, and 4E, in step S307, the preliminary semiconductor layer (151bp) on the seed structure (151ap) is crystallized to form the semiconductor layer (151b). For convenience, reference numerals are centered around the PMOS transistor 151.

일부 실시 형태에 있어서, 반도체층(151b)을 형성하는 공정은, 씨드 구조(151ap) 및 예비 반도체층(151bp)에 열을 가하여 예비 반도체층(151bp)의 결정립을 최대화함으로써 단결정 게르마늄을 포함하는 반도체층(151b)을 형성하는 단계를 포함할 수 있다. 상기 열처리 공정은, 예를 들면, 약 450℃ 이하의 저온에서 행해질 수 있다. 한편, 상술한 열처리 공정의 수행 시 씨드 구조(151ap)를 이루는 복수의 아일랜드 구조물들이 합쳐져 씨드층(151a)이 형성될 수 있다.In some embodiments, the process of forming the semiconductor layer 151b includes applying heat to the seed structure 151ap and the preliminary semiconductor layer 151bp to maximize the crystal grains of the preliminary semiconductor layer 151bp to form a semiconductor layer containing single crystal germanium. It may include forming the layer 151b. The heat treatment process may be performed, for example, at a low temperature of about 450°C or lower. Meanwhile, when performing the above-described heat treatment process, a plurality of island structures forming the seed structure 151ap may be combined to form the seed layer 151a.

한편, 도 4c 내지 도 4e에 도시된 실시예에서와 달리, 본 개시의 기술적 사상에 의하면, 씨드 구조(151ap)와 예비 반도체층(151bp)은 필름 형상을 가질 수 있다. Meanwhile, unlike the embodiment shown in FIGS. 4C to 4E, according to the technical idea of the present disclosure, the seed structure 151ap and the preliminary semiconductor layer 151bp may have a film shape.

이 경우, 씨드층(151a)과 반도체층(151b)을 형성하는 공정은, 제1 유전체층(140)의 상면을 덮도록 제1 유전체층(140) 상에 이차원 반도체 물질층을 형성(혹은 상술한 바와 같이 별도의 성장 기판 상에 형성한 후 전사)하여 씨드 구조(151ap)를 형성하는 단계와, 대략 450℃ 이하의 저온에서 씨드 구조(151ap)의 상면을 덮도록 게르마늄을 포함하는 예비 반도체층(151bp)을 형성하는 단계와, 후술되는 제2 반도체 소자를 형성하기 위한 영역을 제외한 영역의 씨드 구조(151ap)와 예비 반도체층(151bp)을 선택적으로 제거하여 씨드층(151a)과 반도체층(151b)을 형성하는 단계를 형성될 수 있다. 실시 형태에 따라서는, 결정성 향상을 위해 반도체층(151b)을 형성한 후 대략 450℃ 이하의 저온에서 반도체층(151b)을 어닐링하는 단계를 포함할 수도 있다.In this case, the process of forming the seed layer 151a and the semiconductor layer 151b involves forming a two-dimensional semiconductor material layer on the first dielectric layer 140 to cover the top surface of the first dielectric layer 140 (or as described above). forming a seed structure (151ap) on a separate growth substrate and then transferring it, and forming a preliminary semiconductor layer (151bp) containing germanium to cover the top surface of the seed structure (151ap) at a low temperature of approximately 450°C or lower. ), and selectively removing the seed structure 151ap and the preliminary semiconductor layer 151bp in areas excluding the area for forming the second semiconductor device, which will be described later, to form the seed layer 151a and the semiconductor layer 151b. A step may be formed to form a . Depending on the embodiment, the step of forming the semiconductor layer 151b and then annealing the semiconductor layer 151b at a low temperature of approximately 450° C. or lower to improve crystallinity may be included.

본 개시의 기술적 사상에 의하면, 모놀리식 3차원 집적 회로(10)를 제조함에 있어서, 하부의 실리콘 기반의 제1 반도체 소자들 및/또는 제1 배선 구조(130)의 특성과 신뢰성이 저하되지 않는 저온 환경에서, BEOL 구조의 제1 유전체층(140) 상에 실리콘 대비 높은 전하 이동도를 갖는 반도체 물질, 예를 들어, 게르마늄을 포함하는 반도체층을 형성할 수 있다. 이에 따라, 기존 모놀리식 기술의 집적도 한계를 극복하고 높은 성능과 에너지 효율을 보장할 수 있게 된다.According to the technical idea of the present disclosure, when manufacturing the monolithic three-dimensional integrated circuit 10, the characteristics and reliability of the underlying silicon-based first semiconductor elements and/or first wiring structure 130 are not deteriorated. In a low-temperature environment, a semiconductor layer containing a semiconductor material having a higher charge mobility compared to silicon, for example, germanium, may be formed on the first dielectric layer 140 of the BEOL structure. Accordingly, it is possible to overcome the integration limitations of existing monolithic technologies and ensure high performance and energy efficiency.

도 3 및 도 4f를 참조하면, 단계 S309에서, 반도체층(151b)을 기초로 제2 반도체 소자들을 형성한다. 상기 제2 반도체 소자들은 CMOS 소자를 구성하는 PMOS 트랜지스터(151)와 NMOS 트랜지스터(153)일 수 있다. 편의 상, PMOS 트랜지스터(151)를 중심으로 도면 부호를 표시하였다.Referring to FIGS. 3 and 4F , in step S309, second semiconductor devices are formed based on the semiconductor layer 151b. The second semiconductor devices may be a PMOS transistor 151 and an NMOS transistor 153 that constitute a CMOS device. For convenience, reference numerals are centered around the PMOS transistor 151.

일부 실시 형태에 있어서, 상기 제2 반도체 소자들을 형성하는 공정은, 패터닝을 통해 반도체층(151b) 상에 소스/드레인(151c)을 형성하는 단계와, 소스/드레인(151c) 사이의 채널 영역 상부에 게이트 유전체층(151d)을 형성하는 단계와, 패터닝을 통해 게이트 유전체층(151d) 상에 게이트 전극(151e)을 형성하는 단계를 포함할 수 있다.In some embodiments, the process of forming the second semiconductor devices includes forming a source/drain 151c on a semiconductor layer 151b through patterning, and forming an upper channel region between the source/drain 151c. It may include forming a gate dielectric layer 151d and forming a gate electrode 151e on the gate dielectric layer 151d through patterning.

도 3 및 도 4g를 참조하면, 단계 S310에서 제1 유전체층(140)과 상기 제2 반도체 소자들을 덮는 제2 유전체층(170)과 제2 배선 구조(160)를 형성한다.Referring to FIGS. 3 and 4G , in step S310, a second dielectric layer 170 and a second wiring structure 160 covering the first dielectric layer 140 and the second semiconductor elements are formed.

제2 유전체층(170)과 제2 배선 구조(160)를 형성하는 공정은 도 3 및 도 4b를 참조하여 설명한 단계 S303의 제1 유전체층(140)과 제1 배선 구조(130)를 형성하는 공정과 유사하므로, 상세한 설명은 생략한다.The process of forming the second dielectric layer 170 and the second wiring structure 160 includes the process of forming the first dielectric layer 140 and the first wiring structure 130 in step S303 described with reference to FIGS. 3 and 4B. Because they are similar, detailed descriptions are omitted.

상기한 실시예들의 설명은 본 개시의 더욱 철저한 이해를 위하여 도면을 참조로 예를 든 것들에 불과하므로, 본 개시의 기술적 사상을 한정하는 의미로 해석되어서는 안될 것이다. The description of the above-described embodiments is merely an example with reference to the drawings for a more thorough understanding of the present disclosure, and should not be construed as limiting the technical idea of the present disclosure.

또한, 본 개시가 속하는 기술 분야에서 통상의 지식을 가진 자에게 있어 본 개시의 기본적 원리를 벗어나지 않는 범위 내에서 다양한 변화와 변경이 가능함은 명백하다 할 것이다.In addition, it will be clear to those skilled in the art to which this disclosure pertains that various changes and modifications can be made without departing from the basic principles of the present disclosure.

10: 모놀리식 3차원 집적 회로;
110: 반도체 기판;
120: 제1 소자부;
130: 제1 배선 구조;
140: 제1 유전체층;
150: 제2 소자부;
160: 제2 배선 구조;
170: 제2 유전체층;
10: Monolithic three-dimensional integrated circuit;
110: semiconductor substrate;
120: first element section;
130: first wiring structure;
140: first dielectric layer;
150: second element section;
160: second wiring structure;
170: second dielectric layer;

Claims (13)

반도체 기판;
상기 반도체 기판에 형성된 제1 반도체 소자;
상기 반도체 기판 및 상기 제1 반도체 소자를 덮는 유전체층;
상기 유전체층 내에 형성되는 배선 구조; 및
상기 유전체층 상에 직접 형성되고, 이차원 반도체 물질을 포함하는 씨드층, 및 상기 씨드층 상의 결정화된 반도체층을 포함하는 제2 반도체 소자;
를 포함하며,
상기 이차원 반도체 물질은, 금속 칼코게나이드계 물질 및 산화물 반도체 물질 중 적어도 하나를 포함하는, 모놀리식 3차원 집적 회로.
semiconductor substrate;
a first semiconductor device formed on the semiconductor substrate;
a dielectric layer covering the semiconductor substrate and the first semiconductor device;
a wiring structure formed within the dielectric layer; and
a second semiconductor device formed directly on the dielectric layer and including a seed layer including a two-dimensional semiconductor material, and a crystallized semiconductor layer on the seed layer;
Includes,
The two-dimensional semiconductor material includes at least one of a metal chalcogenide-based material and an oxide semiconductor material.
삭제delete 제1 항에 있어서,
상기 반도체층은, 상기 반도체 기판을 이루는 반도체 물질보다 높은 전하 이동도를 갖는 반도체 물질을 포함하는, 모놀리식 3차원 집적 회로.
According to claim 1,
A monolithic three-dimensional integrated circuit, wherein the semiconductor layer includes a semiconductor material having a higher charge mobility than the semiconductor material making up the semiconductor substrate.
제1 항에 있어서,
상기 반도체층은, 게르마늄, 상기 게르마늄을 포함하는 화합물 반도체 물질, 및 칼코겐 물질 중 적어도 하나를 포함하는, 모놀리식 3차원 집적 회로.
According to claim 1,
The semiconductor layer includes at least one of germanium, a compound semiconductor material containing germanium, and a chalcogen material.
제1 항에 있어서,
상기 반도체 기판은, 실리콘을 포함하는, 모놀리식 3차원 집적 회로.
According to claim 1,
The semiconductor substrate is a monolithic three-dimensional integrated circuit containing silicon.
반도체 기판에 제1 반도체 소자를 형성하는 단계;
상기 반도체 기판 및 상기 제1 반도체 소자를 덮는 유전체층과 상기 유전체층 내의 배선 구조를 형성하는 단계;
상기 유전체층 상에 이차원 반도체 물질을 포함하는 씨드 구조를 직접 형성하는 단계; 및
상기 씨드 구조 상에 결정화된 반도체층을 형성하는 단계;
를 포함하며,
상기 이차원 반도체 물질은, 금속 칼코게나이드계 물질 및 산화물 반도체 물질 중 적어도 하나를 포함하는, 모놀리식 3차원 집적 회로의 제조 방법.
forming a first semiconductor device on a semiconductor substrate;
forming a dielectric layer covering the semiconductor substrate and the first semiconductor device and a wiring structure within the dielectric layer;
directly forming a seed structure including a two-dimensional semiconductor material on the dielectric layer; and
forming a crystallized semiconductor layer on the seed structure;
Includes,
The two-dimensional semiconductor material includes at least one of a metal chalcogenide-based material and an oxide semiconductor material.
제6 항에 있어서,
상기 씨드 구조를 형성하는 단계는,
성장 기판 상에 형성된 상기 씨드 구조를 상기 유전체층 상에 전사하거나 상기 유전체층 상에 상기 씨드 구조를 직접 형성하는, 모놀리식 3차원 집적 회로의 제조 방법.
According to clause 6,
The step of forming the seed structure is,
A method of manufacturing a monolithic three-dimensional integrated circuit, wherein the seed structure formed on a growth substrate is transferred onto the dielectric layer or the seed structure is directly formed on the dielectric layer.
제6 항에 있어서,
상기 씨드 구조는, 상기 이차원 반도체 물질로 이루어진 복수의 아일랜드형 구조물들을 포함하거나 상기 이차원 반도체 물질로 이루어진 필름 형상을 갖는, 모놀리식 3차원 집적 회로의 제조 방법.
According to clause 6,
The seed structure includes a plurality of island-type structures made of the two-dimensional semiconductor material or has a film shape made of the two-dimensional semiconductor material.
삭제delete 제6 항에 있어서,
상기 결정화된 반도체층은, 상기 반도체 기판을 이루는 반도체 물질보다 높은 전하 이동도를 갖는 반도체 물질을 포함하는, 모놀리식 3차원 집적 회로의 제조 방법.
According to clause 6,
The method of manufacturing a monolithic three-dimensional integrated circuit, wherein the crystallized semiconductor layer includes a semiconductor material having a higher charge mobility than the semiconductor material constituting the semiconductor substrate.
제6 항에 있어서,
상기 결정화된 반도체층은, 게르마늄, 상기 게르마늄을 포함하는 화합물 반도체 물질, 및 칼코겐 물질 중 적어도 하나를 포함하는, 모놀리식 3차원 집적 회로의 제조 방법.
According to clause 6,
The crystallized semiconductor layer includes at least one of germanium, a compound semiconductor material containing the germanium, and a chalcogen material.
제6 항에 있어서,
상기 결정화된 반도체층을 형성하는 단계는,
450℃ 이하의 온도에서 이루어지는 열처리를 통해 실시되는, 모놀리식 3차원 집적 회로의 제조 방법.
According to clause 6,
The step of forming the crystallized semiconductor layer is,
A method of manufacturing a monolithic three-dimensional integrated circuit, carried out through heat treatment at a temperature of 450°C or lower.
제6 항에 있어서,
상기 반도체 기판은, 실리콘을 포함하는, 모놀리식 3차원 집적 회로의 제조 방법.
According to clause 6,
A method of manufacturing a monolithic three-dimensional integrated circuit, wherein the semiconductor substrate includes silicon.
KR1020210069226A 2021-05-28 2021-05-28 Monolithic 3d integrated circuit and method of fabricating thereof KR102607828B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020210069226A KR102607828B1 (en) 2021-05-28 2021-05-28 Monolithic 3d integrated circuit and method of fabricating thereof
PCT/KR2021/010943 WO2022250201A1 (en) 2021-05-28 2021-08-18 Monolithic three-dimensional integrated circuit and manufacturing method therefor
US18/033,132 US20230335550A1 (en) 2021-05-28 2021-08-18 Monolithic 3d integrated circuit and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210069226A KR102607828B1 (en) 2021-05-28 2021-05-28 Monolithic 3d integrated circuit and method of fabricating thereof

Publications (2)

Publication Number Publication Date
KR20220160939A KR20220160939A (en) 2022-12-06
KR102607828B1 true KR102607828B1 (en) 2023-11-29

Family

ID=84229952

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210069226A KR102607828B1 (en) 2021-05-28 2021-05-28 Monolithic 3d integrated circuit and method of fabricating thereof

Country Status (3)

Country Link
US (1) US20230335550A1 (en)
KR (1) KR102607828B1 (en)
WO (1) WO2022250201A1 (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200312839A1 (en) * 2019-03-27 2020-10-01 Intel Corporation Ic including back-end-of-line (beol) transistors with crystalline channel material

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007053686A2 (en) * 2005-11-01 2007-05-10 Massachusetts Institute Of Technology Monolithically integrated semiconductor materials and devices
KR20180051602A (en) * 2015-09-08 2018-05-16 메사추세츠 인스티튜트 오브 테크놀로지 Graphene-based layer delivery system and method
FR3049761B1 (en) * 2016-03-31 2018-10-05 Soitec METHOD FOR MANUFACTURING A STRUCTURE FOR FORMING A THREE DIMENSIONAL MONOLITHIC INTEGRATED CIRCUIT
US9929149B2 (en) * 2016-06-21 2018-03-27 Arm Limited Using inter-tier vias in integrated circuits
KR20210010748A (en) * 2019-07-19 2021-01-28 삼성전자주식회사 Three-dimensional semiconductor devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200312839A1 (en) * 2019-03-27 2020-10-01 Intel Corporation Ic including back-end-of-line (beol) transistors with crystalline channel material

Also Published As

Publication number Publication date
US20230335550A1 (en) 2023-10-19
WO2022250201A1 (en) 2022-12-01
KR20220160939A (en) 2022-12-06

Similar Documents

Publication Publication Date Title
US10504890B2 (en) High density nanosheet diodes
US10930563B2 (en) Formation of stacked nanosheet semiconductor devices
JP7438237B2 (en) Hybrid gate stack integration for stacked vertical transport field effect transistors
KR101656954B1 (en) Combination finfet and methods of forming same
US11682625B2 (en) Interconnection structure, fabricating method thereof, and semiconductor device using the same
US9590051B2 (en) Heterogeneous layer device
US10032889B2 (en) Self-aligned passivation of active regions
KR101822240B1 (en) Integrated thermoelectric devices in fin fet technology
KR102568602B1 (en) Semiconductor device and method
US11532703B2 (en) Semiconductor device and method
KR102607828B1 (en) Monolithic 3d integrated circuit and method of fabricating thereof
US11915966B2 (en) Backside power rail integration
US11757012B2 (en) Source and drain contact cut last process to enable wrap-around-contact
US11764298B2 (en) VTFET with buried power rails
US20240072133A1 (en) Backside and frontside contacts for semiconductor device
US11848384B2 (en) Semiconductor device with airgap spacer formation from backside of wafer
US20240063121A1 (en) Backside contact for semiconductor device
US20240128318A1 (en) Semiconductor structure with fully wrapped-around backside contact
US20230062819A1 (en) Complementary fet (cfet) buried sidewall contact with spacer foot
US20230317674A1 (en) Semiconductor device and method having high-kappa bonding layer
US20240203992A1 (en) Gate tie-down for top field effect transistor
TW202412180A (en) Sequential complimentary fet incorporating backside power distribution network through wafer bonding prior to formation of active devices

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)