KR102596497B1 - Semiconductor device and method for fabricating the same - Google Patents

Semiconductor device and method for fabricating the same Download PDF

Info

Publication number
KR102596497B1
KR102596497B1 KR1020180141459A KR20180141459A KR102596497B1 KR 102596497 B1 KR102596497 B1 KR 102596497B1 KR 1020180141459 A KR1020180141459 A KR 1020180141459A KR 20180141459 A KR20180141459 A KR 20180141459A KR 102596497 B1 KR102596497 B1 KR 102596497B1
Authority
KR
South Korea
Prior art keywords
trench
gate electrode
support structure
semiconductor device
active region
Prior art date
Application number
KR1020180141459A
Other languages
Korean (ko)
Other versions
KR20200057289A (en
Inventor
남기형
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020180141459A priority Critical patent/KR102596497B1/en
Priority to US16/423,203 priority patent/US11004854B2/en
Priority to CN201911100831.5A priority patent/CN111199974A/en
Publication of KR20200057289A publication Critical patent/KR20200057289A/en
Priority to US17/306,152 priority patent/US11856752B2/en
Application granted granted Critical
Publication of KR102596497B1 publication Critical patent/KR102596497B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7838Field effect transistors with field effect produced by an insulated gate without inversion channel, e.g. buried channel lateral MISFETs, normally-on lateral MISFETs, depletion-mode lateral MISFETs
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823493MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/0619Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE] with a supplementary region doped oppositely to or in rectifying contact with the semiconductor containing or contacting region, e.g. guard rings with PN or Schottky junction
    • H01L29/0623Buried supplementary region, e.g. buried guard ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/315DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor with the capacitor higher than a bit line

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)

Abstract

제품 신뢰성 및 성능이 향상된 반도체 장치 및 그 제조 방법이 제공된다. 반도체 장치는, 기판 내의 활성 영역, 기판 내에, 활성 영역을 정의하는 소자 분리막, 활성 영역 및 소자 분리막을 가로지르며 연장되고, 활성 영역 내의 제1 트렌치 및 소자 분리막 내의 제2 트렌치를 포함하는 게이트 트렌치, 제1 트렌치를 채우는 메인 게이트 전극과, 제2 트렌치의 일부를 채우는 패스 게이트 전극을 포함하는 게이트 전극, 패스 게이트 전극 상에, 제2 트렌치의 다른 일부를 채우는 지지 구조체, 및 소자 분리막과 패스 게이트 전극 사이 및 지지 구조체와 패스 게이트 전극 사이에 개재되는 게이트 절연막을 포함한다.A semiconductor device with improved product reliability and performance and a manufacturing method thereof are provided. The semiconductor device includes an active region in a substrate, a device isolation film defining the active region in the substrate, a gate trench extending across the active region and the device isolation film and including a first trench in the active region and a second trench in the device isolation film, A gate electrode including a main gate electrode that fills the first trench and a pass gate electrode that fills a portion of the second trench, a support structure that fills another portion of the second trench on the pass gate electrode, and a device isolation layer and a pass gate electrode. and a gate insulating film interposed between the support structure and the pass gate electrode.

Description

반도체 장치 및 그 제조 방법{SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME}Semiconductor device and method of manufacturing the same {SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME}

본 발명은 반도체 장치 및 그 제조 방법에 관한 것이다. 구체적으로, 본 발명은 매립 채널 어레이 트랜지스터를 포함하는 반도체 장치 및 그 제조 방법에 관한 것이다.The present invention relates to semiconductor devices and methods of manufacturing the same. Specifically, the present invention relates to a semiconductor device including a buried channel array transistor and a method of manufacturing the same.

반도체 메모리 장치가 점점 고집적화됨에 따라, 동일한 면적에 보다 많은 반도체 장치를 구현하기 위해 개별 회로 패턴들은 더욱 미세화 되어 가고 있다. 한편, 매립 채널 어레이 트랜지스터(BCAT; Buried Channel Array Transistor)는 트렌치 내에 매립된 게이트 전극을 포함하여 단채널 효과(short channel effect)를 최소화할 수 있다.As semiconductor memory devices become more highly integrated, individual circuit patterns are becoming more refined in order to implement more semiconductor devices in the same area. Meanwhile, a buried channel array transistor (BCAT) can minimize short channel effects by including a gate electrode buried in a trench.

본 발명이 해결하고자 하는 기술적 과제는 제품 신뢰성 및 성능이 향상된 반도체 장치를 제공하는 것이다.The technical problem to be solved by the present invention is to provide a semiconductor device with improved product reliability and performance.

본 발명이 해결하고자 하는 다른 기술적 과제는 제품 신뢰성 및 성능이 향상된 반도체 장치를 제조할 수 있는 반도체 장치의 제조 방법을 제공하는 것이다.Another technical problem to be solved by the present invention is to provide a method of manufacturing a semiconductor device that can manufacture a semiconductor device with improved product reliability and performance.

본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.The technical problems of the present invention are not limited to the technical problems mentioned above, and other technical problems not mentioned will be clearly understood by those skilled in the art from the description below.

상기 기술적 과제를 달성하기 위한 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치는, 기판 내의 활성 영역, 기판 내에, 활성 영역을 정의하는 소자 분리막, 활성 영역 및 소자 분리막을 가로지르며 연장되고, 활성 영역 내의 제1 트렌치 및 소자 분리막 내의 제2 트렌치를 포함하는 게이트 트렌치, 제1 트렌치를 채우는 메인 게이트 전극과, 제2 트렌치의 일부를 채우는 패스 게이트 전극을 포함하는 게이트 전극, 패스 게이트 전극 상에, 제2 트렌치의 다른 일부를 채우는 지지 구조체, 및 소자 분리막과 패스 게이트 전극 사이 및 지지 구조체와 패스 게이트 전극 사이에 개재되는 게이트 절연막을 포함한다.A semiconductor device according to some embodiments of the technical idea of the present invention for achieving the above technical problem includes an active region in the substrate, a device isolation film defining the active region in the substrate, extending across the active region and the device isolation film, and the active region. A gate trench including a first trench in the region and a second trench in the device isolation film, a main gate electrode that fills the first trench, and a gate electrode that includes a pass gate electrode that fills a portion of the second trench, on the pass gate electrode, It includes a support structure that fills another portion of the second trench, and a gate insulating film interposed between the device isolation film and the pass gate electrode and between the support structure and the pass gate electrode.

상기 기술적 과제를 달성하기 위한 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치는, 기판 내에, 제1 방향으로 연장되는 제1 트렌치를 포함하는 활성 영역, 기판 내에, 제1 방향으로 연장되는 제2 트렌치를 포함하고, 활성 영역을 정의하는 소자 분리막, 제1 트렌치의 일부를 채우는 메인 게이트 전극, 활성 영역과 메인 게이트 전극 사이의 제1 게이트 절연막, 제2 트렌치의 일부를 채우는 패스 게이트 전극, 및 소자 분리막과 패스 게이트 전극 사이의 제2 게이트 절연막을 포함하고, 제1 게이트 절연막은 메인 게이트 전극의 바닥면 및 측벽을 따라 연장되고, 제2 게이트 절연막은 패스 게이트 전극의 바닥면, 측벽 및 상면을 따라 연장된다.A semiconductor device according to some embodiments of the technical idea of the present invention for achieving the above technical problem includes an active region including a first trench extending in a first direction in a substrate, and a first trench extending in a first direction in the substrate. It includes two trenches, a device isolation film defining an active area, a main gate electrode filling a part of the first trench, a first gate insulating film between the active area and the main gate electrode, a pass gate electrode filling a part of the second trench, and It includes a second gate insulating film between the device isolation film and the pass gate electrode, wherein the first gate insulating film extends along the bottom surface and sidewall of the main gate electrode, and the second gate insulating film extends along the bottom surface, sidewall, and top surface of the pass gate electrode. It is extended accordingly.

상기 기술적 과제를 달성하기 위한 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치는, 기판 내에, 제1 방향으로 연장되는 활성 영역, 기판 내에, 활성 영역을 정의하는 소자 분리막, 활성 영역 및 소자 분리막 내에, 제1 방향과 제1 예각을 이루는 제2 방향으로 연장되는 게이트 트렌치, 게이트 트렌치의 하부를 채우는 게이트 전극, 게이트 전극 상에, 소자 분리막 내의 게이트 트렌치의 상부를 채우는 지지 구조체, 및 게이트 트렌치의 측벽 및 바닥면을 따라 연장되는 게이트 절연막을 포함하고, 지지 구조체는 제2 방향과 교차하는 제1 측벽을 포함하고, 게이트 절연막은 지지 구조체의 바닥면 및 제1 측벽을 따라 더 연장된다.A semiconductor device according to some embodiments of the technical idea of the present invention for achieving the above technical problem includes an active region extending in a first direction within a substrate, a device isolation film defining the active region within the substrate, an active region, and a device isolation film. Inside, a gate trench extending in a second direction forming a first acute angle with the first direction, a gate electrode filling the lower part of the gate trench, a support structure filling the upper part of the gate trench in the device isolation film on the gate electrode, and the gate trench. and a gate insulating film extending along a side wall and a bottom surface, wherein the support structure includes a first side wall intersecting a second direction, and the gate insulating film further extending along a bottom surface and a first side wall of the support structure.

상기 기술적 과제를 달성하기 위한 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법은, 기판 내에, 활성 영역 및 활성 영역을 정의하는 소자 분리막을 형성하고, 기판 내에, 활성 영역 및 소자 분리막을 가로지르며 연장되는 게이트 트렌치를 형성하되, 게이트 트렌치는 활성 영역 내의 제1 트렌치 및 소자 분리막 내의 제2 트렌치를 포함하고, 게이트 트렌치를 채우는 희생막을 형성하고, 제2 트렌치의 상부의 희생막을 지지 구조체로 대체하고, 희생막을 제거하고, 게이트 트렌치 내에, 게이트 절연막 및 게이트 전극을 차례로 형성하는 것을 포함한다.A method of manufacturing a semiconductor device according to some embodiments of the technical idea of the present invention for achieving the above technical problem includes forming an active region and a device isolation film defining the active region in a substrate, and forming an active region and a device isolation film in the substrate. A gate trench extending across is formed, wherein the gate trench includes a first trench in the active region and a second trench in the device isolation layer, a sacrificial layer is formed to fill the gate trench, and the sacrificial layer on top of the second trench is formed as a support structure. , removing the sacrificial film, and sequentially forming a gate insulating film and a gate electrode within the gate trench.

기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.Specific details of other embodiments are included in the detailed description and drawings.

도 1은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 개략적인 레이아웃도이다.
도 2는 도 1의 A-A를 따라 절단한 단면도이다.
도 3은 도 2의 S를 확대한 확대 단면도이다.
도 4는 도 1의 B-B를 따라 절단한 단면도이다.
도 5 및 도 6은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 단면도들이다.
도 7 및 도 8은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 단면도들이다.
도 9 및 도 10은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 단면도들이다.
도 11은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 단면도이다.
도 12는 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 개략적인 레이아웃도이다.
도 13은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 개략적인 레이아웃도이다.
도 14는 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 개략적인 레이아웃도이다.
도 15 내지 도 39는 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다.
도 40은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면이다.
도 41은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면이다.
도 42는 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면이다.
1 is a schematic layout diagram for explaining a semiconductor device according to some embodiments of the technical idea of the present invention.
Figure 2 is a cross-sectional view taken along AA of Figure 1.
Figure 3 is an enlarged cross-sectional view of S in Figure 2.
Figure 4 is a cross-sectional view taken along BB of Figure 1.
5 and 6 are cross-sectional views for explaining semiconductor devices according to some embodiments of the technical idea of the present invention.
7 and 8 are cross-sectional views for explaining semiconductor devices according to some embodiments of the technical idea of the present invention.
9 and 10 are cross-sectional views for explaining semiconductor devices according to some embodiments of the technical idea of the present invention.
11 is a cross-sectional view illustrating a semiconductor device according to some embodiments of the technical idea of the present invention.
12 is a schematic layout diagram for explaining a semiconductor device according to some embodiments of the technical idea of the present invention.
13 is a schematic layout diagram for explaining a semiconductor device according to some embodiments of the technical idea of the present invention.
14 is a schematic layout diagram for explaining a semiconductor device according to some embodiments of the technical idea of the present invention.
15 to 39 are intermediate stage diagrams for explaining a method of manufacturing a semiconductor device according to some embodiments of the technical idea of the present invention.
Figure 40 is an intermediate stage diagram for explaining a method of manufacturing a semiconductor device according to some embodiments of the technical idea of the present invention.
41 is an intermediate stage diagram for explaining a method of manufacturing a semiconductor device according to some embodiments of the technical idea of the present invention.
Figure 42 is an intermediate stage diagram for explaining a method of manufacturing a semiconductor device according to some embodiments of the technical idea of the present invention.

이하에서, 도 1 내지 도 14를 참조하여, 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명한다. 몇몇 실시예에 따른 반도체 장치의 예로서, DRAM(dynamic random access momory)을 도시하였으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.Hereinafter, a semiconductor device according to some embodiments of the technical idea of the present invention will be described with reference to FIGS. 1 to 14. As an example of a semiconductor device according to some embodiments, a dynamic random access memory (DRAM) is shown, but the technical idea of the present invention is not limited thereto.

도 1은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 개략적인 레이아웃도이다. 도 2는 도 1의 A-A를 따라 절단한 단면도이다. 도 3은 도 2의 S를 확대한 확대 단면도이다. 도 4는 도 1의 B-B를 따라 절단한 단면도이다.1 is a schematic layout diagram for explaining a semiconductor device according to some embodiments of the technical idea of the present invention. Figure 2 is a cross-sectional view taken along line A-A of Figure 1. Figure 3 is an enlarged cross-sectional view of S in Figure 2. Figure 4 is a cross-sectional view taken along line B-B of Figure 1.

도 1 내지 도 4를 참조하면, 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치는 기판(100), 소자 분리막(110), 워드 라인(WL; 게이트 전극(120)), 비트 라인(BL), 게이트 트렌치(GT), 게이트 절연막(130), 캡핑 패턴(140), 지지 구조체(150), 제1 층간 절연막(200), 제2 층간 절연막(210), 제1 컨택 구조체(220), 제2 컨택 구조체(230) 및 커패시터 구조체(300)를 포함한다.1 to 4, a semiconductor device according to some embodiments of the technical idea of the present invention includes a substrate 100, a device isolation layer 110, a word line (WL; gate electrode 120), and a bit line (BL). ), gate trench (GT), gate insulating film 130, capping pattern 140, support structure 150, first interlayer insulating film 200, second interlayer insulating film 210, first contact structure 220, It includes a second contact structure 230 and a capacitor structure 300.

기판(100)은 베이스 기판과 에피층이 적층된 구조일 수 있으나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 기판(100)은 실리콘 기판, 갈륨 비소 기판, 실리콘 게르마늄 기판, SOI(Semiconductor On Insulator) 기판일 수도 있다. 예시적으로, 이하에서 기판(100)은 실리콘 기판인 것으로 설명한다. 설명의 편의를 위해, 이하에서 기판(100)은 제1 도전형(예를 들어, p형)인 것으로 설명한다.The substrate 100 may have a structure in which a base substrate and an epitaxial layer are stacked, but the technical idea of the present invention is not limited thereto. The substrate 100 may be a silicon substrate, gallium arsenide substrate, silicon germanium substrate, or SOI (Semiconductor On Insulator) substrate. By way of example, the substrate 100 will be described below as a silicon substrate. For convenience of explanation, the substrate 100 is described below as being of the first conductivity type (eg, p-type).

기판(100)은 활성 영역(AR)을 포함할 수 있다. 활성 영역(AR)은 기판(100) 내에서 제1 방향(DR1)으로 연장될 수 있다. 예를 들어, 복수의 단위 활성 영역(AR)이 기판(100) 내에서 제1 방향(DR1)으로 연장될 수 있다.The substrate 100 may include an active area (AR). The active area AR may extend in the first direction DR1 within the substrate 100 . For example, a plurality of unit active regions AR may extend in the first direction DR1 within the substrate 100 .

활성 영역(AR)은 서로 평행한 방향으로 연장되는 복수 개의 바 형태일 수 있다. 몇몇 실시예에서, 복수 개의 활성 영역(AR) 중 하나의 활성 영역(AR)의 중심은 다른 하나의 활성 영역(AR)의 말단부와 인접하도록 배치될 수 있다.The active area AR may be in the form of a plurality of bars extending in parallel directions. In some embodiments, the center of one of the plurality of active regions AR may be disposed to be adjacent to a distal end of another active region AR.

워드 라인(WL; 게이트 전극(120))은 활성 영역(AR)을 가로질러 제2 방향(DR2)을 따라 길게 연장될 수 있다. 워드 라인(WL)은 복수 개로 서로 평행하게 연장될 수 있다. 또한, 복수의 워드 라인(WL)은 등간격으로 서로 이격될 수 있다.The word line (WL; gate electrode 120) may extend long along the second direction DR2 across the active area AR. A plurality of word lines WL may extend parallel to each other. Additionally, the plurality of word lines WL may be spaced apart from each other at equal intervals.

비트 라인(BL)은 워드 라인(WL)과 교차하여 제3 방향(DR3)을 따라 길게 연장될 수 있다. 비트 라인(BL)은 복수 개로 서로 평행하게 연장될 수 있다. 또한, 복수의 비트 라인(BL)은 등간격으로 서로 이격될 수 있다.The bit line BL may intersect the word line WL and extend long along the third direction DR3. A plurality of bit lines BL may extend parallel to each other. Additionally, the plurality of bit lines BL may be spaced apart from each other at equal intervals.

반도체 장치의 디자인 룰이 감소함에 따라, 도 1에 도시된 것처럼, 활성 영역(AR)은 사선의 바(bar) 형태로 형성될 수 있다. 예를 들어, 활성 영역(AR)은 제1 방향(DR1)으로 연장될 수 있고, 워드 라인(WL)은 제1 방향(DR1)과 제1 예각(θ1)을 이루는 제2 방향(DR2)으로 연장될 수 있다. 또한, 비트 라인(BL)은 제1 방향(DR1)과 제2 예각(θ2)을 이루는 제3 방향(DR3)으로 연장될 수 있다. 몇몇 실시예에서, 제2 방향(DR2)과 제3 방향(DR3)은 직교할 수 있다. 예를 들어, 제1 예각(θ1)과 제2 예각(θ2)의 합은 90°일 수 있다.As the design rules of semiconductor devices decrease, the active area AR may be formed in the shape of a diagonal bar, as shown in FIG. 1 . For example, the active area AR may extend in the first direction DR1, and the word line WL may extend in the second direction DR2 forming a first acute angle θ1 with the first direction DR1. It may be extended. Additionally, the bit line BL may extend in the third direction DR3 forming a second acute angle θ2 with the first direction DR1. In some embodiments, the second direction DR2 and the third direction DR3 may be perpendicular to each other. For example, the sum of the first acute angle θ1 and the second acute angle θ2 may be 90°.

몇몇 실시예에서, 제1 예각(θ1)은 60°이고, 제2 예각(θ2)은 30°일 수 있다. 이러한 경우에, 복수의 커패시터 구조체(후술되는 300)는 허니콤(honeycomb) 형태로 배열될 수 있다. 그러나, 본 발명의 기술적 사상이 이에 제한되는 것은 아니고, 복수의 커패시터 구조체(300)는 다양한 형태로 배열될 수 있다.In some embodiments, the first acute angle θ1 may be 60° and the second acute angle θ2 may be 30°. In this case, a plurality of capacitor structures 300 (described later) may be arranged in a honeycomb shape. However, the technical idea of the present invention is not limited thereto, and the plurality of capacitor structures 300 may be arranged in various forms.

소자 분리막(110)은 기판(100) 내에 형성될 수 있다. 또한, 소자 분리막(110)은 기판(100) 내의 활성 영역(AR)을 정의할 수 있다. 도 2 내지 도 4에서, 소자 분리막(110)의 측벽은 경사를 갖는 것으로 도시되었으나, 이는 소자 분리막(110)을 형성하는 공정 상의 특징일 뿐이고, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.The device isolation layer 110 may be formed within the substrate 100 . Additionally, the device isolation layer 110 may define an active area (AR) within the substrate 100. 2 to 4, the sidewall of the device isolation film 110 is shown as having an inclination, but this is only a characteristic of the process of forming the device isolation film 110, and the technical idea of the present invention is not limited thereto.

소자 분리막(110)은 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 및 이들의 조합 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다. 소자 분리막(110)은 한 종류의 절연 물질로 이루어지는 단일층일 수도 있고, 여러 종류의 절연 물질들의 조합으로 이루어지는 다중층일 수도 있다. 설명의 편의를 위해, 이하에서 소자 분리막(110)은 실리콘 산화물을 포함하는 것으로 설명한다.The device isolation film 110 may include, but is not limited to, at least one of, for example, silicon oxide, silicon nitride, silicon oxynitride, and combinations thereof. The device isolation film 110 may be a single layer made of one type of insulating material, or it may be a multilayer made of a combination of several types of insulating materials. For convenience of explanation, the device isolation layer 110 will be described below as including silicon oxide.

게이트 트렌치(GT)는 기판(100) 내에 형성될 수 있다. 게이트 트렌치(GT)는 활성 영역(AR) 및 소자 분리막(110)을 가로지르며 연장될 수 있다. 예를 들어, 게이트 트렌치(GT)는 제2 방향(DR2)으로 연장될 수 있다. 게이트 트렌치(GT)는 활성 영역(AR) 내에서 제2 방향(DR2)으로 연장되는 제1 트렌치(P1)와, 소자 분리막(110) 내에서 제2 방향(DR2)으로 연장되는 제2 트렌치(P2)를 포함할 수 있다.A gate trench GT may be formed within the substrate 100 . The gate trench GT may extend across the active region AR and the device isolation layer 110. For example, the gate trench GT may extend in the second direction DR2. The gate trench GT includes a first trench P1 extending in the second direction DR2 within the active region AR and a second trench extending in the second direction DR2 within the device isolation layer 110 ( P2) may be included.

도 2 및 도 3에서, 게이트 트렌치(GT)의 측벽은 경사를 갖는 것으로 도시되었으나, 이는 게이트 트렌치(GT)를 형성하는 공정 상의 특징일 뿐이고, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.2 and 3, the sidewall of the gate trench GT is shown as having an inclination, but this is only a characteristic of the process of forming the gate trench GT, and the technical idea of the present invention is not limited thereto.

몇몇 실시예에서, 제2 트렌치(P2)는 제1 트렌치(P1)보다 깊게 형성될 수 있다. 예를 들어, 도 3에 도시된 것처럼, 기판(100)의 상면을 기준으로, 제2 트렌치(P2)의 깊이(D12)는 제1 트렌치(P1)의 깊이(D11)보다 깊을 수 있다. 이에 따라, 제2 트렌치(P2)의 바닥면은 제1 트렌치(P1)의 바닥면보다 낮을 수 있다.In some embodiments, the second trench P2 may be formed deeper than the first trench P1. For example, as shown in FIG. 3 , based on the top surface of the substrate 100, the depth D12 of the second trench P2 may be deeper than the depth D11 of the first trench P1. Accordingly, the bottom surface of the second trench (P2) may be lower than the bottom surface of the first trench (P1).

게이트 전극(120)은 제2 방향(DR2)으로 길게 연장될 수 있다. 게이트 전극(120)은 도 1의 워드 라인(WL)으로 기능할 수 있다. 게이트 전극(120)은 게이트 트렌치(GT) 내에 형성될 수 있다. 예를 들어, 게이트 전극(120)은 게이트 트렌치(GT)의 일부(예를 들어, 게이트 트렌치(GT)의 하부)를 채울 수 있다.The gate electrode 120 may extend long in the second direction DR2. The gate electrode 120 may function as the word line (WL) in FIG. 1. The gate electrode 120 may be formed in the gate trench GT. For example, the gate electrode 120 may fill a portion of the gate trench GT (eg, the lower part of the gate trench GT).

게이트 전극(120)은 제1 트렌치(P1)의 일부를 채우는 메인 게이트 전극(MG; main gate electrode)과, 제2 트렌치(P2)의 일부를 채우는 패스 게이트 전극(PG; pass gate electrode)을 포함할 수 있다. 즉, 메인 게이트 전극(MG)은 활성 영역(AR)을 가로지르는 게이트 전극(120)의 일부일 수 있고, 패스 게이트 전극(PG)은 소자 분리막(110)을 가로지르는 게이트 전극(120)의 일부일 수 있다.The gate electrode 120 includes a main gate electrode (MG) that fills a portion of the first trench (P1) and a pass gate electrode (PG) that fills a portion of the second trench (P2). can do. That is, the main gate electrode MG may be a part of the gate electrode 120 across the active area AR, and the pass gate electrode PG may be a part of the gate electrode 120 across the device isolation layer 110. there is.

게이트 전극(120)은 도전 물질을 포함할 수 있다. 예를 들어, 게이트 전극(120)은 티타늄(Ti), 탄탈럼(Ta), 텅스텐(W), 알루미늄(Al), 코발트(Co) 등의 금속 및 이들의 조합 중 적어도 하나를 포함할 수 있다. 또한, 예를 들어, 게이트 전극(120)은 금속이 아닌, 폴리 실리콘 또는 실리콘 게르마늄 등을 포함할 수도 있다.The gate electrode 120 may include a conductive material. For example, the gate electrode 120 may include at least one of metals such as titanium (Ti), tantalum (Ta), tungsten (W), aluminum (Al), cobalt (Co), and combinations thereof. . Additionally, for example, the gate electrode 120 may include polysilicon or silicon germanium, rather than metal.

제2 트렌치(P2)는 제1 트렌치(P1)보다 깊게 형성될 수 있으므로, 패스 게이트 전극(PG)의 바닥면은 메인 게이트 전극(MG)의 바닥면보다 낮을 수 있다.Since the second trench P2 may be formed deeper than the first trench P1, the bottom surface of the pass gate electrode PG may be lower than the bottom surface of the main gate electrode MG.

몇몇 실시예에서, 활성 영역(AR)은 제1 도전형과 다른 제2 도전형(예를 들어, n형)의 불순물을 포함하는 제1 소오스/드레인 영역(105a) 및 제2 소오스/드레인 영역(105b)을 포함할 수 있다.In some embodiments, the active region AR includes a first source/drain region 105a and a second source/drain region containing impurities of a second conductivity type (e.g., n-type) different from the first conductivity type. (105b) may be included.

제1 소오스/드레인 영역(105a) 및 제2 소오스/드레인 영역(105b)은 메인 게이트 전극(MG)의 양 측에 각각 형성될 수 있다. 예를 들어, 도 1 및 도 2에 도시된 것처럼, 활성 영역(AR)의 중심에 제1 소오스/드레인 영역(105a)이 형성될 수 있고, 활성 영역(AR)의 양 말단에 제2 소오스/드레인 영역(105b)이 형성될 수 있다. 몇몇 실시예에서, 두 개의 메인 게이트 전극(MG)이 하나의 제1 소오스/드레인 영역(105a)을 공유할 수 있다. 그러나, 이는 예시적인 것일 뿐이고, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.The first source/drain region 105a and the second source/drain region 105b may be formed on both sides of the main gate electrode MG, respectively. For example, as shown in FIGS. 1 and 2, a first source/drain region 105a may be formed at the center of the active region AR, and second source/drain regions 105a may be formed at both ends of the active region AR. A drain region 105b may be formed. In some embodiments, two main gate electrodes MG may share one first source/drain region 105a. However, this is only an example, and the technical idea of the present invention is not limited thereto.

게이트 절연막(130)은 기판(100)과 게이트 전극(120) 사이에 개재될 수 있다. 예를 들어, 게이트 절연막(130)은 게이트 트렌치(GT)의 측벽 및 바닥면을 따라 컨포멀하게(conformally) 연장될 수 있다.The gate insulating film 130 may be interposed between the substrate 100 and the gate electrode 120. For example, the gate insulating layer 130 may extend conformally along the sidewalls and bottom of the gate trench GT.

게이트 절연막(130)은 제1 트렌치(P1) 내의 제1 게이트 절연막(130a)과, 제2 트렌치(P2) 내의 제2 게이트 절연막(130b)을 포함할 수 있다. 즉, 제1 게이트 절연막(130a)은 활성 영역(AR)과 메인 게이트 전극(MG) 사이에 개재되는 게이트 절연막(130)의 일부일 수 있고, 제2 게이트 절연막(130b)은 소자 분리막(110)과 패스 게이트 전극(PG) 사이에 개재되는 게이트 절연막(130)의 일부일 수 있다.The gate insulating layer 130 may include a first gate insulating layer 130a in the first trench P1 and a second gate insulating layer 130b in the second trench P2. That is, the first gate insulating layer 130a may be a part of the gate insulating layer 130 interposed between the active region AR and the main gate electrode MG, and the second gate insulating layer 130b may be a part of the device isolation layer 110 and the device isolation layer 110. It may be a part of the gate insulating film 130 interposed between the pass gate electrodes PG.

예를 들어, 제1 게이트 절연막(130a)은 메인 게이트 전극(MG)의 바닥면 및 측벽을 따라 연장될 수 있고, 제2 게이트 절연막(130b)은 패스 게이트 전극(PG)의 바닥면 및 측벽을 따라 연장될 수 있다.For example, the first gate insulating film 130a may extend along the bottom and sidewalls of the main gate electrode MG, and the second gate insulating film 130b may extend along the bottom and sidewalls of the pass gate electrode PG. It may be extended accordingly.

게이트 절연막(130)은 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 또는 실리콘 산화물보다 유전 상수가 큰 고유전율(high-k) 물질 중 적어도 하나를 포함할 수 있다. 상기 고유전율 물질은 예를 들어, 하프늄 산화물(hafnium oxide), 하프늄 실리콘 산화물(hafnium silicon oxide), 하프늄 알루미늄 산화물(hafnium aluminum oxide), 란타늄 산화물(lanthanum oxide), 란타늄 알루미늄 산화물(lanthanum aluminum oxide), 지르코늄 산화물(zirconium oxide), 지르코늄 실리콘 산화물(zirconium silicon oxide), 탄탈륨 산화물(tantalum oxide), 티타늄 산화물(titanium oxide), 바륨 스트론튬 티타늄 산화물(barium strontium titanium oxide), 바륨 티타늄 산화물(barium titanium oxide), 스트론튬 티타늄 산화물(strontium titanium oxide), 이트륨 산화물(yttrium oxide), 알루미늄 산화물(aluminum oxide), 납 스칸듐 탄탈륨 산화물(lead scandium tantalum oxide), 납 아연 니오브산염(lead zinc niobate) 및 이들의 조합 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다.For example, the gate insulating layer 130 may include at least one of silicon oxide, silicon nitride, silicon oxynitride, or a high-k material with a dielectric constant greater than that of silicon oxide. The high dielectric constant material includes, for example, hafnium oxide, hafnium silicon oxide, hafnium aluminum oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, At least one of strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide, lead zinc niobate, and combinations thereof It may include, but is not limited to this.

캡핑 패턴(140)은 메인 게이트 전극(MG) 상에 배치될 수 있다. 캡핑 패턴(140)은 제1 트렌치(P1)의 일부를 채울 수 있다. 예를 들어, 메인 게이트 전극(MG)은 제1 트렌치(P1)의 하부를 채울 수 있고, 캡핑 패턴(140)은 제1 트렌치(P1)의 상부를 채울 수 있다. 캡핑 패턴(140)은 제1 트렌치(P1) 내에서 제2 방향(DR2)으로 길게 연장될 수 있다.The capping pattern 140 may be disposed on the main gate electrode MG. The capping pattern 140 may fill a portion of the first trench P1. For example, the main gate electrode MG may fill the lower part of the first trench P1, and the capping pattern 140 may fill the upper part of the first trench P1. The capping pattern 140 may extend long in the second direction DR2 within the first trench P1.

제1 게이트 절연막(130a)은 캡핑 패턴(140)의 측벽을 따라 더 연장될 수 있다. 예를 들어, 제1 게이트 절연막(130a)은 메인 게이트 전극(MG)의 바닥면 및 측벽과, 캡핑 패턴(140)의 측벽을 따라 연장될 수 있다. 그러나, 제1 게이트 절연막(130a)은 메인 게이트 전극(MG)과 캡핑 패턴(140) 사이에 개재되지 않을 수 있다. 예를 들어, 제1 게이트 절연막(130a)은 메인 게이트 전극(MG)의 상면 및/또는 캡핑 패턴(140)의 바닥면을 따라 연장되지 않을 수 있다.The first gate insulating layer 130a may extend further along the sidewall of the capping pattern 140 . For example, the first gate insulating layer 130a may extend along the bottom surface and sidewall of the main gate electrode MG and the sidewall of the capping pattern 140. However, the first gate insulating layer 130a may not be interposed between the main gate electrode MG and the capping pattern 140. For example, the first gate insulating layer 130a may not extend along the top surface of the main gate electrode MG and/or the bottom surface of the capping pattern 140.

캡핑 패턴(140)은 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 및 이들의 조합 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다. 설명의 편의를 위해, 이하에서 캡핑 패턴(140)은 실리콘 질화물을 포함하는 것으로 설명한다.The capping pattern 140 may include, but is not limited to, at least one of, for example, silicon oxide, silicon nitride, silicon oxynitride, and combinations thereof. For convenience of explanation, the capping pattern 140 will be described below as including silicon nitride.

지지 구조체(150)는 패스 게이트 전극(PG) 상에 형성될 수 있다. 지지 구조체(150)는 제2 트렌치(P2)의 일부를 채울 수 있다. 예를 들어, 패스 게이트 전극(PG)은 제2 트렌치(P2)의 하부를 채울 수 있고, 지지 구조체(150)는 제2 트렌치(P2)의 상부를 채울 수 있다.The support structure 150 may be formed on the pass gate electrode PG. The support structure 150 may fill a portion of the second trench P2. For example, the pass gate electrode PG may fill the lower part of the second trench P2, and the support structure 150 may fill the upper part of the second trench P2.

제2 게이트 절연막(130b)은 패스 게이트 전극(PG)의 상면 및/또는 지지 구조체(150)의 바닥면을 따라 더 연장될 수 있다. 예를 들어, 도 2 및 도 3에 도시된 것처럼, 제2 게이트 절연막(130b)은 패스 게이트 전극(PG)의 바닥면, 측벽 및 상면을 따라 연장될 수 있다. 이에 따라, 제2 게이트 절연막(130b)은 소자 분리막(110)과 패스 게이트 전극(PG) 사이 및 지지 구조체(150)와 패스 게이트 전극(PG) 사이에 개재될 수 있다.The second gate insulating layer 130b may further extend along the top surface of the pass gate electrode PG and/or the bottom surface of the support structure 150. For example, as shown in FIGS. 2 and 3 , the second gate insulating layer 130b may extend along the bottom, sidewall, and top surface of the pass gate electrode PG. Accordingly, the second gate insulating layer 130b may be interposed between the device isolation layer 110 and the pass gate electrode PG and between the support structure 150 and the pass gate electrode PG.

지지 구조체(150)는 제1 측벽(150S1) 및 제1 측벽(150S1)과 교차하는 제2 측벽(150S2)을 포함할 수 있다. 지지 구조체(150)의 제1 측벽(150S1) 및 제2 측벽(150S2)은 서로 연결되어 지지 구조체(150)의 측벽을 구성할 수 있다. 예를 들어, 지지 구조체(150)는 서로 대향되는 2개의 제1 측벽(150S1)과, 2개의 제1 측벽(150S1) 사이에서 서로 대향되는 2개의 제2 측벽(150S2)을 포함할 수 있다.The support structure 150 may include a first side wall 150S1 and a second side wall 150S2 that intersects the first side wall 150S1. The first side wall 150S1 and the second side wall 150S2 of the support structure 150 may be connected to each other to form a side wall of the support structure 150. For example, the support structure 150 may include two first side walls 150S1 facing each other and two second side walls 150S2 facing each other between the two first side walls 150S1.

지지 구조체(150)의 제1 측벽(150S1)은 제2 방향(DR2)으로 연장될 수 있다. 예를 들어, 도 1 내지 도 3에 도시된 것처럼, 지지 구조체(150)의 제1 측벽(150S1)은 제1 트렌치(P1)의 측벽에 의해 정의될 수 있다. 예를 들어, 지지 구조체(150)의 제1 측벽(150S1)은 소자 분리막(110)의 내측벽과 접촉할 수 있다.The first side wall 150S1 of the support structure 150 may extend in the second direction DR2. For example, as shown in FIGS. 1 to 3 , the first sidewall 150S1 of the support structure 150 may be defined by the sidewall of the first trench P1. For example, the first side wall 150S1 of the support structure 150 may contact the inner wall of the device isolation layer 110.

지지 구조체(150)의 제2 측벽(150S2)은 제2 방향(DR2)과 교차할 수 있다. 예를 들어, 도 1 및 도 4에 도시된 것처럼, 지지 구조체(150)의 제2 측벽(150S2)은 제2 방향(DR2)과 교차하는 캡핑 패턴(140)의 측벽과 대향될 수 있다.The second side wall 150S2 of the support structure 150 may intersect the second direction DR2. For example, as shown in FIGS. 1 and 4 , the second sidewall 150S2 of the support structure 150 may face the sidewall of the capping pattern 140 that intersects the second direction DR2.

제2 게이트 절연막(130b)은 지지 구조체(150)의 제2 측벽(150S2)을 따라 더 연장될 수 있다. 예를 들어, 도 2 내지 도 4에 도시된 것처럼, 제2 게이트 절연막(130b)은 패스 게이트 전극(PG)의 바닥면 및 측벽과, 지지 구조체(150)의 바닥면 및 제2 측벽(150S2)을 따라 연장될 수 있다. 이에 따라, 제2 게이트 절연막(130b)은 지지 구조체(150)의 제2 측벽(150S2)과 캡핑 패턴(140) 사이에 개재될 수 있다.The second gate insulating layer 130b may further extend along the second sidewall 150S2 of the support structure 150. For example, as shown in FIGS. 2 to 4, the second gate insulating layer 130b is formed on the bottom surface and sidewall of the pass gate electrode PG and the bottom surface and second sidewall 150S2 of the support structure 150. It can be extended accordingly. Accordingly, the second gate insulating film 130b may be interposed between the second sidewall 150S2 of the support structure 150 and the capping pattern 140.

그러나, 제2 게이트 절연막(130b)은 소자 분리막(110)과 지지 구조체(150) 사이에 개재되지 않을 수 있다. 예를 들어, 제2 게이트 절연막(130b)은 지지 구조체(150)의 제1 측벽(150S1)을 따라 연장되지 않을 수 있다.However, the second gate insulating layer 130b may not be interposed between the device isolation layer 110 and the support structure 150. For example, the second gate insulating layer 130b may not extend along the first sidewall 150S1 of the support structure 150.

몇몇 실시예에서, 지지 구조체(150)의 상면은 캡핑 패턴(140)의 상면과 동일 평면 상에 배치될 수 있다. 예를 들어, 지지 구조체(150)의 상면 및 캡핑 패턴(140)의 상면은 모두 기판(100)의 상면과 동일 평면 상에 배치될 수 있다.In some embodiments, the top surface of the support structure 150 may be disposed on the same plane as the top surface of the capping pattern 140. For example, the top surface of the support structure 150 and the top surface of the capping pattern 140 may both be disposed on the same plane as the top surface of the substrate 100.

몇몇 실시예에서, 지지 구조체(150)는 캡핑 패턴(140)보다 깊게 형성될 수 있다. 예를 들어, 도 3에 도시된 것처럼, 기판(100)의 상면을 기준으로, 지지 구조체(150)의 깊이(D22)는 캡핑 패턴(140)의 깊이(D21)보다 깊을 수 있다. 이에 따라, 지지 구조체(150)의 바닥면은 캡핑 패턴(140)의 바닥면보다 낮을 수 있다. 또한, 패스 게이트 전극(PG)의 상면은 메인 게이트 전극(MG)의 상면보다 낮을 수 있다.In some embodiments, support structure 150 may be formed deeper than capping pattern 140 . For example, as shown in FIG. 3 , based on the top surface of the substrate 100, the depth D22 of the support structure 150 may be deeper than the depth D21 of the capping pattern 140. Accordingly, the bottom surface of the support structure 150 may be lower than the bottom surface of the capping pattern 140. Additionally, the top surface of the pass gate electrode PG may be lower than the top surface of the main gate electrode MG.

몇몇 실시예에서, 지지 구조체(150)의 제2 측벽(150S2)은 제1 방향(DR1)과 다른 방향으로 연장될 수 있다. 예를 들어, 도 1에 도시된 것처럼, 지지 구조체(150)의 제2 측벽(150S2)은 제1 방향(DR1)과 제3 예각(θ3)을 이루는 제4 방향(DR4)으로 연장될 수 있다.In some embodiments, the second side wall 150S2 of the support structure 150 may extend in a direction different from the first direction DR1. For example, as shown in FIG. 1, the second side wall 150S2 of the support structure 150 may extend in the fourth direction DR4 forming a third acute angle θ3 with the first direction DR1. .

몇몇 실시예에서, 제3 예각(θ3)은 제1 예각(θ1)보다 작을 수 있다. 예를 들어, 제3 예각(θ3)은 30°일 수 있고, 제1 예각(θ1)은 60°일 수 있다. 이에 따라, 도 1에 도시된 것처럼, 워드 라인(WL)에 대하여 지지 구조체(150)의 제2 측벽(150S2)이 기울어진 정도는 워드 라인(WL)에 대하여 활성 영역(AR)이 기울어진 정도보다 작을 수 있다. 반대로, 비트 라인(BL)에 대하여 지지 구조체(150)의 제2 측벽(150S2)이 기울어진 정도는 비트 라인(BL)에 대하여 활성 영역(AR)이 기울어진 정도보다 클 수 있다.In some embodiments, the third acute angle θ3 may be smaller than the first acute angle θ1. For example, the third acute angle θ3 may be 30°, and the first acute angle θ1 may be 60°. Accordingly, as shown in FIG. 1, the degree to which the second side wall 150S2 of the support structure 150 is tilted with respect to the word line WL is the degree to which the active area AR is tilted to the word line WL. It can be smaller than Conversely, the degree to which the second side wall 150S2 of the support structure 150 is tilted with respect to the bit line BL may be greater than the degree to which the active area AR is tilted to the bit line BL.

지지 구조체(150)는 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 및 이들의 조합 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다. 설명의 편의를 위해, 이하에서 지지 구조체(150)는 실리콘 질화물을 포함하는 것으로 설명한다.The support structure 150 may include, but is not limited to, at least one of, for example, silicon oxide, silicon nitride, silicon oxynitride, and combinations thereof. For convenience of explanation, the support structure 150 will be described below as including silicon nitride.

몇몇 실시예에서, 지지 구조체(150)는 소자 분리막(110)과 다른 물질을 포함할 수 있다. 예를 들어, 소자 분리막(110)은 실리콘 산화물을 포함하고, 지지 구조체(150)는 실리콘 질화물을 포함할 수 있다.In some embodiments, the support structure 150 may include a material different from the device isolation layer 110. For example, the isolation film 110 may include silicon oxide, and the support structure 150 may include silicon nitride.

몇몇 실시예에서, 지지 구조체(150)는 캡핑 패턴(140)과 다른 물질을 포함할 수 있다. 예를 들어, 캡핑 패턴(140)과 지지 구조체(150)는 서로 다른 물질 구성의 실리콘 질화물을 포함할 수 있다. 몇몇 실시예에서, 지지 구조체(150)는 캡핑 패턴(140)보다 낮은 유전율을 갖는 물질을 포함할 수 있다. 이에 따라, 지지 구조체(150)는 캡핑 패턴(140)보다 낮은 유전율을 가질 수 있다. 이러한 경우에, 지지 구조체(150)는 후술되는 패스 게이트 전극(PG)에 의한 게이트 유발 드레인 누설(GIDL)을 더욱 개선하여 성능이 향상된 반도체 장치를 제공할 수 있다.In some embodiments, support structure 150 may include a different material than capping pattern 140. For example, the capping pattern 140 and the support structure 150 may include silicon nitride of different material compositions. In some embodiments, support structure 150 may include a material with a lower dielectric constant than capping pattern 140 . Accordingly, the support structure 150 may have a lower dielectric constant than the capping pattern 140. In this case, the support structure 150 can provide a semiconductor device with improved performance by further improving gate-induced drain leakage (GIDL) caused by the pass gate electrode PG, which will be described later.

제1 층간 절연막(200) 및 제2 층간 절연막(210)은 기판(100) 상에 차례로 적층될 수 있다. 기판(100) 상에 2개의 층간 절연막(200, 210)만이 형성되는 것으로 설명되지만, 이는 예시적인 것일 뿐이고, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 예를 들어, 기판(100) 상에 3개 이상의 층간 절연막이 형성될 수 있음은 물론이다.The first interlayer insulating film 200 and the second interlayer insulating film 210 may be sequentially stacked on the substrate 100 . Although it is explained that only two interlayer insulating films 200 and 210 are formed on the substrate 100, this is only an example and the technical idea of the present invention is not limited thereto. For example, it goes without saying that three or more interlayer insulating films may be formed on the substrate 100.

제1 층간 절연막(200) 및 제2 층간 절연막(210)은 예를 들어, 실리콘 산화물, 실리콘 질화물 및 실리콘 산질화물 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다.For example, the first interlayer insulating film 200 and the second interlayer insulating film 210 may include, but are not limited to, at least one of silicon oxide, silicon nitride, and silicon oxynitride.

제1 컨택 구조체(220)는 제1 소오스/드레인 영역(105a)과 접속될 수 있다. 예를 들어, 제1 컨택 구조체(220)는 제1 층간 절연막(200)을 관통하여 제1 소오스/드레인 영역(105a)과 접속될 수 있다.The first contact structure 220 may be connected to the first source/drain region 105a. For example, the first contact structure 220 may penetrate the first interlayer insulating film 200 and be connected to the first source/drain region 105a.

제2 컨택 구조체(230)는 제2 소오스/드레인 영역(105b)과 접속될 수 있다. 예를 들어, 제2 컨택 구조체(230)는 제1 층간 절연막(200) 및 제2 층간 절연막(210)을 관통하여 제2 소오스/드레인 영역(105b)과 접속될 수 있다.The second contact structure 230 may be connected to the second source/drain region 105b. For example, the second contact structure 230 may penetrate the first interlayer insulating film 200 and the second interlayer insulating film 210 and be connected to the second source/drain region 105b.

제1 컨택 구조체(220) 및 제2 컨택 구조체(230)는 각각 도전 물질을 포함할 수 있다. 예를 들어, 제1 컨택 구조체(220) 및 제2 컨택 구조체(230)는 티타늄(Ti), 탄탈럼(Ta), 텅스텐(W), 알루미늄(Al), 코발트(Co) 등의 금속 및 이들의 조합 중 적어도 하나를 포함할 수 있다. 또한, 예를 들어, 제1 컨택 구조체(220) 및 제2 컨택 구조체(230)는 금속이 아닌, 폴리 실리콘 또는 실리콘 게르마늄 등을 포함할 수도 있다.The first contact structure 220 and the second contact structure 230 may each include a conductive material. For example, the first contact structure 220 and the second contact structure 230 are metals such as titanium (Ti), tantalum (Ta), tungsten (W), aluminum (Al), and cobalt (Co), and these It may include at least one of a combination of. Additionally, for example, the first contact structure 220 and the second contact structure 230 may include polysilicon or silicon germanium, rather than metal.

제1 컨택 구조체(220) 및 제2 컨택 구조체(230)는 각각 한 종류의 도전 물질로 이루어지는 단일층일 수도 있고, 여러 종류의 도전 물질들의 조합으로 이루어지는 다중층일 수도 있다. 예를 들어, 제2 컨택 구조체(230)는 제2 소오스/드레인 영역(105b) 상에 차례로 적층되는 폴리 실리콘층 및 금속층을 포함하는 다중층일 수 있다.The first contact structure 220 and the second contact structure 230 may each be a single layer made of one type of conductive material, or may be a multilayer made of a combination of several types of conductive materials. For example, the second contact structure 230 may be a multi-layer including a polysilicon layer and a metal layer sequentially stacked on the second source/drain region 105b.

비트 라인(BL)의 일부는 제1 컨택 구조체(220)와 접속될 수 있다. 예를 들어, 비트 라인(BL)은 제1 층간 절연막(200)의 상면 및 제1 컨택 구조체(220)의 상면 상에 형성될 수 있다. 이에 따라, 비트 라인(BL)은 제1 소오스/드레인 영역(105a)과 전기적으로 접속될 수 있다. 비트 라인(BL)은 한 종류의 도전 물질로 이루어지는 단일층일 수도 있고, 여러 종류의 도전 물질들의 조합으로 이루어지는 다중층일 수도 있다.A portion of the bit line BL may be connected to the first contact structure 220. For example, the bit line BL may be formed on the top surface of the first interlayer insulating film 200 and the top surface of the first contact structure 220. Accordingly, the bit line BL may be electrically connected to the first source/drain region 105a. The bit line BL may be a single layer made of one type of conductive material, or it may be a multilayer made of a combination of several types of conductive materials.

커패시터 구조체(300)의 일부는 제2 컨택 구조체(230)와 접속될 수 있다. 예를 들어, 커패시터 구조체(300)는 제2 층간 절연막(210)의 상면 및 제2 컨택 구조체(230)의 상면 상에 형성될 수 있다. 이에 따라, 커패시터 구조체(300)는 제2 소오스/드레인 영역(105b)과 전기적으로 접속될 수 있다.A portion of the capacitor structure 300 may be connected to the second contact structure 230. For example, the capacitor structure 300 may be formed on the top surface of the second interlayer insulating film 210 and the top surface of the second contact structure 230. Accordingly, the capacitor structure 300 may be electrically connected to the second source/drain region 105b.

커패시터 구조체(300)는 몇몇 실시예에 따른 반도체 장치(예를 들어, 반도체 메모리 장치)에서 전하를 저장할 수 있다. 예를 들어, 커패시터 구조체(300)는 하부 전극(310), 커패시터 유전막(320) 및 상부 전극(330)을 포함할 수 있다. 커패시터 구조체(300)는, 하부 전극(310)과 상부 전극(330) 사이에서 발생되는 전위차를 이용하여 커패시터 유전막(320) 내에 전하를 저장할 수 있다.The capacitor structure 300 may store charge in a semiconductor device (eg, a semiconductor memory device) according to some embodiments. For example, the capacitor structure 300 may include a lower electrode 310, a capacitor dielectric layer 320, and an upper electrode 330. The capacitor structure 300 can store charges in the capacitor dielectric film 320 using the potential difference generated between the lower electrode 310 and the upper electrode 330.

하부 전극(310) 및 상부 전극(330)은 예를 들어, 도핑된 폴리실리콘, 금속 또는 금속 질화물을 포함할 수 있으나, 이에 제한되는 것은 아니다. 커패시터 유전막(320)은 예를 들어, 실리콘 산화물 또는 고유전율 물질을 포함할 수 있으나, 이에 제한되는 것은 아니다.The lower electrode 310 and the upper electrode 330 may include, for example, doped polysilicon, metal, or metal nitride, but are not limited thereto. The capacitor dielectric layer 320 may include, for example, silicon oxide or a high dielectric constant material, but is not limited thereto.

소오스/드레인 영역과 게이트 전극이 서로 인접하게 배치되면, 이들 사이에 강한 전계가 발생될 수 있다. 이로 인해 소오스/드레인 영역과 게이트 전극 사이의 직접적인 터널링이 발생할 수 있고, 이에 따른 누설 전류를 게이트 유발 드레인 누설(GIDL; Gate Induced Drain Leakage)이라 한다.If the source/drain region and the gate electrode are placed adjacent to each other, a strong electric field may be generated between them. This can cause direct tunneling between the source/drain region and the gate electrode, and the resulting leakage current is called gate induced drain leakage (GIDL).

반도체 장치가 고집적화됨에 따라, 이러한 게이트 유발 드레인 누설은 메인 게이트 전극뿐만 아니라 패스 게이트 전극에 의해서도 발생할 수 있다. 그러나, 몇몇 실시예에 따른 반도체 장치는, 패스 게이트 전극(PG)을 메인 게이트 전극(MG)보다 낮게 배치하여, 게이트 유발 드레인 누설을 방지할 수 있다. 게이트 유발 드레인 누설은 소오스/드레인 영역과 게이트 전극의 중첩 면적에 의존하는데, 메인 게이트 전극(MG)보다 낮게 배치되는 패스 게이트 전극(PG)은 이러한 중첩 면적을 감소시킬 수 있기 때문이다.As semiconductor devices become more highly integrated, such gate-induced drain leakage may occur not only by the main gate electrode but also by the pass gate electrode. However, in semiconductor devices according to some embodiments, gate-induced drain leakage can be prevented by placing the pass gate electrode PG lower than the main gate electrode MG. Gate-induced drain leakage depends on the overlap area of the source/drain region and the gate electrode, and the pass gate electrode (PG) placed lower than the main gate electrode (MG) can reduce this overlap area.

또한, 몇몇 실시예에 따른 반도체 장치는 패스 게이트 전극(PG) 상에 형성되는 지지 구조체(150)를 포함할 수 있다. 지지 구조체(150)는 패스 게이트 전극(PG)이 매립되는 제2 트렌치(P2)를 지지할 수 있다. 예를 들어, 지지 구조체(150)는 제2 트렌치(P2)의 종횡비(aspect ratio)가 큰 경우에도 제2 트렌치(P2)가 휘는 것을 방지할 수 있다. 이에 따라, 신뢰성 및 성능이 향상된 반도체 장치가 제공될 수 있다.Additionally, a semiconductor device according to some embodiments may include a support structure 150 formed on the pass gate electrode PG. The support structure 150 may support the second trench P2 in which the pass gate electrode PG is buried. For example, the support structure 150 can prevent the second trench P2 from bending even when the aspect ratio of the second trench P2 is large. Accordingly, a semiconductor device with improved reliability and performance can be provided.

도 5 및 도 6은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 단면도들이다. 참고적으로, 도 5는 도 1의 A-A를 따라 절단한 다른 단면도이다. 도 6은 도 1의 B-B를 따라 절단한 다른 단면도이다. 설명의 편의를 위해, 도 1 내지 도 4를 이용하여 설명한 것과 중복되는 부분은 간략히 설명하거나 생략한다.5 and 6 are cross-sectional views for explaining semiconductor devices according to some embodiments of the technical idea of the present invention. For reference, FIG. 5 is another cross-sectional view taken along line A-A of FIG. 1. FIG. 6 is another cross-sectional view taken along line B-B of FIG. 1. For convenience of explanation, parts that overlap with those described using FIGS. 1 to 4 will be briefly described or omitted.

도 1, 도 5 및 도 6을 참조하면, 몇몇 실시예에 따른 반도체 장치는 배리어막(160)을 더 포함한다.Referring to FIGS. 1, 5, and 6, semiconductor devices according to some embodiments further include a barrier layer 160.

배리어막(160)은 게이트 절연막(130)과 게이트 전극(120) 사이에 개재될 수 있다. 예를 들어, 배리어막(160)은 게이트 절연막(130)의 표면을 따라 컨포멀하게 연장될 수 있다.The barrier film 160 may be interposed between the gate insulating film 130 and the gate electrode 120. For example, the barrier layer 160 may extend conformally along the surface of the gate insulating layer 130.

배리어막(160)은 제1 트렌치(P1) 내의 제1 배리어막(160a)과, 제2 트렌치(P2) 내의 제2 배리어막(160b)을 포함할 수 있다. 즉, 제1 배리어막(160a)은 제1 게이트 절연막(130a)과 메인 게이트 전극(MG) 사이에 개재되는 배리어막(160)의 일부일 수 있고, 제2 배리어막(160b)은 제2 게이트 절연막(130b)과 패스 게이트 전극(PG) 사이에 개재되는 배리어막(160)의 일부일 수 있다.The barrier film 160 may include a first barrier film 160a in the first trench P1 and a second barrier film 160b in the second trench P2. That is, the first barrier film 160a may be a part of the barrier film 160 interposed between the first gate insulating film 130a and the main gate electrode MG, and the second barrier film 160b may be a second gate insulating film. It may be a part of the barrier film 160 interposed between 130b and the pass gate electrode PG.

예를 들어, 제1 배리어막(160a)은 메인 게이트 전극(MG)의 바닥면 및 측벽을 따라 연장될 수 있고, 제2 배리어막(160b)은 패스 게이트 전극(PG)의 바닥면 및 측벽을 따라 연장될 수 있다.For example, the first barrier film 160a may extend along the bottom and sidewalls of the main gate electrode MG, and the second barrier film 160b may extend along the bottom and sidewalls of the pass gate electrode PG. It may be extended accordingly.

몇몇 실시예에서, 제1 배리어막(160a)은 제2 방향(DR2)으로 연장되는 캡핑 패턴(140)의 측벽을 따라 연장되지 않을 수 있다. 예를 들어, 도 5에 도시된 것처럼, 캡핑 패턴(140)은 제1 배리어막(160a)의 상면 및 메인 게이트 전극(MG)의 상면 상에 형성될 수 있다. 또한, 제1 배리어막(160a)은 메인 게이트 전극(MG)과 캡핑 패턴(140) 사이에 개재되지 않을 수 있다. 예를 들어, 제1 배리어막(160a)은 메인 게이트 전극(MG)의 상면 및/또는 캡핑 패턴(140)의 바닥면을 따라 연장되지 않을 수 있다.In some embodiments, the first barrier layer 160a may not extend along the sidewall of the capping pattern 140 extending in the second direction DR2. For example, as shown in FIG. 5 , the capping pattern 140 may be formed on the top surface of the first barrier layer 160a and the top surface of the main gate electrode MG. Additionally, the first barrier layer 160a may not be interposed between the main gate electrode MG and the capping pattern 140. For example, the first barrier layer 160a may not extend along the top surface of the main gate electrode MG and/or the bottom surface of the capping pattern 140.

몇몇 실시예에서, 제2 배리어막(160b)은 패스 게이트 전극(PG)의 상면 및/또는 지지 구조체(150)의 바닥면을 따라 더 연장될 수 있다. 예를 들어, 제2 배리어막(160b)은 패스 게이트 전극(PG)의 바닥면, 측벽 및 상면을 따라 연장될 수 있다. 이에 따라, 제2 배리어막(160b)은 소자 분리막(110)과 패스 게이트 전극(PG) 사이 및 지지 구조체(150)와 패스 게이트 전극(PG) 사이에 개재될 수 있다.In some embodiments, the second barrier layer 160b may extend further along the top surface of the pass gate electrode PG and/or the bottom surface of the support structure 150. For example, the second barrier layer 160b may extend along the bottom, sidewall, and top surface of the pass gate electrode PG. Accordingly, the second barrier film 160b may be interposed between the device isolation film 110 and the pass gate electrode PG and between the support structure 150 and the pass gate electrode PG.

몇몇 실시에에서, 제2 배리어막(160b)은 지지 구조체(150)의 제2 측벽(150S2)을 따라 더 연장될 수 있다. 예를 들어, 도 6에 도시된 것처럼, 제2 배리어막(160b)은 패스 게이트 전극(PG)의 바닥면 및 측벽과, 지지 구조체(150)의 바닥면 및 제2 측벽(150S2)을 따라 연장될 수 있다.In some embodiments, the second barrier film 160b may extend further along the second sidewall 150S2 of the support structure 150. For example, as shown in FIG. 6, the second barrier layer 160b extends along the bottom surface and sidewall of the pass gate electrode PG and the bottom surface and second sidewall 150S2 of the support structure 150. It can be.

배리어막(160)은 예를 들어, 금속 질화물을 포함할 수 있다. 예를 들어, 배리어막(160)은 티타늄 질화물(TiN), 텅스텐 질화물(WN) 및 이들의 조합 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다.The barrier film 160 may include, for example, metal nitride. For example, the barrier film 160 may include at least one of titanium nitride (TiN), tungsten nitride (WN), and a combination thereof, but is not limited thereto.

몇몇 실시예에서, 배리어막(160)은 불순물이 도핑된 금속 질화물을 포함할 수 있다. 예를 들어, 배리어막(160)의 금속 질화물은 일함수를 변경시킬 수 잇는 불순물로 도핑될 수 있다. 예를 들어, 제1 소오스/드레인 영역(105a) 및 제2 소오스/드레인 영역(105b)이 제2 도전형(예를 들어, n형)인 경우에, 배리어막(160)은 란타넘(La)으로 도핑될 수 있다.In some embodiments, the barrier layer 160 may include metal nitride doped with impurities. For example, the metal nitride of the barrier layer 160 may be doped with an impurity that can change the work function. For example, when the first source/drain region 105a and the second source/drain region 105b are of a second conductivity type (e.g., n-type), the barrier layer 160 is formed of lanthanum (La). ) can be doped.

도 7 및 도 8은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 단면도들이다. 참고적으로, 도 7은 도 1의 A-A를 따라 절단한 다른 단면도이다. 도 8은 도 1의 B-B를 따라 절단한 다른 단면도이다. 설명의 편의를 위해, 도 1 내지 도 6을 이용하여 설명한 것과 중복되는 부분은 간략히 설명하거나 생략한다.7 and 8 are cross-sectional views for explaining semiconductor devices according to some embodiments of the technical idea of the present invention. For reference, FIG. 7 is another cross-sectional view taken along line A-A of FIG. 1. Figure 8 is another cross-sectional view taken along line B-B of Figure 1. For convenience of explanation, parts that overlap with those described using FIGS. 1 to 6 will be briefly described or omitted.

도 1, 도 7 및 도 8을 참조하면, 몇몇 실시예에 따른 반도체 장치는 삽입 도전막(170)을 더 포함한다.Referring to FIGS. 1, 7, and 8, semiconductor devices according to some embodiments further include an insertion conductive layer 170.

삽입 도전막(170)은 메인 게이트 전극(MG)과 캡핑 패턴(140) 사이에 개재될 수 있다. 예를 들어, 삽입 도전막(170)은 메인 게이트 전극(MG)의 상면을 따라 컨포멀하게 연장될 수 있다.The insertion conductive film 170 may be interposed between the main gate electrode MG and the capping pattern 140. For example, the insertion conductive layer 170 may extend conformally along the top surface of the main gate electrode MG.

몇몇 실시예에서, 삽입 도전막(170)은 제2 방향(DR2)으로 연장되는 삽입 도전막(170)의 측벽을 따라 연장되지 않을 수 있다. 예를 들어, 도 7에 도시된 것처럼, 캡핑 패턴(140)은 제1 배리어막(160a)의 상면 및 메인 게이트 전극(MG)의 상면 상에 형성될 수 있다.In some embodiments, the insertion conductive layer 170 may not extend along the sidewall of the insertion conductive layer 170 extending in the second direction DR2. For example, as shown in FIG. 7 , the capping pattern 140 may be formed on the top surface of the first barrier layer 160a and the top surface of the main gate electrode MG.

몇몇 실시예에서, 삽입 도전막(170)은 패스 게이트 전극(PG)의 상면을 따라 연장되지 않을 수 있다. 또한, 삽입 도전막(170)의 바닥면은 지지 구조체(150)의 바닥면보다 높을 수 있다.In some embodiments, the insertion conductive layer 170 may not extend along the top surface of the pass gate electrode PG. Additionally, the bottom surface of the inserted conductive film 170 may be higher than the bottom surface of the support structure 150.

몇몇 실시예에서, 삽입 도전막(170)은 게이트 전극(120)과 다른 물질을 포함할 수 있다. 예를 들어, 게이트 전극(120)은 텅스텐(W)을 포함하고, 삽입 도전막(170)은 폴리 실리콘을 포함할 수 있으나, 이에 제한되는 것은 아니다.In some embodiments, the insertion conductive layer 170 may include a material different from that of the gate electrode 120. For example, the gate electrode 120 may include tungsten (W), and the insertion conductive layer 170 may include polysilicon, but are not limited thereto.

도 9 및 도 10은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 단면도들이다. 참고적으로, 도 9는 도 1의 A-A를 따라 절단한 다른 단면도이다. 도 10은 도 1의 B-B를 따라 절단한 다른 단면도이다. 설명의 편의를 위해, 도 1 내지 도 4를 이용하여 설명한 것과 중복되는 부분은 간략히 설명하거나 생략한다.9 and 10 are cross-sectional views for explaining semiconductor devices according to some embodiments of the technical idea of the present invention. For reference, FIG. 9 is another cross-sectional view taken along line A-A of FIG. 1. FIG. 10 is another cross-sectional view taken along line B-B of FIG. 1. For convenience of explanation, parts that overlap with those described using FIGS. 1 to 4 will be briefly described or omitted.

도 1, 도 9 및 도 10을 참조하면, 몇몇 실시예에 따른 반도체 장치에서, 캡핑 패턴(140)은 에어갭(145; air gap, 또는 보이드(void))을 포함한다.1, 9, and 10, in semiconductor devices according to some embodiments, the capping pattern 140 includes an air gap (or void) 145.

에어갭(145)은 타원형이며 제2 방향(DR2)으로 길게 연장되는 것으로 도시되었으나, 이는 예시적인 것일 뿐이고, 그 형성 공정에 따라 에어갭(145)은 다양한 형상을 가질 수 있다. 예를 들어, 구형인 복수의 에어갭(145)이 캡핑 패턴(140) 내에 형성될 수도 있다.The air gap 145 is shown as having an oval shape and extending long in the second direction DR2, but this is only an example, and the air gap 145 may have various shapes depending on the forming process. For example, a plurality of spherical air gaps 145 may be formed in the capping pattern 140 .

캡핑 패턴(140) 내의 에어갭(145)은 낮은 유전율을 가지므로, 몇몇 실시예에 따른 반도체 장치의 기생 커패시턴스(parasitic capacitance)를 감소시킬 수 있다.Since the air gap 145 in the capping pattern 140 has a low dielectric constant, parasitic capacitance of the semiconductor device according to some embodiments can be reduced.

도 11은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 단면도이다. 참고적으로, 도 11은 도 1의 A-A를 따라 절단한 다른 단면도이다. 설명의 편의를 위해, 도 1 내지 도 4를 이용하여 설명한 것과 중복되는 부분은 간략히 설명하거나 생략한다.11 is a cross-sectional view illustrating a semiconductor device according to some embodiments of the technical idea of the present invention. For reference, FIG. 11 is another cross-sectional view taken along line A-A of FIG. 1. For convenience of explanation, parts that overlap with those described using FIGS. 1 to 4 will be briefly described or omitted.

도 1 및 도 11을 참조하면, 몇몇 실시예에 따른 반도체 장치에서, 제2 트렌치(P2)의 폭은 제1 트렌치(P1)의 폭보다 작다.1 and 11 , in semiconductor devices according to some embodiments, the width of the second trench P2 is smaller than the width of the first trench P1.

여기서, 폭이란, 동일 레벨에서 제3 방향(DR3)으로의 폭을 의미한다. 예를 들어, 기판(100)의 상면을 기준으로 임의의 제1 깊이(D31)가 정의될 수 있다. 이 때, 제1 깊이(D31)에서 제2 트렌치(P2)의 제3 방향(DR3)으로의 폭(W12)은, 제1 깊이(D31)에서 제1 트렌치(P1)의 제3 방향(DR3)으로의 폭(W11)보다 작을 수 있다.Here, the width means the width in the third direction DR3 at the same level. For example, an arbitrary first depth D31 may be defined based on the top surface of the substrate 100. At this time, the width W12 from the first depth D31 to the third direction DR3 of the second trench P2 is the width W12 of the first depth D31 to the third direction DR3 of the first trench P1. ) may be smaller than the width (W11).

이에 따라, 몇몇 실시예에 따른 반도체 장치는 패스 게이트 전극(PG)에 의한 게이트 유발 드레인 누설(GIDL)을 더욱 개선하여 성능이 향상된 반도체 장치를 제공할 수 있다.Accordingly, the semiconductor device according to some embodiments may provide a semiconductor device with improved performance by further improving gate induced drain leakage (GIDL) caused by the pass gate electrode PG.

도 12는 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 개략적인 레이아웃도이다. 설명의 편의를 위해, 도 1 내지 도 4를 이용하여 설명한 것과 중복되는 부분은 간략히 설명하거나 생략한다.12 is a schematic layout diagram for explaining a semiconductor device according to some embodiments of the technical idea of the present invention. For convenience of explanation, parts that overlap with those described using FIGS. 1 to 4 will be briefly described or omitted.

도 12를 참조하면, 몇몇 실시예에 따른 반도체 장치에서, 지지 구조체(150)의 제2 측벽(150S2)은 원호(圓弧, arc) 형태를 갖는다.Referring to FIG. 12 , in a semiconductor device according to some embodiments, the second side wall 150S2 of the support structure 150 has an arc shape.

예를 들어, 지지 구조체(150)는 서로 대향되는 2개의 제2 측벽(150S2)을 포함할 수 있다. 상기 2개의 제2 측벽(150S2)은 지지 구조체(150)의 중앙부를 중심으로 정의되는 원의 일부를 구성할 수 있다.For example, the support structure 150 may include two second side walls 150S2 facing each other. The two second side walls 150S2 may form part of a circle defined around the center of the support structure 150.

그러나, 몇몇 실시예에서, 지지 구조체(150)의 제1 측벽(150S1)은 제2 방향(DR2)으로 연장될 수 있다.However, in some embodiments, the first side wall 150S1 of the support structure 150 may extend in the second direction DR2.

도 13은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 개략적인 레이아웃도이다. 설명의 편의를 위해, 도 1 내지 도 4를 이용하여 설명한 것과 중복되는 부분은 간략히 설명하거나 생략한다.13 is a schematic layout diagram for explaining a semiconductor device according to some embodiments of the technical idea of the present invention. For convenience of explanation, parts that overlap with those described using FIGS. 1 to 4 will be briefly described or omitted.

도 13을 참조하면, 몇몇 실시예에 따른 반도체 장치에서, 지지 구조체(150)의 제2 측벽(150S2)은 제3 방향(DR3)을 따라 연장된다.Referring to FIG. 13 , in the semiconductor device according to some embodiments, the second sidewall 150S2 of the support structure 150 extends along the third direction DR3.

예를 들어, 지지 구조체(150)의 제2 측벽(150S2)은 비트 라인(BL)과 나란히 연장될 수 있다.For example, the second side wall 150S2 of the support structure 150 may extend parallel to the bit line BL.

몇몇 실시예에서, 제2 방향(DR2)과 제3 방향(DR3)은 직교할 수 있다. 예를 들어, 제1 예각(θ1)과 제2 예각(θ2)의 합은 90°일 수 있다. 이에 따라, 지지 구조체(150)의 제1 측벽(150S1)과 제2 측벽(150S2)은 직교할 수 있다.In some embodiments, the second direction DR2 and the third direction DR3 may be perpendicular to each other. For example, the sum of the first acute angle θ1 and the second acute angle θ2 may be 90°. Accordingly, the first side wall 150S1 and the second side wall 150S2 of the support structure 150 may be perpendicular to each other.

도 14는 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명하기 위한 개략적인 레이아웃도이다. 설명의 편의를 위해, 도 1 내지 도 4를 이용하여 설명한 것과 중복되는 부분은 간략히 설명하거나 생략한다.14 is a schematic layout diagram for explaining a semiconductor device according to some embodiments of the technical idea of the present invention. For convenience of explanation, parts that overlap with those described using FIGS. 1 to 4 will be briefly described or omitted.

도 14를 참조하면, 몇몇 실시예에 따른 반도체 장치에서, 지지 구조체(150)의 제2 측벽(150S2)은 제1 방향(DR1)과 제1 각도(θ4)를 이루는 제5 방향(DR5)으로 연장될 수 있다.Referring to FIG. 14 , in the semiconductor device according to some embodiments, the second side wall 150S2 of the support structure 150 is oriented in a fifth direction DR5 forming a first angle θ4 with the first direction DR1. It may be extended.

몇몇 실시예에서, 제1 각도(θ4)는 제1 방향(DR1)에 대하여 제1 예각(θ1)보다 큰 각도를 이룰 수 있다. 예를 들어, 제1 예각(θ1)은 60°일 수 있고, 제1 각도(θ4)는 90°일 수 있다. 그러나, 이는 예시적인 것일 뿐이고, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다.In some embodiments, the first angle θ4 may be greater than the first acute angle θ1 with respect to the first direction DR1. For example, the first acute angle θ1 may be 60°, and the first angle θ4 may be 90°. However, this is only an example, and the technical idea of the present invention is not limited thereto.

이하에서, 도 1 내지 도 14를 참조하여, 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치를 설명한다.Hereinafter, a semiconductor device according to some embodiments of the technical idea of the present invention will be described with reference to FIGS. 1 to 14.

도 15 내지 도 39는 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면들이다. 설명의 편의를 위해, 도 1 내지 도 11을 이용하여 설명한 것과 중복되는 부분은 간략히 설명하거나 생략한다.15 to 39 are intermediate stage diagrams for explaining a method of manufacturing a semiconductor device according to some embodiments of the technical idea of the present invention. For convenience of explanation, parts that overlap with those described using FIGS. 1 to 11 will be briefly described or omitted.

도 15 내지 도 17을 참조하면, 기판(100) 내에 활성 영역(AR) 및 소자 분리막(110)을 형성한다. 참고적으로, 도 16은 도 15의 A-A를 따라 절단한 단면도이고, 도 17은 도 15의 B-B를 따라 절단한 단면도이다.15 to 17, an active region AR and a device isolation layer 110 are formed in the substrate 100. For reference, FIG. 16 is a cross-sectional view taken along line A-A of FIG. 15, and FIG. 17 is a cross-sectional view taken along line B-B of FIG. 15.

기판(100)은 활성 영역(AR)을 포함할 수 있다. 도 15에 도시된 것처럼, 활성 영역(AR)은 제1 방향(DR1)으로 연장되는 복수의 바 형태로 형성될 수 있다. 활성 영역(AR)은 불순물 영역(105)을 포함할 수 있다. 불순물 영역(105)은 활성 영역(AR) 내에 불순물이 주입되어 형성될 수 있다. 이 때, 불순물을 주입하는 것은 이온 주입(ion implantation) 공정에 의해 수행될 수 있으나, 이에 제한되는 것은 아니다.The substrate 100 may include an active area (AR). As shown in FIG. 15 , the active area AR may be formed in the shape of a plurality of bars extending in the first direction DR1. The active region AR may include an impurity region 105 . The impurity region 105 may be formed by implanting impurities into the active region AR. At this time, implantation of impurities may be performed through an ion implantation process, but is not limited thereto.

소자 분리막(110)은 기판(100) 내에 형성될 수 있다. 예를 들어, 기판(100) 내에, 활성 영역(AR)을 정의하는 트렌치가 형성될 수 있고, 상기 트렌치를 채우는 절연막이 형성될 수 있다. 이에 따라, 기판(100) 내에, 복수의 활성 영역(AR)을 정의하는 소자 분리막(110)이 형성될 수 있다.The device isolation layer 110 may be formed within the substrate 100 . For example, a trench defining the active area AR may be formed in the substrate 100, and an insulating film may be formed to fill the trench. Accordingly, a device isolation layer 110 defining a plurality of active regions AR may be formed within the substrate 100.

도 18 내지 도 20을 참조하면, 기판(100) 내에 게이트 트렌치(GT)를 형성한다. 참고적으로, 도 19는 도 18의 A-A를 따라 절단한 단면도이고, 도 20은 도 18의 B-B를 따라 절단한 단면도이다.18 to 20, a gate trench GT is formed in the substrate 100. For reference, FIG. 19 is a cross-sectional view taken along line A-A of FIG. 18, and FIG. 20 is a cross-sectional view taken along line B-B of FIG. 18.

게이트 트렌치(GT)는 활성 영역(AR) 및 소자 분리막(110)을 가로지르도록 형성될 수 있다. 예를 들어, 게이트 트렌치(GT)는 제2 방향(DR2)으로 연장되도록 형성될 수 있다. 게이트 트렌치(GT)는 활성 영역(AR) 내에서 제2 방향(DR2)으로 연장되는 제1 트렌치(P1)와, 소자 분리막(110) 내에서 제2 방향(DR2)으로 연장되는 제2 트렌치(P2)를 포함할 수 있다.The gate trench GT may be formed to cross the active region AR and the device isolation layer 110. For example, the gate trench GT may be formed to extend in the second direction DR2. The gate trench GT includes a first trench P1 extending in the second direction DR2 within the active region AR and a second trench extending in the second direction DR2 within the device isolation layer 110 ( P2) may be included.

제1 트렌치(P1)는 도 15 내지 도 17의 불순물 영역(105)을 분리할 수 있다. 이에 따라, 제1 트렌치(P1)의 양 측에 각각 배치되는 제1 소오스/드레인 영역(105a) 및 제2 소오스/드레인 영역(105b)이 형성될 수 있다.The first trench P1 may separate the impurity region 105 of FIGS. 15 to 17 . Accordingly, a first source/drain region 105a and a second source/drain region 105b respectively disposed on both sides of the first trench P1 may be formed.

몇몇 실시예에서, 제2 트렌치(P2)는 제1 트렌치(P1)보다 깊게 형성될 수 있다. 예를 들어, 도 19에 도시된 것처럼, 기판(100)의 상면을 기준으로, 제2 트렌치(P2)의 깊이(D12)는 제1 트렌치(P1)의 깊이(D11)보다 깊을 수 있다.In some embodiments, the second trench P2 may be formed deeper than the first trench P1. For example, as shown in FIG. 19 , with respect to the top surface of the substrate 100, the depth D12 of the second trench P2 may be deeper than the depth D11 of the first trench P1.

도 21 및 도 22를 참조하면, 기판(100) 상에 희생막(400)을 형성한다.21 and 22, a sacrificial film 400 is formed on the substrate 100.

희생막(400)은 게이트 트렌치(GT)를 채우도록 형성될 수 있다. 즉, 희생막(400)은 제1 트렌치(P1) 및 제2 트렌치(P2)를 채울 수 있다.The sacrificial layer 400 may be formed to fill the gate trench GT. That is, the sacrificial layer 400 may fill the first trench (P1) and the second trench (P2).

희생막(400)은 후술되는 지지 구조체(150)에 대해 식각 선택비(etch selectivity)를 갖는 물질을 포함할 수 있다. 희생막(400)은 예를 들어, SOH(spin-on hardmask)를 포함할 수 있으나, 이에 제한되는 것은 아니다.The sacrificial layer 400 may include a material having etch selectivity with respect to the support structure 150, which will be described later. The sacrificial layer 400 may include, for example, a spin-on hardmask (SOH), but is not limited thereto.

도 23 내지 도 25를 참조하면, 희생막(400) 상에 마스크 패턴(MK)을 형성한다. 참고적으로, 도 24는 도 23의 A-A를 따라 절단한 단면도이고, 도 25는 도 23의 B-B를 따라 절단한 단면도이다.23 to 25, a mask pattern MK is formed on the sacrificial layer 400. For reference, FIG. 24 is a cross-sectional view taken along A-A of FIG. 23, and FIG. 25 is a cross-sectional view taken along B-B of FIG. 23.

마스크 패턴(MK)은 희생막(400)의 일부를 노출시키는 개구(OP)를 포함할 수 있다. 마스크 패턴(MK)의 개구(OP)는, 소자 분리막(110) 상의 희생막(400)의 일부를 노출시킬 수 있다.The mask pattern MK may include an opening OP exposing a portion of the sacrificial layer 400 . The opening OP of the mask pattern MK may expose a portion of the sacrificial layer 400 on the device isolation layer 110 .

몇몇 실시예에서, 마스크 패턴(MK)의 개구(OP)는, 제1 방향(DR1)을 따라 배열되는 2개의 활성 영역(AR) 사이의 소자 분리막(110)을 노출시킬 수 있다. 예를 들어, 도 23에 도시된 것처럼, 마스크 패턴(MK)의 개구(OP)는 제1 방향(DR1)을 따라 배열되는 2개의 활성 영역(AR) 사이의 소자 분리막(110)을 지나며, 제4 방향(DR4)으로 길게 연장될 수 있다. 제4 방향(DR4)은 제1 방향(DR1)과 제3 예각(θ3)을 이룰 수 있다. 몇몇 실시예에서, 제3 예각(θ3)은 제1 예각(θ1)보다 작을 수 있다.In some embodiments, the opening OP of the mask pattern MK may expose the device isolation layer 110 between the two active regions AR arranged along the first direction DR1. For example, as shown in FIG. 23, the opening OP of the mask pattern MK passes through the device isolation layer 110 between two active regions AR arranged along the first direction DR1. It can be extended long in four directions (DR4). The fourth direction DR4 may form a third acute angle θ3 with the first direction DR1. In some embodiments, the third acute angle θ3 may be smaller than the first acute angle θ1.

마스크 패턴(MK)을 형성하는 것은 예를 들어, SADP(self-aligned double patterning) 공정에 의해 수행될 수 있으나, 이에 제한되는 것은 아니다.Forming the mask pattern MK may be performed, for example, by a self-aligned double patterning (SADP) process, but is not limited thereto.

도 26 및 도 27을 참조하면, 마스크 패턴(MK)에 의해 노출되는 희생막(400)의 일부를 식각한다.Referring to FIGS. 26 and 27 , a portion of the sacrificial layer 400 exposed by the mask pattern (MK) is etched.

예를 들어, 마스크 패턴(MK)을 식각 마스크로 이용하는 식각 공정이 수행될 수 있다. 이에 따라, 마스크 패턴(MK)의 개구(OP)에 의해 노출되는 희생막(400)이 식각될 수 있다.For example, an etching process may be performed using the mask pattern MK as an etch mask. Accordingly, the sacrificial layer 400 exposed by the opening OP of the mask pattern MK may be etched.

그러나, 상기 식각 공정은 마스크 패턴(MK)의 개구(OP)에 의해 노출되는 희생막(400)의 일부만을 식각할 수 있다. 이에 따라, 제2 트렌치(P2) 내에 희생막(400)의 일부가 남을 수 있다. 또한, 제2 트렌치(P2) 내에, 희생막(400)의 상면에 의해 바닥면이 정의되는 리세스(RC)가 형성될 수 있다. 예를 들어, 기판(100)의 상면을 기준으로, 제2 트렌치(P2) 내의 희생막(400)의 상면(또는 리세스(RC)의 바닥면)의 깊이(D22)는, 제2 트렌치(P2)의 바닥면의 깊이(D12)보다 얕을 수 있다.However, the etching process may etch only a portion of the sacrificial layer 400 exposed by the opening OP of the mask pattern MK. Accordingly, a portion of the sacrificial layer 400 may remain in the second trench P2. Additionally, a recess RC whose bottom surface is defined by the top surface of the sacrificial film 400 may be formed in the second trench P2. For example, based on the top surface of the substrate 100, the depth D22 of the top surface of the sacrificial film 400 (or the bottom surface of the recess RC) in the second trench P2 is the second trench ( It may be shallower than the depth (D12) of the bottom surface of P2).

그러나, 몇몇 실시예에서, 기판(100)의 상면을 기준으로, 리세스(RC)의 바닥면의 깊이(D22)는, 후술되는 게이트 전극(120)의 상면의 깊이(도 36의 D21)보다 깊을 수 있다.However, in some embodiments, based on the top surface of the substrate 100, the depth D22 of the bottom surface of the recess RC is greater than the depth D21 of FIG. 36 of the top surface of the gate electrode 120, which will be described later. It can be deep.

도 28 및 도 29를 참조하면, 희생막(400) 상에 지지 절연막(150L)을 형성한다.28 and 29, a support insulating layer 150L is formed on the sacrificial layer 400.

예를 들어, 제2 트렌치(P2) 내의 리세스(도 26 및 도 27의 RC)를 채우는 지지 절연막(150L)이 형성될 수 있다. 이에 따라, 제2 트렌치(P2) 내의 희생막(400) 상에 지지 절연막(150L)이 형성될 수 있다.For example, a support insulating layer 150L may be formed to fill the recess (RC in FIGS. 26 and 27 ) in the second trench P2. Accordingly, a support insulating layer 150L may be formed on the sacrificial layer 400 in the second trench P2.

지지 절연막(150L)은 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 및 이들의 조합 중 적어도 하나를 포함할 수 있으나, 이에 제한되는 것은 아니다. 설명의 편의를 위해, 이하에서 지지 절연막(150L)은 실리콘 질화물을 포함하는 것으로 설명한다.The support insulating layer 150L may include, but is not limited to, at least one of, for example, silicon oxide, silicon nitride, silicon oxynitride, and combinations thereof. For convenience of explanation, the support insulating layer 150L will be described below as including silicon nitride.

지지 절연막(150L)은 마스크 패턴(MK) 상에 형성되는 것으로 도시되었으나, 이는 설명의 편의를 위한 것일 뿐이고, 본 발명의 기술적 사상이 이에 제한되는 것은 아니다. 예를 들어, 몇몇 실시예에서, 지지 절연막(150L)이 형성되기 전에, 마스크 패턴(MK)은 제거될 수도 있다.The support insulating layer 150L is shown as being formed on the mask pattern MK, but this is only for convenience of explanation and the technical idea of the present invention is not limited thereto. For example, in some embodiments, the mask pattern MK may be removed before the support insulating layer 150L is formed.

도 30 및 도 31을 참조하면, 제2 트렌치(P2) 내에 지지 구조체(150)를 형성한다.30 and 31, a support structure 150 is formed in the second trench P2.

예를 들어, 지지 절연막(도 28 및 도 29의 150L)에 대한 평탄화 공정이 수행될 수 있다. 상기 평탄화 공정은 예를 들어, 기판(100)의 상면이 노출될 때까지 수행될 수 있다.For example, a planarization process may be performed on the support insulating film (150L in FIGS. 28 and 29). For example, the planarization process may be performed until the top surface of the substrate 100 is exposed.

이에 따라, 제2 트렌치(P2)의 하부 및 제1 트렌치(P1)를 채우는 희생막(400)이 형성될 수 있다. 또한, 제2 트렌치(P2)의 상부를 채우는 지지 구조체(150)가 형성될 수 있다. 즉, 제2 트렌치(P2)의 상부에 배치되었던 희생막(400)의 일부는 지지 구조체(150)로 대체될 수 있다.Accordingly, the sacrificial film 400 may be formed to fill the lower portion of the second trench P2 and the first trench P1. Additionally, a support structure 150 may be formed to fill the upper part of the second trench P2. That is, part of the sacrificial film 400 disposed on the upper part of the second trench P2 may be replaced with the support structure 150.

도 32 및 도 33을 참조하면, 희생막(400)을 제거한다.Referring to FIGS. 32 and 33 , the sacrificial film 400 is removed.

예를 들어, 희생막(400)에 대한 애싱(ashing) 공정 및 스트립(strip) 공정이 수행될 수 있다. 상술한 바와 같이, 희생막(400)은 지지 구조체(150)에 대해 식각 선택비를 가질 수 있으므로, 희생막(400)이 제거되는 동안 지지 구조체(150)는 제거되지 않을 수 있다.For example, an ashing process and a strip process may be performed on the sacrificial layer 400. As described above, the sacrificial film 400 may have an etch selectivity with respect to the support structure 150, so the support structure 150 may not be removed while the sacrificial film 400 is removed.

이에 따라, 제2 트렌치(P2)의 상부에 지지 구조체(150)가 남을 수 있다. 또한, 제2 트렌치(P2)의 하부에 패스 게이트 전극용 갭(400G)이 형성될 수 있다.Accordingly, the support structure 150 may remain in the upper part of the second trench P2. Additionally, a gap 400G for a pass gate electrode may be formed in the lower part of the second trench P2.

도 34 및 도 35를 참조하면, 게이트 트렌치(GT) 내에 게이트 절연막(130)을 형성한다.Referring to Figures 34 and 35, a gate insulating film 130 is formed within the gate trench (GT).

예를 들어, 도 32 및 도 33의 결과물의 표면의 프로파일을 따라 연장되는 게이트 절연막(130)이 형성될 수 있다. 예를 들어, 도 34에 도시된 것처럼, 게이트 절연막(130)은 기판(100)의 상면, 소자 분리막(110)의 상면, 제1 트렌치(P1)의 바닥면 및 측벽, 제2 트렌치(P2)의 바닥면 및 측벽, 및 지지 구조체(150)의 바닥면 및 상면을 따라 연장될 수 있다. 또한, 도 35에 도시된 것처럼, 게이트 절연막(130)은 지지 구조체(150)의 제2 측벽(150S2)을 따라 더 연장될 수 있다.For example, the gate insulating layer 130 extending along the surface profile of the resulting product in FIGS. 32 and 33 may be formed. For example, as shown in FIG. 34, the gate insulating film 130 is formed on the top surface of the substrate 100, the top surface of the device isolation film 110, the bottom surface and sidewalls of the first trench (P1), and the second trench (P2). It may extend along the bottom surface and side walls, and the bottom surface and top surface of the support structure 150. Additionally, as shown in FIG. 35, the gate insulating film 130 may extend further along the second sidewall 150S2 of the support structure 150.

도 36 및 도 37을 참조하면, 게이트 트렌치(GT)의 일부를 채우는 게이트 전극(120)을 형성한다.Referring to FIGS. 36 and 37 , the gate electrode 120 is formed to fill a portion of the gate trench GT.

예를 들어, 게이트 트렌치(GT)를 채우는 도전막이 형성될 수 있고, 상기 도전막에 대한 리세스 공정이 수행될 수 있다. 이에 따라, 제1 트렌치(P1)의 하부를 채우는 메인 게이트 전극(MG)과, 제2 트렌치(P2)의 하부를 채우는 패스 게이트 전극(PG)이 형성될 수 있다.For example, a conductive film may be formed to fill the gate trench GT, and a recess process may be performed on the conductive film. Accordingly, the main gate electrode MG filling the lower part of the first trench P1 and the pass gate electrode PG filling the lower part of the second trench P2 may be formed.

몇몇 실시예에서, 상기 리세스 공정은, 기판(100)의 상면을 기준으로, 게이트 전극(120)의 상면의 깊이(D21)가 지지 구조체(150)의 바닥면의 깊이(D22)보다 높도록 수행될 수 있다. 이에 따라, 패스 게이트 전극용 갭(도 34 및 도 35의 400G)을 채우는 패스 게이트 전극(PG)이 형성될 수 있다. 또한, 메인 게이트 전극(MG)보다 깊게 배치되는 패스 게이트 전극(PG)이 형성될 수 있다.In some embodiments, the recess process is performed so that the depth D21 of the top surface of the gate electrode 120 is higher than the depth D22 of the bottom surface of the support structure 150, based on the top surface of the substrate 100. It can be done. Accordingly, the pass gate electrode PG may be formed to fill the gap for the pass gate electrode (400G in FIGS. 34 and 35). Additionally, the pass gate electrode PG may be formed deeper than the main gate electrode MG.

도 38 및 도 39를 참조하면, 메인 게이트 전극(MG) 상에 캡핑 패턴(140)을 형성한다.38 and 39, a capping pattern 140 is formed on the main gate electrode MG.

예를 들어, 도 36 및 도 37의 결과물 상에 절연막이 형성될 수 있다. 이어서, 상기 절연막에 대한 평탄화 공정이 수행될 수 있다. 상기 절연막은 예를 들어, 기판(100)의 상면이 노출될 때까지 수행될 수 있다. 이에 따라, 제1 트렌치(P1)의 상부를 채우는 캡핑 패턴(140)이 형성될 수 있다.For example, an insulating film may be formed on the results of FIGS. 36 and 37. Subsequently, a planarization process may be performed on the insulating film. For example, the insulating film may be formed until the top surface of the substrate 100 is exposed. Accordingly, the capping pattern 140 may be formed to fill the upper portion of the first trench P1.

또한, 기판(100)의 상면, 소자 분리막(110)의 상면 및 지지 구조체(150)의 상면이 노출될 수 있다.Additionally, the top surface of the substrate 100, the top surface of the device isolation film 110, and the top surface of the support structure 150 may be exposed.

이어서, 도 2 및 도 4를 참조하면, 기판(100) 상에 제1 층간 절연막(200), 제2 층간 절연막(210), 제1 컨택 구조체(220), 제2 컨택 구조체(230), 비트 라인(BL) 및 커패시터 구조체(300)를 형성한다.Next, referring to FIGS. 2 and 4 , a first interlayer insulating film 200, a second interlayer insulating film 210, a first contact structure 220, a second contact structure 230, and a bit are formed on the substrate 100. A line BL and a capacitor structure 300 are formed.

이에 따라, 도 1 내지 도 4를 이용하여 상술한 반도체 장치가 제조될 수 있다.Accordingly, the semiconductor device described above using FIGS. 1 to 4 can be manufactured.

몇몇 실시예에서, 게이트 절연막(130)을 형성한 후 및 게이트 전극(120)을 형성하기 전에, 배리어막(160)을 형성하는 것을 더 포함할 수 있다. 이에 따라, 도 5 및 도 6을 이용하여 상술한 반도체 장치가 제조될 수 있다.In some embodiments, forming a barrier layer 160 may be further included after forming the gate insulating layer 130 and before forming the gate electrode 120 . Accordingly, the semiconductor device described above using FIGS. 5 and 6 can be manufactured.

몇몇 실시예에서, 게이트 전극(120)을 형성한 후 및 캡핑 패턴(140)을 형성하기 전에, 삽입 도전막(170)을 형성하는 것을 더 포함할 수 있다. 이에 따라, 도 7 및 도 8을 이용하여 상술한 반도체 장치가 제조될 수 있다.In some embodiments, forming the insertion conductive layer 170 may be further included after forming the gate electrode 120 and before forming the capping pattern 140 . Accordingly, the semiconductor device described above using FIGS. 7 and 8 can be manufactured.

몇몇 실시예에서, 캡핑 패턴(140)을 형성하는 것은, 캡핑 패턴(140) 내의 에어갭(145)을 형성하는 포함할 수 있다. 이에 따라, 도 9 및 도 10을 이용하여 상술한 반도체 장치가 제조될 수 있다.In some embodiments, forming the capping pattern 140 may include forming an air gap 145 within the capping pattern 140 . Accordingly, the semiconductor device described above using FIGS. 9 and 10 can be manufactured.

몇몇 실시예에서, 게이트 트렌치(GT)를 형성하는 것은, 제1 트렌치(P1)보다 폭이 작도록 제2 트렌치(P2)를 형성하는 것을 포함할 수 있다. 이에 따라, 도 11을 이용하여 상술한 반도체 장치가 제조될 수 있다.In some embodiments, forming the gate trench GT may include forming the second trench P2 to have a smaller width than the first trench P1. Accordingly, the semiconductor device described above using FIG. 11 can be manufactured.

도 40은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면이다. 참고적으로, 도 40은 도 21 및 도 22 이후의 단계를 설명하기 위한 도면이다. 설명의 편의를 위해, 도 1 내지 도 39를 이용하여 설명한 것과 중복되는 부분은 간략히 설명하거나 생략한다.Figure 40 is an intermediate stage diagram for explaining a method of manufacturing a semiconductor device according to some embodiments of the technical idea of the present invention. For reference, FIG. 40 is a diagram for explaining the steps following FIGS. 21 and 22. For convenience of explanation, parts that overlap with those described using FIGS. 1 to 39 will be briefly described or omitted.

도 40을 참조하면, 희생막(400) 상에 마스크 패턴(MK)을 형성한다.Referring to FIG. 40, a mask pattern (MK) is formed on the sacrificial layer 400.

몇몇 실시예에서, 마스크 패턴(MK)의 개구(OP)는 복수의 원형 개구를 포함할 수 있다. 예를 들어, 마스크 패턴(MK)의 개구(OP)는, 제1 방향(DR1)을 따라 배열되는 2개의 활성 영역(AR) 사이의 소자 분리막(110)을 노출시키는 복수의 원형 개구를 포함할 수 있다.In some embodiments, the opening OP of the mask pattern MK may include a plurality of circular openings. For example, the opening OP of the mask pattern MK may include a plurality of circular openings exposing the device isolation layer 110 between the two active regions AR arranged along the first direction DR1. You can.

이어서, 도 26 내지 도 39의 단계가 수행될 수 있다. 이에 따라, 도 12를 이용하여 상술한 반도체 장치가 제조될 수 있다.The steps of Figures 26 to 39 may then be performed. Accordingly, the semiconductor device described above using FIG. 12 can be manufactured.

도 41은 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면이다. 참고적으로, 도 41은 도 21 및 도 22 이후의 단계를 설명하기 위한 도면이다. 설명의 편의를 위해, 도 1 내지 도 39를 이용하여 설명한 것과 중복되는 부분은 간략히 설명하거나 생략한다.41 is an intermediate stage diagram for explaining a method of manufacturing a semiconductor device according to some embodiments of the technical idea of the present invention. For reference, FIG. 41 is a diagram for explaining the steps following FIGS. 21 and 22. For convenience of explanation, parts that overlap with those described using FIGS. 1 to 39 will be briefly described or omitted.

도 41을 참조하면, 희생막(400) 상에 마스크 패턴(MK)을 형성한다.Referring to FIG. 41, a mask pattern (MK) is formed on the sacrificial layer 400.

몇몇 실시예에서, 마스크 패턴(MK)의 개구(OP)는 제3 방향(DR3)을 따라 길게 연장될 수 있다. 예를 들어, 마스크 패턴(MK)의 개구(OP)는, 제1 방향(DR1)을 따라 배열되는 2개의 활성 영역(AR) 사이의 소자 분리막(110)을 지나며, 제3 방향(DR3)으로 길게 연장될 수 있다.In some embodiments, the opening OP of the mask pattern MK may extend long along the third direction DR3. For example, the opening OP of the mask pattern MK passes through the device isolation layer 110 between two active regions AR arranged along the first direction DR1 and opens in the third direction DR3. It can be extended for a long time.

이어서, 도 26 내지 도 39의 단계가 수행될 수 있다. 이에 따라, 도 13을 이용하여 상술한 반도체 장치가 제조될 수 있다.The steps of Figures 26 to 39 may then be performed. Accordingly, the semiconductor device described above using FIG. 13 can be manufactured.

도 42는 본 발명의 기술적 사상의 몇몇 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 중간 단계 도면이다. 참고적으로, 도 42는 도 21 및 도 22 이후의 단계를 설명하기 위한 도면이다. 설명의 편의를 위해, 도 1 내지 도 39를 이용하여 설명한 것과 중복되는 부분은 간략히 설명하거나 생략한다.Figure 42 is an intermediate stage diagram for explaining a method of manufacturing a semiconductor device according to some embodiments of the technical idea of the present invention. For reference, FIG. 42 is a diagram for explaining the steps following FIGS. 21 and 22. For convenience of explanation, parts that overlap with those described using FIGS. 1 to 39 will be briefly described or omitted.

도 42를 참조하면, 희생막(400) 상에 마스크 패턴(MK)을 형성한다.Referring to FIG. 42, a mask pattern (MK) is formed on the sacrificial layer 400.

몇몇 실시예에서, 마스크 패턴(MK)의 개구(OP)는 제5 방향(DR5)을 따라 길게 연장될 수 있다. 예를 들어, 마스크 패턴(MK)의 개구(OP)는, 제1 방향(DR1)을 따라 배열되는 2개의 활성 영역(AR) 사이의 소자 분리막(110)을 지나며, 제5 방향(DR5)으로 길게 연장될 수 있다. 몇몇 실시예에서, 제1 각도(θ4)는 제1 예각(θ1)보다 클 수 있다.In some embodiments, the opening OP of the mask pattern MK may extend long along the fifth direction DR5. For example, the opening OP of the mask pattern MK passes through the device isolation layer 110 between two active regions AR arranged along the first direction DR1 and opens in the fifth direction DR5. It can be extended for a long time. In some embodiments, the first angle θ4 may be greater than the first acute angle θ1.

이어서, 도 26 내지 도 39의 단계가 수행될 수 있다. 이에 따라, 도 14를 이용하여 상술한 반도체 장치가 제조될 수 있다.The steps of Figures 26 to 39 may then be performed. Accordingly, the semiconductor device described above using FIG. 14 can be manufactured.

이상 첨부된 도면을 참조하여 본 발명의 실시예들을 설명하였으나, 본 발명은 상기 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 제조될 수 있으며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.Although embodiments of the present invention have been described above with reference to the attached drawings, the present invention is not limited to the above embodiments and can be manufactured in various different forms, and can be manufactured in various different forms by those skilled in the art. It will be understood by those who understand that the present invention can be implemented in other specific forms without changing its technical spirit or essential features. Therefore, the embodiments described above should be understood in all respects as illustrative and not restrictive.

100: 기판 110: 소자 분리막
120: 게이트 전극 130: 게이트 절연막
140: 캡핑 패턴 150: 지지 구조체
200, 210: 층간 절연막 220, 230: 컨택 구조체
300: 커패시터 구조체
AR: 활성 영역 BL: 비트 라인
GT: 게이트 트렌치 MG: 메인 게이트 전극
PG: 패스 게이트 전극 WL: 워드 라인
100: substrate 110: device isolation membrane
120: gate electrode 130: gate insulating film
140: capping pattern 150: support structure
200, 210: interlayer insulating film 220, 230: contact structure
300: Capacitor structure
AR: Active area BL: Bit line
GT: Gate trench MG: Main gate electrode
PG: Pass gate electrode WL: Word line

Claims (20)

기판 내의 활성 영역;
상기 기판 내에, 상기 활성 영역을 정의하는 소자 분리막;
상기 활성 영역 및 상기 소자 분리막을 가로지르며 연장되고, 상기 활성 영역 내의 제1 트렌치 및 상기 소자 분리막 내의 제2 트렌치를 포함하는 게이트 트렌치;
상기 제1 트렌치를 채우는 메인 게이트 전극과, 상기 제2 트렌치의 일부를 채우는 패스 게이트 전극을 포함하는 게이트 전극;
상기 패스 게이트 전극 상에, 상기 제2 트렌치의 다른 일부를 채우며 절연 물질을 포함하는 지지 구조체; 및
상기 활성 영역과 상기 메인 게이트 전극 사이에 개재되고, 상기 소자 분리막과 상기 패스 게이트 전극 사이에 개재되는 게이트 절연막을 포함하고,
상기 제2 트렌치 내의 상기 게이트 절연막은, 상기 소자 분리막과 상기 패스 게이트 전극 사이에 개재되는 제1 부분 및 상기 지지 구조체와 상기 패스 게이트 전극 사이에 개재되는 제2 부분을 포함하는 반도체 장치.
an active region within the substrate;
a device isolation layer defining the active region within the substrate;
a gate trench extending across the active region and the device isolation layer and including a first trench in the active region and a second trench in the device isolation layer;
a gate electrode including a main gate electrode filling the first trench and a pass gate electrode filling a portion of the second trench;
a support structure on the pass gate electrode, filling another portion of the second trench and including an insulating material; and
A gate insulating film interposed between the active region and the main gate electrode and interposed between the device isolation film and the pass gate electrode,
The gate insulating layer in the second trench includes a first portion interposed between the device isolation layer and the pass gate electrode and a second portion interposed between the support structure and the pass gate electrode.
제 1항에 있어서,
상기 메인 게이트 전극 상에, 상기 제1 트렌치의 다른 일부를 채우는 캡핑 패턴을 더 포함하고,
상기 게이트 절연막은 상기 캡핑 패턴의 측벽을 따라 더 연장되고,
상기 게이트 절연막의 상기 제2 부분의 상면은 상기 지지 구조체의 바닥면과 접촉하고,
상기 게이트 절연막의 상기 제2 부분의 상면의 폭은 상기 지지 구조체의 바닥면의 폭과 동일한 반도체 장치.
According to clause 1,
On the main gate electrode, further comprising a capping pattern that fills another portion of the first trench,
The gate insulating film further extends along the sidewall of the capping pattern,
A top surface of the second portion of the gate insulating film contacts a bottom surface of the support structure,
A semiconductor device wherein the width of the top surface of the second portion of the gate insulating film is equal to the width of the bottom surface of the support structure.
제 2항에 있어서,
상기 게이트 절연막은, 상기 캡핑 패턴과 상기 메인 게이트 전극 사이에 비개재되는 반도체 장치.
According to clause 2,
The gate insulating film is not interposed between the capping pattern and the main gate electrode.
제 1항에 있어서,
상기 게이트 절연막은 상기 패스 게이트 전극의 측벽을 따라 연장되고, 상기 지지 구조체의 측벽을 따라 비연장되는 반도체 장치.
According to clause 1,
The semiconductor device wherein the gate insulating film extends along a sidewall of the pass gate electrode and does not extend along a sidewall of the support structure.
제 1항에 있어서,
상기 제2 트렌치의 바닥면은 상기 제1 트렌치의 바닥면보다 낮은 반도체 장치.
According to clause 1,
A semiconductor device wherein the bottom surface of the second trench is lower than the bottom surface of the first trench.
제 1항에 있어서,
상기 패스 게이트 전극의 상면은 상기 메인 게이트 전극의 상면보다 낮은 반도체 장치.
According to clause 1,
A semiconductor device wherein a top surface of the pass gate electrode is lower than a top surface of the main gate electrode.
제 1항에 있어서,
상기 제2 트렌치의 폭은 상기 제1 트렌치의 폭보다 작은 반도체 장치.
According to clause 1,
A semiconductor device wherein the width of the second trench is smaller than the width of the first trench.
제 1항에 있어서,
상기 게이트 절연막과 상기 게이트 전극 사이에 개재되는 배리어막을 더 포함하는 반도체 장치.
According to clause 1,
The semiconductor device further includes a barrier layer interposed between the gate insulating layer and the gate electrode.
제 8항에 있어서,
상기 배리어막은, 상기 메인 게이트 전극의 바닥면 및 측벽을 따라 연장되고, 상기 패스 게이트 전극의 바닥면, 측벽 및 상면을 따라 연장되는 반도체 장치.
According to clause 8,
The barrier film extends along a bottom surface and a side wall of the main gate electrode, and extends along a bottom surface, a side wall, and a top surface of the pass gate electrode.
기판 내에, 제1 방향으로 연장되는 제1 트렌치를 포함하는 활성 영역;
상기 기판 내에, 상기 제1 방향으로 연장되는 제2 트렌치를 포함하고, 상기 활성 영역을 정의하는 소자 분리막;
상기 제1 트렌치의 일부를 채우는 메인 게이트 전극;
상기 활성 영역과 상기 메인 게이트 전극 사이의 제1 게이트 절연막;
상기 제2 트렌치의 일부를 채우는 패스 게이트 전극;
상기 소자 분리막과 상기 패스 게이트 전극 사이의 제2 게이트 절연막; 및
상기 패스 게이트 전극 상에, 상기 제2 트렌치의 상부를 채우며 절연 물질을 포함하는 지지 구조체를 포함하고,
상기 제1 게이트 절연막은 상기 메인 게이트 전극의 바닥면 및 측벽을 따라 연장되고,
상기 제2 게이트 절연막은, 상기 패스 게이트 전극의 바닥면 및 측벽을 따라 연장되는 제1 부분과, 상기 패스 게이트 전극의 상면을 따라 연장되는 제2 부분을 포함하고,
상기 제2 게이트 절연막의 상기 제2 부분의 상면은 상기 지지 구조체의 바닥면과 접촉하고,
상기 제2 게이트 절연막의 상기 제2 부분의 폭은 상기 지지 구조체의 바닥면의 폭과 동일한 반도체 장치.
An active region within the substrate including a first trench extending in a first direction;
a device isolation layer within the substrate, including a second trench extending in the first direction and defining the active region;
a main gate electrode filling a portion of the first trench;
a first gate insulating layer between the active area and the main gate electrode;
a pass gate electrode filling a portion of the second trench;
a second gate insulating layer between the device isolation layer and the pass gate electrode; and
On the pass gate electrode, a support structure that fills an upper part of the second trench and includes an insulating material,
The first gate insulating film extends along the bottom and sidewalls of the main gate electrode,
The second gate insulating film includes a first part extending along a bottom surface and a side wall of the pass gate electrode, and a second part extending along a top surface of the pass gate electrode,
A top surface of the second portion of the second gate insulating film contacts a bottom surface of the support structure,
A semiconductor device wherein the width of the second portion of the second gate insulating layer is equal to the width of the bottom surface of the support structure.
제 10항에 있어서,
상기 메인 게이트 전극 상에, 상기 제1 트렌치의 다른 일부를 채우는 캡핑 패턴을 더 포함하는 반도체 장치.
According to clause 10,
The semiconductor device further includes a capping pattern that fills another portion of the first trench on the main gate electrode.
제 11항에 있어서,
상기 제1 게이트 절연막은 상기 캡핑 패턴의 측벽을 따라 더 연장되고,
상기 제2 게이트 절연막은 상기 지지 구조체의 측벽을 따라 비연장되는 반도체 장치.
According to clause 11,
The first gate insulating layer extends further along the sidewall of the capping pattern,
The semiconductor device wherein the second gate insulating layer does not extend along a sidewall of the support structure.
제 11항에 있어서,
상기 지지 구조체의 바닥면은 상기 캡핑 패턴의 바닥면보다 낮은 반도체 장치.
According to clause 11,
A semiconductor device wherein the bottom surface of the support structure is lower than the bottom surface of the capping pattern.
제 10항에 있어서,
상기 제1 게이트 절연막은 상기 메인 게이트 전극의 상면을 따라 비연장되는 반도체 장치.
According to clause 10,
A semiconductor device wherein the first gate insulating layer does not extend along a top surface of the main gate electrode.
제 10항에 있어서,
상기 제1 트렌치에 인접하는 상기 활성 영역 내의 소오스/드레인 영역과,
상기 기판 상에, 상기 소오스/드레인 영역과 접속되고, 상기 제1 방향과 교차하는 제2 방향으로 연장되는 비트 라인을 더 포함하는 반도체 장치.
According to clause 10,
a source/drain region in the active region adjacent to the first trench;
The semiconductor device further includes a bit line on the substrate, connected to the source/drain region, and extending in a second direction intersecting the first direction.
제 10항에 있어서,
상기 제1 트렌치와 상기 제2 트렌치 사이의 상기 활성 영역 내의 소오스/드레인 영역과,
상기 기판 상에, 상기 소오스/드레인 영역과 접속되는 커패시터 구조체를 더 포함하는 반도체 장치.
According to clause 10,
a source/drain region in the active region between the first trench and the second trench;
A semiconductor device further comprising a capacitor structure connected to the source/drain region on the substrate.
기판 내에, 상기 기판의 상면과 평행한 제1 방향으로 연장되는 활성 영역;
상기 기판 내에, 상기 활성 영역을 정의하는 소자 분리막;
상기 활성 영역 및 상기 소자 분리막 내에, 상기 기판의 상면과 평행하며 상기 제1 방향과 제1 예각을 이루는 제2 방향으로 연장되는 게이트 트렌치;
상기 게이트 트렌치의 하부를 채우는 게이트 전극;
상기 게이트 전극 상에, 상기 소자 분리막 내의 상기 게이트 트렌치의 상부를 채우며 절연 물질을 포함하는 지지 구조체; 및
상기 게이트 트렌치의 측벽 및 바닥면을 따라 연장되는 게이트 절연막을 포함하고,
상기 지지 구조체는 상기 제2 방향과 교차하는 제1 측벽을 포함하고,
상기 게이트 절연막은 상기 지지 구조체의 바닥면 및 상기 제1 측벽을 따라 더 연장되고,
상기 지지 구조체의 상기 제1 측벽은, 상기 기판의 상면과 평행하며 상기 제1 방향과 제2 예각을 이루는 제3 방향으로 연장되고,
상기 제2 예각은 상기 제1 예각보다 작은 반도체 장치.
An active region within the substrate extending in a first direction parallel to the top surface of the substrate;
a device isolation layer defining the active region within the substrate;
a gate trench within the active region and the device isolation layer, extending in a second direction parallel to the top surface of the substrate and forming a first acute angle with the first direction;
a gate electrode filling the lower portion of the gate trench;
a support structure on the gate electrode, filling an upper part of the gate trench in the device isolation layer and including an insulating material; and
A gate insulating film extending along the sidewalls and bottom of the gate trench,
The support structure includes a first side wall intersecting the second direction,
The gate insulating film further extends along the bottom surface of the support structure and the first sidewall,
The first side wall of the support structure extends in a third direction parallel to the top surface of the substrate and forming a second acute angle with the first direction,
The second acute angle is smaller than the first acute angle.
삭제delete 제 17항에 있어서,
상기 게이트 전극 상에, 상기 활성 영역 내의 상기 게이트 트렌치의 상부를 채우는 캡핑 패턴을 더 포함하고,
상기 게이트 절연막은 상기 지지 구조체의 상기 제1 측벽과 상기 캡핑 패턴의 측벽 사이에 개재되는 반도체 장치.
According to clause 17,
On the gate electrode, further comprising a capping pattern that fills the top of the gate trench in the active region,
The gate insulating film is a semiconductor device interposed between the first sidewall of the support structure and the sidewall of the capping pattern.
기판 내에, 활성 영역 및 상기 활성 영역을 정의하는 소자 분리막을 형성하고,
상기 기판 내에, 상기 활성 영역 및 상기 소자 분리막을 가로지르며 연장되는 게이트 트렌치를 형성하되, 상기 게이트 트렌치는 상기 활성 영역 내의 제1 트렌치 및 상기 소자 분리막 내의 제2 트렌치를 포함하고,
상기 게이트 트렌치를 채우는 희생막을 형성하고,
상기 제2 트렌치의 상부에 배치되는 상기 희생막의 일부를 절연 물질을 포함하는 지지 구조체로 대체하고,
상기 희생막을 제거하고,
상기 게이트 트렌치 내에, 게이트 절연막 및 게이트 전극을 차례로 형성하는 것을 포함하는 반도체 장치의 제조 방법.
Forming an active region and a device isolation film defining the active region within the substrate,
A gate trench extending across the active region and the device isolation layer is formed in the substrate, wherein the gate trench includes a first trench in the active region and a second trench in the device isolation layer,
Forming a sacrificial film to fill the gate trench,
Replacing a portion of the sacrificial film disposed on the upper portion of the second trench with a support structure including an insulating material,
Remove the sacrificial film,
A method of manufacturing a semiconductor device including sequentially forming a gate insulating film and a gate electrode in the gate trench.
KR1020180141459A 2018-11-16 2018-11-16 Semiconductor device and method for fabricating the same KR102596497B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020180141459A KR102596497B1 (en) 2018-11-16 2018-11-16 Semiconductor device and method for fabricating the same
US16/423,203 US11004854B2 (en) 2018-11-16 2019-05-28 Semiconductor device and method of fabricating the same
CN201911100831.5A CN111199974A (en) 2018-11-16 2019-11-12 Semiconductor device and method for manufacturing the same
US17/306,152 US11856752B2 (en) 2018-11-16 2021-05-03 Semiconductor device and method for fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020180141459A KR102596497B1 (en) 2018-11-16 2018-11-16 Semiconductor device and method for fabricating the same

Publications (2)

Publication Number Publication Date
KR20200057289A KR20200057289A (en) 2020-05-26
KR102596497B1 true KR102596497B1 (en) 2023-10-30

Family

ID=70726519

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180141459A KR102596497B1 (en) 2018-11-16 2018-11-16 Semiconductor device and method for fabricating the same

Country Status (3)

Country Link
US (2) US11004854B2 (en)
KR (1) KR102596497B1 (en)
CN (1) CN111199974A (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210105065A (en) * 2020-02-18 2021-08-26 삼성전자주식회사 Semiconductor device and method for fabricating the same
EP3955296A4 (en) * 2020-05-18 2022-09-07 Changxin Memory Technologies, Inc. Semiconductor structure and method for forming same
US11227926B2 (en) * 2020-06-01 2022-01-18 Nanya Technology Corporation Semiconductor device and method for fabricating the same
US11056175B1 (en) * 2020-07-28 2021-07-06 Winbond Electronics Corp. Semiconductor device and manufacturing method thereof
CN114078853B (en) * 2020-08-18 2023-02-24 长鑫存储技术有限公司 Memory and manufacturing method thereof
US11469234B2 (en) * 2020-11-13 2022-10-11 Nanya Technology Corporation Semiconductor device having reduced contact resistance between access transistors and conductive features and method of manufacturing the same
US11424360B1 (en) * 2021-02-04 2022-08-23 Nanya Technology Corporation Semiconductor device and method for manufacturing the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110003459A1 (en) * 2009-07-03 2011-01-06 Jong-Han Shin Method for fabricating buried gate using pre landing plugs
US20150035022A1 (en) * 2013-07-31 2015-02-05 SK Hynix Inc. Semiconductor device having passing gate and method for fabricating the same

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100500473B1 (en) * 2003-10-22 2005-07-12 삼성전자주식회사 Recess gate transistor structure for use in semiconductor device and method thereof
KR100615096B1 (en) 2004-11-15 2006-08-22 삼성전자주식회사 Method of fabricating a MOS transistor having multiple channel
KR100724074B1 (en) * 2006-05-22 2007-06-04 삼성전자주식회사 Fin field effect transistor and method for forming the same
KR101068574B1 (en) * 2009-02-19 2011-09-30 주식회사 하이닉스반도체 Semiconductor device with buried gate and method for fabricating the same
KR101061296B1 (en) 2010-07-01 2011-08-31 주식회사 하이닉스반도체 Method for forming semiconductor device
JP5729806B2 (en) 2010-10-07 2015-06-03 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. Semiconductor device and manufacturing method of semiconductor device
KR20130133559A (en) * 2012-05-29 2013-12-09 에스케이하이닉스 주식회사 Method for fabricating semiconductor device
KR101847630B1 (en) * 2013-04-01 2018-05-24 삼성전자주식회사 Semiconductor device and semiconductor module
US9263455B2 (en) * 2013-07-23 2016-02-16 Micron Technology, Inc. Methods of forming an array of conductive lines and methods of forming an array of recessed access gate lines
KR102087078B1 (en) * 2013-11-04 2020-03-10 삼성전자주식회사 A semiconductor device
KR102117409B1 (en) 2013-12-12 2020-06-01 삼성전자 주식회사 Semiconductor device and fabricating method thereof
KR102180049B1 (en) 2014-08-21 2020-11-18 에스케이하이닉스 주식회사 Semiconductor device having passing gate and method of the same
KR102293129B1 (en) 2015-02-12 2021-08-25 삼성전자주식회사 Semiconductor device having buried gate structure and method of fabricating the same
US20160284640A1 (en) 2015-03-25 2016-09-29 Inotera Memories, Inc. Semiconductor device having buried wordlines
KR102450577B1 (en) * 2016-08-12 2022-10-11 삼성전자주식회사 Semiconductor devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110003459A1 (en) * 2009-07-03 2011-01-06 Jong-Han Shin Method for fabricating buried gate using pre landing plugs
US20150035022A1 (en) * 2013-07-31 2015-02-05 SK Hynix Inc. Semiconductor device having passing gate and method for fabricating the same

Also Published As

Publication number Publication date
US11004854B2 (en) 2021-05-11
US20210288053A1 (en) 2021-09-16
US20200161305A1 (en) 2020-05-21
CN111199974A (en) 2020-05-26
KR20200057289A (en) 2020-05-26
US11856752B2 (en) 2023-12-26

Similar Documents

Publication Publication Date Title
KR102596497B1 (en) Semiconductor device and method for fabricating the same
KR102369630B1 (en) Memory device and method of manufacturing the same
US8039896B2 (en) Semiconductor memory device with vertical channel formed on semiconductor pillars
KR102644525B1 (en) A vertical semiconductor device
KR102407069B1 (en) Semiconductor device and method of manufacturing the same
CN105390542B (en) Semiconductor device with bypass grid and preparation method thereof
US8558306B2 (en) Semiconductor device and method of manufacturing the same
US11678478B2 (en) Semiconductor devices
KR20160012826A (en) Semiconductor device with air gap and method for fabricating the same
US10163784B2 (en) Semiconductor device and method for manufacturing the same
KR20180138381A (en) Vertical type memory device
US11239311B2 (en) Semiconductor device and method of manufacturing the semiconductor device
KR20150137224A (en) Semiconductor device with air gap and method for fabricating the same
KR20200140645A (en) Semiconductor devices
US11557656B2 (en) Semiconductor device having a capping pattern on a gate electrode
US10109634B2 (en) Semiconductor device having air gap and method for manufacturing the same, memory cell having the same and electronic device having the same
TWI830993B (en) Semiconductor devices
TWI785706B (en) Semiconductor devices
US20240130116A1 (en) Semiconductor device
US20240023318A1 (en) Semiconductor device
US20230039205A1 (en) Semiconductor memory device and method of fabricating the same
US20240023319A1 (en) Semiconductor device
KR20230098981A (en) Semiconductor device and method for manufacturing the same
KR20230052035A (en) Semiconductor devices
KR20220070713A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant