KR102523502B1 - 플라즈마 반응기에서 기생 컴포넌트들의 션트 소거를 제공하는 시스템들 및 방법들 - Google Patents

플라즈마 반응기에서 기생 컴포넌트들의 션트 소거를 제공하는 시스템들 및 방법들 Download PDF

Info

Publication number
KR102523502B1
KR102523502B1 KR1020197020094A KR20197020094A KR102523502B1 KR 102523502 B1 KR102523502 B1 KR 102523502B1 KR 1020197020094 A KR1020197020094 A KR 1020197020094A KR 20197020094 A KR20197020094 A KR 20197020094A KR 102523502 B1 KR102523502 B1 KR 102523502B1
Authority
KR
South Korea
Prior art keywords
coupled
transmission line
shunt circuit
station
inductor
Prior art date
Application number
KR1020197020094A
Other languages
English (en)
Other versions
KR20190088071A (ko
Inventor
야스완스 란지네니
수닐 카푸어
에드워드 아우구스티니악
유키노리 사키야마
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020237012855A priority Critical patent/KR102575561B1/ko
Publication of KR20190088071A publication Critical patent/KR20190088071A/ko
Application granted granted Critical
Publication of KR102523502B1 publication Critical patent/KR102523502B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H7/00Multiple-port networks comprising only passive electrical elements as network components
    • H03H7/38Impedance-matching networks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기생 커패시턴스와 연관된 임피던스를 무효화하는 시스템들 및 방법들이 기술된다. 시스템들 중 하나는 하우징을 갖는 플라즈마 챔버를 포함한다. 하우징은 페데스탈, 페데스탈을 대면하도록 페데스탈 위에 놓인 샤워헤드, 및 샤워헤드 위에 위치된 천장을 포함한다. 시스템은 샤워헤드로 수정된 RF 신호를 이송하기 위해 플라즈마 챔버에 커플링된 RF (radio frequency) 송신 라인을 더 포함한다. 시스템은 천장으로부터 미리 결정된 거리 내에 커플링된 션트 회로를 포함한다. 션트 회로는 하우징 내의 기생 커패시턴스와 연관된 임피던스를 무효화하기 위한 RF 송신 라인에 커플링된다.

Description

플라즈마 반응기에서 기생 컴포넌트들의 션트 소거를 제공하는 시스템들 및 방법들
본 실시예들은 플라즈마 반응기에서 기생 컴포넌트들의 션트 소거 (shunt cancellation) 를 제공하는 시스템들 및 방법들에 관한 것이다.
일반적으로, 프로세스 반응기들은 웨이퍼들, 예를 들어, 실리콘 웨이퍼들 상에서 동작들을 프로세싱하도록 사용된다. 이들 웨이퍼들은 통상적으로 상부에 집적 회로들을 형성하기 위해 다양한 반응기들에서 다수 회 프로세싱된다. 이들 프로세스 동작들 중 일부는 예를 들어, 웨이퍼의 선택된 표면들 또는 층들 위에 재료들을 증착하는 단계를 수반한다. 일 이러한 반응기는 PECVD (plasma enhanced chemical vapor deposition) 반응기이다.
예를 들어, PECVD 반응기는 실리콘 옥사이드 (SiO), 실리콘 나이트라이드 (SiN), 실리콘 카바이드 (SiC), 실리콘 옥시카바이드 (SiOC), 등과 같은 절연 막들을 증착하도록 사용될 수도 있다. 이러한 재료 막들은 알루미늄 (Al) 합금을 포함할 수도 있다. 증착될 막의 타입에 따라, 증착을 인에이블하는 플라즈마를 생성하기 위해 RF (radio frequency) 전력이 공급되는 동안, 특정한 반응 가스들이 PECVD 반응기 내로 이동된다. RF 전력은 RF 생성기에 의해 생성되고 PECVD 반응기의 전극으로 매치박스 (matchbox) 를 통해 제공된다. 그러나, 전극으로 전달된 RF 전력은 감소된다.
이러한 맥락에서 본 개시에 기술된 실시예들이 발생한다.
본 개시의 실시예들은 플라즈마 반응기에서 기생 컴포넌트들의 션트 소거를 제공하기 위한 시스템들 및 방법들을 제공한다. 본 실시예들은 다양한 방식들, 예를 들어, 프로세스, 장치, 시스템, 디바이스, 또는 컴퓨터-판독가능 매체 상의 방법으로 구현될 수 있다는 것이 인식되어야 한다. 몇몇 실시예들이 이하에 기술된다.
PECVD (plasma enhanced chemical vapor deposition) 및 ALD (atomic layer deposition) 챔버들은 샹들리에-타입 (chandelier-type) 및 플러시-마운트 타입 (flush-mount type) 과 같은 2 타입들로 분류된다. 샹들리에-타입 챔버는 챔버 벽으로부터 물리적으로 분리된 RF (radio frequency) 전력공급된 전극 및 샹들리에-타입 챔버의 하우징의 천장으로부터 연장하는 스템에 의해 매달린 (suspend) RF 전력공급된 전극을 갖는다. 플러시-마운트 타입 챔버에서, RF 전력공급된 전극은 플러시-마운트 타입 챔버의 접지 전위로부터 RF 전력공급된 전극을 전기적으로 절연하는 패스닝 (fastening) 하드웨어와 주변부 둘레에 지지된다. 이들 타입들의 챔버들에서, RF 전력공급된 전극과 하우징 사이에 0이 아닌 기생 커패시턴스가 있다. 플러시-마운트 타입 챔버의 기생 커패시턴스는 샹들리에-타입 챔버 내보다, 예를 들어, 3 내지 5배만큼 높다.
RF 전력이 플러시-마운트 타입 챔버에 인가될 때, 변위 전류가 기생 커패시턴스를 통해 흐르고 RF 전력은 플러시-마운트 타입 챔버의 페데스탈 상에 놓인 웨이퍼에 효과적으로 커플링되지 않는다. 효과적이지 않은 RF 전력은 웨이퍼 상에서 매우 적은 증착을 발생시키거나 증착을 발생시키지 않는다. 또한, 플러시-마운트 타입 챔버의 RF 컴포넌트들, 예컨대 샤워헤드는 RF 전력공급된 전극에 병렬로 존재하는 기생 커패시턴스로 인해 고 RF 전류들을 수신한다. 동축 케이블들 및 매칭 네트워크들과 같은, RF 전달 하드웨어는 플러시-마운트 타입 챔버와 연관된 설계 및 하드웨어 비용들을 상승시키지 않고 고 RF 전류들을 쉽게 핸들링할 수 없다.
다양한 실시예들에서, 기생 커패시턴스를 보상하기 위해 션트 소거 RF 회로가 CCP (capacitively-coupled plasma) 반응기, 예를 들어, 플러시-마운트 타입 챔버, 샹들리에-타입 챔버, 등에 부가된다. 션트 소거 RF 회로는 기생 RF 커플링을 최소화하고 웨이퍼 상에 재료들을 증착하는 증착 레이트를 상승시키기 위해 웨이퍼에 커플링된 전력을 최대화한다. 또한, 션트 소거 RF 회로에 의한 기생 RF 전류 경로들의 억제를 통해, 샤워헤드로의 입력 RF 전류가 감소된다. 일부 실시예들에서, RF 전류 경로들은 기생 커패시턴스에 의해 생성된 경로들이다.
몇몇 실시예들에서, 기생 커패시턴스와 연관된 임피던스를 무효화 (negating), 예를 들어, 파기 (nullifying), 감소, 등을 하는 시스템이 기술된다. 시스템은 하우징을 갖는 플라즈마 챔버를 포함한다. 하우징은 페데스탈; 페데스탈과 대면하도록 페데스탈 위에 놓인 샤워헤드; 및 샤워헤드 위에 위치된 천장 (ceiling) 을 포함한다. 시스템은 수정된 RF 신호를 샤워헤드로 이송하기 위해 플라즈마 챔버에 커플링된 RF (radio frequency) 송신 라인을 더 포함한다. 시스템은 천장으로부터 미리 결정된 거리 이내에 커플링된 션트 회로를 포함한다. 션트 회로는 하우징 내 기생 커패시턴스와 연관된 임피던스를 무효화하기 위해 RF 송신 라인에 커플링된다.
일부 실시예들에서, 션트 회로가 기술된다. 션트 회로는 가변 커패시터 및 제 1 단부 및 제 2 단부를 형성하기 위해 가변 커패시터와 병렬로 커플링된 인덕터를 포함한다. 제 1 단부는 임피던스 매칭 회로와 플라즈마 챔버의 샤워헤드 사이에 커플링된 RF 송신 라인에 커플링된다. 제 2 단부는 플라즈마 챔버의 하우징에 커플링된다. 가변 커패시터 및 인덕터는 하우징 내 기생 커패시턴스와 연관된 임피던스를 무효화한다.
다양한 실시예들에서, 멀티-스테이션 프로세싱 툴이 기술된다. 멀티-스테이션 프로세싱 툴은 RF 신호를 생성하도록 구성된 RF (radio frequency) 생성기를 포함한다. 멀티-스테이션 프로세싱 툴은 수정된 RF 신호를 출력하기 위해 RF 신호를 수신하도록 RF 생성기에 커플링된 임피던스 매칭 회로; 및 복수의 수정된 RF 출력 신호들을 출력하기 위해 수정된 RF 신호의 전력을 분배하도록 임피던스 매칭 회로에 커플링된 전력 스플리터 (power splitter) 를 더 포함한다. 멀티-스테이션 프로세싱 툴은 수정된 RF 출력 신호들 중 제 1 출력 신호를 수신하기 위해 제 1 RF 송신 라인을 통해 전력 스플리터의 제 1 출력부에 커플링된 제 1 스테이션을 포함한다. 멀티-스테이션 프로세싱 툴은 또한 수정된 RF 출력 신호들 중 제 2 출력 신호를 수신하기 위해 제 2 RF 송신 라인을 통해 전력 스플리터의 제 2 출력부에 커플링된 제 2 스테이션을 포함한다. 멀티-스테이션 프로세싱 툴은 제 1 스테이션과 연관된 기생 커패시턴스와 연관된 임피던스를 무효화하도록 제 1 RF 송신 라인에 커플링된 제 1 션트 회로를 포함한다. 멀티-스테이션 프로세싱 툴은 제 2 스테이션과 연관된 기생 커패시턴스와 연관된 임피던스를 무효화하도록 제 2 RF 송신 라인에 커플링된 제 2 션트 회로를 포함한다.
플라즈마 반응기에서 기생 컴포넌트들의 션트 소거를 제공하는 시스템들 및 방법들의 몇몇 장점들은 샤워헤드와 페데스탈 사이의 갭으로 전달된 RF 전력의 상승된 효율을 포함한다. 예를 들어, 션트 RF 회로는 챔버 벽으로 RF의 커플링을 저감하고, 부하, 예를 들어, PECVD 챔버, ALD 챔버, 등을 보다 덜 용량성이 되게 한다. 플라즈마 반응기에 입력된 RF 전류는 저감되고 RF 컴포넌트들에서 전력 손실이 저감된다. 예시를 위해, 플라즈마 반응기로 전달된 전력은 RF 생성기에 의해 공급된 전력인, 설정값 전력의 55 % 로부터 85 %로 상승된다. 전력의 상승은 웨이퍼들의 프로세싱시 보다 높은 효율을 발생시키는, 보다 고 증착 레이트들을 발생시킨다.
플라즈마 반응기에서 기생 컴포넌트들의 션트 소거를 제공하는 본 명세서에 기술된 시스템들 및 방법들의 부가적인 장점들은 스테이션-대-스테이션 (station-to-station) 매칭 및 RF 전류 경로들의 감소, 예를 들어, 삭제로 인한 감소된 RF 하드웨어 비용을 포함한다. 예를 들어, 션트 회로가 사용될 때 RF 전력공급된 전극으로 총 전류는 26 A로부터 9.5 A로 강하된다. 낮은 총 전류는 스테이션들 사이에서 기생 커패시턴스의 작은 변동에 의해 유발되는 스테이션-대-스테이션 변동 위험을 감소시킨다. 또한, 낮은 총 전류는 RF 하드웨어가 고 전류들을 핸들링하도록 설계될 필요가 없다는 것을 의미한다.
플라즈마 반응기에서 기생 컴포넌트들의 션트 소거를 제공하는 본 명세서에 기술된 시스템들 및 방법들의 다른 장점들은 RF 전력 측정 정확도의 상승을 포함한다. 예를 들어, 측정된 RF 전력의 위상은 션트 회로를 사용하지 않고 -82°이다. RF 전력의 위상이 -90°에 가까워질 때, 계측 정확도는 감소한다. 션트 회로가 설치되면, 측정된 위상은 -68°이다. 그 결과, 측정 정확도가 개선되고, 트러블슈팅 (troubleshooting) 을 보다 쉽게 한다.
다른 양태들은 첨부된 도면들과 함께 취해진, 이하의 상세한 기술로부터 자명해질 것이다.
실시예들은 첨부된 도면들과 함께 취해진 이하의 기술을 참조하여 최상으로 이해될 수도 있다.
도 1a는 플러시-마운트 타입 플라즈마 챔버를 갖는 션트 회로의 사용을 예시하기 위한 플라즈마 프로세싱 일 시스템의 실시예의 도면이다.
도 1b는 샹들리에-타입 플라즈마 챔버를 갖는 션트 회로의 사용을 예시하기 위한 플라즈마 프로세싱 시스템의 일 실시예의 도면이다.
도 1c는 션트 회로가 플러시-마운트 타입 플라즈마 챔버의 하우징 내에 놓인 플라즈마 프로세싱 시스템의 일 실시예의 도면이다.
도 1d는 플라즈마 챔버가 샹들리에-타입 플라즈마 챔버의 하우징 내에 션트 회로를 포함하는 플라즈마 프로세싱 시스템의 일 실시예의 도면이다.
도 1e는 플러시-마운트 타입 플라즈마 챔버의 상단 전극 대신 플러시-마운트 타입 플라즈마 챔버의 하단 전극에 커플링되는 RF (radio frequency) 송신 라인 상의 일 지점으로 션트 회로의 커플링을 예시하기 위한 플라즈마 프로세싱 시스템의 일 실시예의 도면이다.
도 1f는 샹들리에-타입 플라즈마 챔버의 상단 전극 대신 샹들리에-타입 플라즈마 챔버의 하단 전극에 커플링되는 RF 송신 라인 상의 지점으로 션트 회로의 커플링을 예시하기 위한 플라즈마 프로세싱 시스템의 일 실시예의 도면이다.
도 1g는 플러시-마운트 타입 플라즈마 챔버의 기생 커패시턴스와 연관된 임피던스를 무효화하기 위한 도 1e의 플러시-마운트 타입 플라즈마 챔버의 하우징 내 션트 회로의 사용을 예시하기 위한 플라즈마 프로세싱 시스템의 일 실시예의 도면이다.
도 1h는 샹들리에-타입 타입 플라즈마 챔버의 기생 커패시턴스와 연관된 임피던스를 무효화하기 위한 샹들리에-타입 플라즈마 챔버의 하우징 내 션트 회로의 사용을 예시하기 위한 플라즈마 프로세싱 시스템의 일 실시예의 도면이다.
도 2는 플라즈마 프로세싱 시스템의 일 실시예의 도면이다.
도 3은 4 개의 프로세싱 스테이션들이 제공되는 멀티-스테이션 프로세싱 툴의 일 실시예의 상면도를 예시한다.
도 4는 인바운드 로드록 및 아웃바운드 로드록을 갖는 멀티-스테이션 프로세싱 툴의 일 실시예의 개략도를 도시한다.
도 5a는 기생 커패시턴스들과 연관된 임피던스들을 무효화하기 위한 션트 회로로서 고정 인덕터의 사용을 예시하기 위한 시스템의 일 실시예의 도면이다.
도 5b는 가변 인덕터를 갖는 션트 회로를 예시하기 위한 시스템의 일 실시예의 도면이다.
도 5c는 가변 커패시터 및 고정 인덕터를 갖는 션트 회로를 예시하기 위한 시스템의 일 실시예의 도면이다.
도 5d는 가변 인덕터 및 고정 커패시터를 갖는 션트 회로를 예시하기 위한 시스템의 일 실시예의 도면이다.
도 5e는 가변 커패시터 및 가변 인덕터를 갖는 션트 회로를 예시하기 위한 시스템의 일 실시예의 도면이다.
도 6a는 파라미터가 미리 결정된 범위 내에 있을 때까지 션트 회로의 커패시터의 커패시턴스의 변화를 예시하기 위한 시스템의 일 실시예의 도면이다.
도 6b는 파라미터가 미리 결정된 스팬 (span) 내에 있을 때까지 션트 회로의 인덕터의 인덕턴스의 변화를 예시하기 위한 시스템의 일 실시예의 도면이다.
도 6c는 파라미터가 미리 결정된 크기 (extent) 내에 있을 때까지 션트 회로의 커패시터의 커패시턴스 및 션트 회로의 인덕터의 인덕턴스의 변화를 예시하기 위한 시스템의 일 실시예의 도면이다.
도 6d는 션트 회로를 사용한 그리고 사용하지 않은 임피던스들의 차를 예시하기 위한 그래프의 일 실시예이다.
도 6e는 션트 회로를 사용하지 않은 임피던스 매칭 회로의 출력부 및 션트 회로를 사용한 임피던스 매칭 회로의 출력부에서 RF 신호의 전압, 전류, 위상 및 전력의 VI (voltage and current) 프로브에 의한 측정을 예시하기 위한 표의 일 실시예이다.
도 7은 스테이션들 각각과 션트 회로의 사용을 예시하기 위한 시스템의 일 실시예의 도면이다.
도 8a는 션트 회로가 임의의 스테이션들에서 사용되지 않을 때 스테이션들 내 기생 커패시턴스들과 연관된 임피던스들을 예시하기 위한 그래프의 일 실시예이다.
도 8b는 션트 회로가 스테이션들에서 사용될 때 스테이션들 내 기생 커패시턴스들과 연관된 임피던스들의 무효화를 예시하기 위한 그래프의 일 실시예이다.
도 8c는 션트 회로가 어떠한 스테이션들에서도 사용되지 않을 때 스테이션들 각각에서 기생 커패시턴스와 연관된 전압량을 예시하기 위한 표의 일 실시예이다.
도 8d는 션트 회로가 스테이션들에서 사용될 때 전압들, 전류들, 위상들, 및 전력의 변화를 예시하기 위한 표의 일 실시예이다.
도 9a는 스테이션들과 연관된 션트 회로들의 커패시터들의 커패시턴스들을 수정함으로써 스테이션들의 기생 커패시턴스들과 연관된 임피던스들을 무효화하는 멀티-스테이션 시스템의 일 실시예의 도면이다.
도 9b는 스테이션들에 사용되는 션트 회로들의 인덕터들의 인덕턴스들을 변화시킴으로써 스테이션들의 기생 커패시턴스들과 연관된 임피던스들을 무효화하는 멀티-스테이션 시스템의 일 실시예의 도면이다.
도 9c는 멀티-스테이션 시스템 내에서 사용된 션트 회로들의 커패시터들 및 인덕터들의 인덕턴스들을 변화시킴으로써 스테이션들의 기생 커패시턴스들과 연관된 임피던스들을 무효화하는 멀티-스테이션 시스템의 일 실시예의 도면이다.
도 10a는 스테이션들에 커플링된 션트 회로들이 전력 스플리터의 출력부들에서 파라미터를 밸런싱하도록 사용될 때 스테이션들과 연관된 임피던스들을 예시하기 위한 그래프의 일 실시예이다.
도 10b는 스테이션들에서 전력의 밸런싱을 예시하기 위한 표의 일 실시예이다.
이하의 실시예들은 플라즈마 반응기에서 기생 컴포넌트들의 션트 소거를 제공하는 시스템들 및 방법들을 기술한다. 본 실시예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지의 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
막들의 증착은 바람직하게 PECVD (plasma enhanced chemical vapor deposition) 시스템 또는 또는 ALD (atomic layer deposition) 챔버에서 구현된다. PECVD 시스템은 많은 상이한 형태들을 취할 수도 있다. PECVD 시스템은 하나 이상의 웨이퍼들을 하우징하고 웨이퍼 프로세싱에 적합한 하나 이상의 플라즈마 챔버들 또는 "반응기들" (때때로 복수의 스테이션들을 포함함) 을 포함한다. 플라즈마 챔버 각각은 프로세싱할 하나 이상의 웨이퍼들을 하우징한다. 하나 이상의 플라즈마 챔버들은 규정된 위치 또는 위치들에서 예를 들어, 로테이팅, 진동, 또는 다른 교반, 등의 운동과 함께 또는 운동 없이 웨이퍼를 이 위치에 유지한다. 증착을 겪는 웨이퍼는 프로세스 동안 일 스테이션으로부터 또 다른 스테이션으로 이송된다. 막 증착은 단일 스테이션에서 완전히 발생하거나 막의 임의의 분획이 임의의 수의 스테이션들에서 증착된다. 프로세스동안, 웨이퍼 각각은 페데스탈, 예를 들어, 웨이퍼 척, 등, 및/또는 플라즈마 챔버의 다른 웨이퍼 홀딩 장치에 의해 제자리에 홀딩된다.
CCP (capacitively coupled plasma) 반응기, 예를 들어, ALD 챔버, PECVD 챔버, 등은, RF (radio frequency) 전력공급된 전극, 및 접지되는 챔버 벽을 포함하는, 샤워헤드 사이에 고유의 기생 커패시턴스를 갖는다. 일부 경우들에서, CCP 반응기 및 RF 전력공급된 전극의 기하구조로 인해, 이 기생 커패시턴스는 매우 높고, 기생 커패시턴스를 통한 RF 전류가 CCP 반응기의 샤워헤드와 페데스탈 사이의 갭인, 웨이퍼 프로세싱 캐비티를 통한 RF 전류보다 높다. 고 기생 커플링은 웨이퍼를 프로세싱하도록 사용되는 전달된 RF 전력을 저감한다. 그 결과, 웨이퍼 상에 재료들을 증착하는 증착 레이트가 감소된다.
일 솔루션은 웨이퍼 프로세싱 캐비티에 공급되는 RF 전류를 상승시키는 것이다. 예를 들어, RF 하드웨어 시스템이 때때로 고 RF 전류를 핸들링하도록 사용된다. 그러나, RF 하드웨어 시스템은 비용이 매우 크다.
일부 실시예들에서, 션트 RF 회로가 기생 커패시턴스에 대응, 예를 들어, 소거하고 CCP 반응기로 하여금 인가된 주파수에서 공진하게 하도록 CCP 반응기에 부가된다. 예를 들어, 인덕터가 GDP (gas distribution plate) 위에 위치된 RF 전력공급된 전극에 커플링된 RF 송신 라인에 커플링될 때, 기생 커패시턴스가 감소된다. GDP는 웨이퍼를 프로세싱하기 위한 하나 이상의 프로세싱 가스들을 이송하기 위한 복수의 쓰루 홀들을 갖는다. 이에 더하여, 인덕터에 병렬인 조정가능한 커패시터를 부가함으로써, 인덕터의 인덕턴스는 널 (null) 또는 0에 가깝게 기생 커패시턴스를 감소시키고 동작 주파수, 예를 들어, 13.56 ㎒ (megahertz), 400 ㎑, 2 ㎒, 60 ㎒, 27.12 ㎒에서 CCP 반응기를 공진하도록 튜닝된다. 이러한 방식으로, 웨이퍼 프로세싱 캐비티에 전달된 RF 전력이 최대화된다.
도 1a는 플러시-마운트 타입 플라즈마 챔버 (102) 를 예시하기 위한 플라즈마 프로세싱 시스템 (100) 의 도면이다. 플라즈마 프로세싱 시스템 (100) 은 RF 생성기 (104), 임피던스 매칭 회로 (IMC) (106), 션트 회로 (108), 및 선택가능한 VI (voltage and current) 프로브 (110) 를 포함한다.
플라즈마 챔버 (102) 는 샤워헤드 (114) 및 페데스탈 (116) 을 포함한다. 페데스탈 (116) 은 내부에 임베딩된 하단 전극 (118) 을 갖는다. 또한, 샤워헤드 (114) 는 내부에 임베딩된 상단 전극 (120) 을 갖는다. 예를 들어, 상단 전극 (120) 은 절연체, 예를 들어, 세라믹에 의해 둘러싸인다. 상단 전극 (120) 및 하단 전극 (118) 각각은 금속, 예를 들어, 몰리브덴, 몰리브덴의 합금, 등으로 이루어진다. 샤워헤드 (114) 는 페데스탈 (116) 과 대면하고 페데스탈 (116) 반대편에 위치된다. 플라즈마 챔버 (102) 는 측벽 (122), 천장 (124), 및 하단 부분 (126) 으로 이루어진 하우징을 갖는다. 다양한 실시예들에서, 천장 (124) 은 챔버 상단 플레이트로서 본 명세서에 참조된다. 샤워헤드 (114), 페데스탈 (116), 및 측면 마운트 (138) 는 하우징 내에 위치된다. 측면 마운트 (138) 는 이하에 더 기술된다. 다양한 실시예들에서, 하단 부분 (126) 은 챔버 하단 플레이트로서 본 명세서에 참조된다. 예를 들어, 챔버 하단 플레이트 아래에, 웨이퍼 (112) 프로세싱의 나머지, 예를 들어, 하나 이상의 프로세스 가스들을 하우징으로부터 배기하도록 진공 펌프들이 위치된다.
일부 실시예들에서, 측벽 (122) 은 원형 형상 또는 타원형 형상을 갖는다. 다양한 실시예들에서, 측벽 (122) 은 4 개의 직사각형 또는 사각형 형상 측면들로 형성된다. 예시를 위해, 측벽 (122) 은 제 1 측면, 제 1 측면에 인접하고 연결되는 제 2 측면, 제 2 측면에 인접하고 연결된 제 3 측면, 및 제 3 측면에 인접하고 연결되고 제 1 측면에 인접하고 연결된 제 4 측면을 갖는다.
천장 (124) 은 상단 표면 (125) 및 하단 표면 (127) 을 갖는다. 하단 표면 (127) 은 샤워헤드 (114) 의 상단 표면 (135) 과 대면하고 천장 (124) 의 상단 표면 (125) 은 션트 회로 (108) 와 대면한다. 천장 (124) 의 하단 표면 (127) 은 션트 회로 (108) 와 대면하지 않는다. 하단 부분 (126) 은 천장 (124) 의 반대편에 위치되고, 천장 (124) 과 대면한다. 측벽 (122) 은 천장 (124) 에 인접하고 연결되고 하단 부분 (126) 에 인접하고 연결된다. 플라즈마 챔버 (102) 의 하우징은 접지 전위에 커플링된다. 샤워헤드 (114) 는 측면 마운트 (138) 를 통해 측벽 (122) 에 커플링된다. 예를 들어, 샤워헤드 (114) 는 측벽 (122) 이 샤워헤드 (114) 를 지지하도록 측면 마운트 (138) 를 통해 측벽 (122) 에 앵커링된다 (anchor). 측면 마운트 (138) 는 하나 이상의 전기적으로 절연 재료들, 예를 들어, 세라믹으로 이루어진다. 일부 실시예들에서, 임의의 수의 측면 마운트들은 샤워헤드 (114) 를 측벽 (122) 에 연결한다.
RF 생성기 (104) 는 RF 케이블 (130) 을 통해 IMC (106) 에 커플링되고, IMC (106) 는 RF 송신 라인 (132), 예를 들어, 동축 케이블을 통해 플라즈마 챔버 (102) 에 커플링된다. RF 송신 라인 (132) 의 인덕턴스는 L1f로 나타낸다. RF 송신 라인 (132) 은 상단 전극 (120) 으로 연결하기 위해 천장 (124), 예를 들어, 천장 (124) 의 홀을 통해, 하우징 내로 연장한다.
플라즈마 챔버 (102) 는 CCP (capacitively-coupled plasma) 챔버이고 웨이퍼 (112) 를 프로세싱하도록 사용된 PECVD 시스템의 일 예이다. RF 생성기 (104) 의 예들은 400 ㎑ RF 생성기, 2 ㎒ RF 생성기, 13.56 ㎒ RF 생성기, 27.12 ㎒ RF 생성기, 60 ㎒ RF 생성기를 포함한다. RF 생성기 (104) 는 RF 신호를 생성하기 위한 RF 전력 공급부, 예를 들어, RF 오실레이터를 포함한다.
IMC (106) 는 IMC (106) 의 하나 이상의 입력부들에 연결된 소스의 임피던스와 IMC (106) 의 출력부 O1에 연결된 부하의 임피던스를 매칭시키는 회로 엘리먼트들, 예를 들어, 레지스터들, 커패시터들, 인덕터들, 등의 네트워크이다. 예를 들어, IMC (106) 는 RF 송신 라인 (132) 및 플라즈마 챔버 (102) 의 임피던스를 RF 케이블 (130) 및 RF 생성기 (104) 의 임피던스와 매칭시킨다. VI 프로브 (110) 의 예들은 복소 전압 및 전류 센서, 전압 센서, 전류 센서, 전력 센서, 임피던스 센서, 등을 포함한다.
RF 송신 라인 (132) 은 천장 (124) 으로부터 미리 결정된 거리에 위치되는, 지점 P1에서 션트 회로 (108) 에 커플링된다. 예를 들어, 션트 회로 (108) 는 천장 (124) 위에 위치되고 RF 송신 라인 (132) 이 천장 (124) 을 통해 플라즈마 챔버 (102) 의 하우징 내로 연장하기 직전에 지점 P1에서 RF 송신 라인 (132) 에 연결된다. 다른 예로서, 션트 회로 (108) 는 천장 (124) 에 의해 지지되고 천장 (124) 에 의해 지지될 천장 (124) 의 상단 표면 (125) 상에 배치된다. 또 다른 예로서, 션트 회로 (108) 는 플라즈마 챔버 (102) 내부에 위치되고 천장 (124) 의 하단 표면 (127) 에 의해 지지된다.
션트 회로 (108) 는 커패시터 Cs 및 인덕터 Ls를 포함한다. 커패시터 Cs의 예시적인 값은 4 ㎊ (picoFarad) 이다. 커패시터 Cs의 또 다른 예시적인 값은 70 ㎊이다. 또 다른 예로서, 커패시터 Cs의 값은 4 ㎊ 와 70 ㎊ 사이에서 가변한다. 인덕터 Ls의 예시적인 값은 0.2 μH이다. 인덕터 Ls의 또 다른 예시적인 값은 0.4 μH이다. 또 다른 예로서, 인덕터 Ls의 값은 0.2 와 0.4 μH 사이에서 가변한다. 인덕터 Ls는 커패시터 Cs에 병렬로 커플링된다. 인덕터 Ls는 RF 송신 라인 (132) 상의 지점 P1에 연결되는 일 단부 E1에서 커패시터 Cs에 커플링된다. 더욱이, 인덕터 Ls는 단부 E1에 반대편 또 다른 단부 E2에서 커패시터 Cs에 커플링되고, 단부 E2는 접지 전위에 커플링된다. 일부 실시예들에서, 단부 E2는 단부 E2를 또한 접지 전위에 커플링되는, 천장 (124) 의 상단 표면 (125) 에 연결함으로써 접지 전위에 커플링된다. VI 프로브 (110) 는 IMC (106) 의 출력부 O1에 커플링된다.
RF 생성기 (104) 의 RF 전력 공급부는 RF 케이블 (130) 을 통해 IMC (106) 로 이송되는, RF 신호를 생성한다. IMC (106) 는 그 출력부 O1에서 수정된 RF 신호를 생성하도록 소스의 임피던스와 부하의 임피던스를 매칭시킨다. 수정된 RF 신호는 RF 송신 라인 (132) 을 통해 지점 P1을 통해 샤워헤드 (114) 상단 전극 (120) 으로 이송된다. 더욱이, 하단 전극 (118) 은 접지 전위에 커플링된다. 예를 들어, 하단 전극 (118) 은 RF 스트랩을 통해 플라즈마 챔버 (102) 의 하우징의 접지 전위에 커플링된다. RF 스트랩은 인덕터 L2에 의해 예시되는, 인덕턴스를 갖는다. 수정된 RF 신호의 상단 전극 (120) 으로의 공급과 동시에, 하나 이상의 프로세스 가스들은 페데스탈 (116) 과 샤워헤드 (114) 사이의 갭 내에 플라즈마를 생성하거나 유지하기 위해 갭으로 더 공급되도록 샤워헤드 (114) 로 공급된다. 수정된 RF 신호가 상단 전극 (120) 에 제공되고 하단 전극 (118) 이 접지에 커플링될 때, 플라즈마는 갭 내에서 생성되거나 유지된다. 플라즈마는 커패시턴스 및 레지스턴스의 직렬 조합으로 나타낸다. 샤워헤드 (114) 와 페데스탈 (116) 사이의 커패시턴스는 플라즈마가 없을 때 커패시터 C2로 예시된다. 샤워헤드 (114) 와 페데스탈 (116) 사이의 커패시턴스는 샤워헤드 (114) 와 페데스탈 (116) 사이의 갭을 나타낸다. 플라즈마는 페데스탈 (116) 의 상단 표면 상에 놓인 웨이퍼 (112) 를 프로세싱하도록 사용된다.
샤워헤드 (114) 및 천장 (124) 의 레이아웃, 예를 들어, 샤워헤드 (114) 의 상단 표면 (135) 과 천장 (124) 사이의 거리는 샤워헤드 (114) 의 상단 표면 (135) 과 천장 (124) 사이에 기생 커패시턴스 C11f를 생성한다. 더욱이, 샤워헤드 (114) 와 측벽 (122) 의 레이아웃, 예를 들어, 샤워헤드 (114) 의 측 표면과 측벽 (122) 사이의 거리, 샤워헤드 (114) 와 측벽 (122) 사이에 또 다른 기생 커패시턴스 C12f를 생성한다. 샤워헤드 (114) 의 측 표면은 측벽 (122) 과 대면하고 샤워헤드 (114) 의 상단 표면 (135) 에 인접하다. 샤워헤드 (114) 의 상단 표면 (135) 은 천장 (124) 과 대면한다. 샤워헤드 (114) 의 상단 표면 (135) 은 샤워헤드 (114) 의 하단 표면의 반대편이고 샤워헤드 (114) 의 하단 표면은 샤워헤드 (114) 와 페데스탈 (116) 사이의 갭에 대면한다.
기생 커패시턴스 C11f는 샤워헤드 (114) 의 상단 표면 (135) 과 천장 (124) 사이에 저 임피던스 경로를 생성하고, 기생 커패시턴스 C12f는 샤워헤드 (114) 의 측 표면과 측벽 (122) 사이에 저 임피던스 경로를 생성한다. 수정된 RF 신호의 RF 전류 일부는 샤워헤드 (114) 의 상단 표면 (135) 으로부터 천장 (124) 으로 기생 커패시턴스 C11f를 갖는 저 임피던스 경로를 통해 흐르고 수정된 RF 신호의 RF 전력 일부는 샤워헤드 (114) 의 측 표면으로부터 기생 커패시턴스 C12f를 갖는 측벽 (122) 으로 저 임피던스 경로를 통해 통과된다. 기생 커패시턴스 C11f 및 기생 커패시턴스 C12f에 의해 생성된 저 임피던스 경로들의 결과로서, 션트 회로 (108) 가 사용되지 않을 때, 보다 높은 양의 전류가 RF 생성기 (104) 에 의해 생성되게 되고 IMC (106) 및 RF 송신 라인 (132) 을 통해 상단 전극 (120) 으로 공급된다. 더욱이, 기생 커패시턴스 C11f 및 기생 커패시턴스 C12f에 의해 생성된 저 임피던스 경로들은 웨이퍼 (112) 프로세싱시 유효성을 감소시킨다. 예를 들어, 웨이퍼 (112) 상에 재료들을 증착하는 증착 레이트 또는 웨이퍼 (112) 세정 레이트는 저 임피던스 경로들로 인해 감소된다.
션트 회로 (108) 는 갭 내에 플라즈마를 생성 또는 유지하기 위해 RF 송신 라인 (132) 을 통해 상단 전극 (120) 으로 이송되는 수정된 RF 신호의 RF 전압이 상승되도록, 기생 커패시턴스 C11f 및 기생 커패시턴스 C12f에 의해 생성된 저 임피던스 경로들의 임피던스들이 상승한다. 예를 들어, 임피던스들은, 전체가 5 Ω으로부터 150 Ω으로 상승된다. 커패시터 Cs의 커패시턴스를 제어함으로써, 인덕터 Ls의 인덕턴스를 제어함으로써, 또는 모두 제어함으로써, 저 임피던스 경로들의 임피던스들이 상승된다. 예를 들어, 커패시터 Cs의 커패시턴스, 또는 인덕터 Ls의 인덕턴스, 또는 모두는 수동으로 또는 전기적으로 변화된다. 예시를 위해, 사람이 플레이트들 중 일 플레이트를 플레이트들 중 또 다른 플레이트에 대해 로테이팅시킴으로써 2 개의 플레이트들 사이의 면적 또는 커패시터 Cs의 병렬 플레이트들 사이의 거리를 변화시킨다. 다른 예로서, 사람이 인덕터 Ls의 인덕턴스를 변화시키기 위해 인덕터 Ls의 투자율 (permeability) 을 변화시키도록 인덕터 Ls의 코일 감김 (coil turns) 으로 둘러싸인 제 1 코어를 제 2 코어로 대체한다. 또 다른 예로서, 사람이 인덕터 Ls의 인덕턴스를 변화시키기 위해 인덕터 Ls의 코어가 인덕터 Ls의 코일 와인딩들 (coil windings) 에 의해 둘러싸이는 양을 바꾼다. 기생 커패시턴스 C11f 및 기생 커패시턴스 C12f와 연관된 임피던스들은 저 임피던스 경로들의 임피던스들을 상승시킴으로써 무효화된다. 예를 들어, 기생 커패시턴스 C11f 및 기생 커패시턴스 C12f와 연관된 임피던스들은 낮다. 션트 회로 (108) 의 사용으로, 저 임피던스들은 저 임피던스들을 상승시킴으로써 무효화된다.
일부 실시예들에서, IMC (106) 는 RF 케이블을 통해 상이한 RF 생성기에 커플링된 입력부 각각과 함께 복수의 입력부들을 갖는다. 예를 들어, IMC (106) 의 제 1 입력부는 제 1 RF 케이블을 통해 400 ㎑ RF 생성기에 연결되고, IMC (106) 의 제 2 입력부는 제 2 RF 케이블을 통해 13.56 ㎒ RF 생성기에 연결된다. 다른 예로서, IMC (106) 의 제 1 입력부가 제 1 RF 케이블을 통해 2 ㎒ RF 생성기에 연결되고, IMC (106) 의 제 2 입력부는 제 2 RF 케이블을 통해 13.56 ㎒ RF 생성기에 연결되고, IMC (106) 의 제 3 입력부는 제 3 RF 케이블을 통해 60 ㎒ RF 생성기에 연결된다.
다양한 실시예들에서, 상단 전극 (120) 이 IMC (106) 에 커플링되는 대신, 상단 전극 (120) 은 접지 전위에 커플링되고 하단 전극 (118) 은 RF 송신 라인 (132) 을 통해 IMC (106) 에 커플링된다. IMC (106) 는 RF 케이블 (130) 을 통해 RF 생성기 (104) 에 커플링된다. 션트 회로 (108) 는 하단 전극 (118) 에 커플링된 RF 송신 라인 (132) 상의 지점 P1에 커플링된다. 지점 P1은 하단 부분 (126) 의 하단 표면 (133) 아래 미리 결정된 거리 내에 위치된다. 션트 회로 (108) 의 단부 E2는 하단 부분 (126) 으로부터 미리 결정된 거리 내에 커플링됨으로써 접지 전위에 커플링된다. 예를 들어, 션트 회로 (108) 는 하단 부분 (126) 아래에 위치되고 션트 회로 (108) 의 단부 E2는 하단 부분 (126) 의 하단 표면 (133) 에 커플링된다. 하단 부분 (126) 은 페데스탈 (116) 과 대면하는 상단 표면 (131) 을 갖는다. 하단 표면 (133) 은 페데스탈 (116) 과 대면하지 않고 션트 회로 (108) 에 대면한다.
일부 실시예들에서, 플라즈마 챔버의 샤워헤드의 상단 전극이 갭에 노출되고, 절연체 내에 봉지되지 (encapsulate) 않는다. 예를 들어, 상단 전극 (120) 이 절연체 내에 봉지되는 대신, 또 다른 상단 플레이트, 예를 들어, 알루미늄으로부터 제조된 전극, 알루미늄 합금으로부터 제조된 전극, 등이 사용되고, 다른 전극은 절연체 내에 봉지되지 않는다.
도 1b는 샹들리에-타입 플라즈마 챔버 (152) 가 플러시-마운트 타입 플라즈마 챔버 (102) (도 1a) 대신 사용되는 플라즈마 프로세싱 시스템 (150) 의 일 실시예의 도면이다. 플라즈마 프로세싱 시스템 (150) 은 플라즈마 챔버 (152), RF 생성기 (104), RF 케이블 (130), IMC (106), RF 송신 라인 (154), 및 션트 회로 (108) 를 포함한다. 플라즈마 챔버 (152) 는 플라즈마 챔버 (152) 가 스템 (156) 을 포함하는 것을 제외하고, 플라즈마 챔버 (102) 와 동일하다. 샤워헤드 (114), 페데스탈 (116), 및 스템 (156) 은 플라즈마 챔버 (152) 의 하우징 내에 위치된다. 샤워헤드 (114) 의 상단 표면 (135) 은 스템 (156) 에 인접하고 천장 (124) 과 대면한다.
샤워헤드 (114) 는 스템 (156) 을 통해 천장 (124) 에 연결된다. 예를 들어, 샤워헤드 (114) 는 스템 (156) 이 부착 예를 들어, 볼트 결합, 스크루 결합, 등 되는 천장 (124) 에 의해 지지된다. RF 송신 라인 (154) 은 IMC (106) 의 출력부 O1에 커플링되고 지점 P1 및 천장 (124) 을 통해 플라즈마 챔버 (152) 의 하우징 내에 위치된 스템 (156) 내로 연장한다. 플라즈마 챔버 (152) 의 하우징은 천장 (124), 측벽 (122), 및 하단 부분 (126) 으로 이루어진다. 플라즈마 챔버 (152) 의 하우징은 접지 전위에 커플링된다. RF 송신 라인 (152) 은 상단 전극 (120) 에 연결되도록 스템 (156) 내로 연장한다. RF 송신 라인 (152) 의 인덕턴스는 L1c로 나타낸다.
IMC (106) 의 출력부 O1에서 공급되는 수정된 RF 신호는 RF 송신 라인 (154) 을 통해 상단 전극 (120) 으로 이송된다. 샤워헤드 (114) 및 천장 (124) 의 레이아웃, 예를 들어, 샤워헤드 (114) 의 상단 표면 (135) 과 천장 (124) 사이의 거리 d2는 샤워헤드 (114) 의 상단 표면 (135) 과 천장 (124) 사이에 기생 커패시턴스 C11c를 생성한다. 더욱이, 플라즈마 챔버 (152) 의 샤워헤드 (114) 와 플라즈마 챔버 (152) 의 측벽 (122) 의 레이아웃, 예를 들어, 샤워헤드 (114) 의 측 표면과 측벽 (122) 사이의 거리, 샤워헤드 (114) 와 측벽 (122) 사이에 또 다른 기생 커패시턴스 C12c 를 생성한다. 일부 실시예들에서, 플라즈마 챔버 (152) 와 연관된 기생 커패시턴스 C11c 및 기생 커패시턴스 C12c의 합은 플라즈마 챔버 (102) 와 연관된 기생 커패시턴스 C11f 및 기생 커패시턴스 C12f의 합보다 작다. 예를 들어, 이 합들의 주요 차이는 커패시턴스 C12f와 커패시턴스 C12c 간의 차이에 의해 생성된다. 지점 P1에서 RF 송신 라인 (154) 에 연결되는 션트 회로 (108) 는 웨이퍼 (112) 의 프로세싱 효율을 상승시키기 위해 기생 커패시턴스 C11c 및 기생 커패시턴스 C12c를 통한 수정된 RF 신호의 RF 전류의 감소가 있도록, 기생 커패시턴스 C11c 및 기생 커패시턴스 C12c에 의해 생성된 저 임피던스 경로들의 임피던스들을 상승시킨다. 커패시터 Cs의 커패시턴스, 또는 인덕터 Ls의 인덕턴스, 또는 모두를 제어함으로써, 저 임피던스 경로들의 임피던스들은 수정된 RF 신호의 RF 전압을 상승시키기 위해 상승된다. 기생 커패시턴스 C11c 및 기생 커패시턴스 C12c와 연관된 임피던스들은 저 임피던스 경로들의 임피던스들을 상승시킴으로써 무효화된다. 예를 들어, 기생 커패시턴스 C11c 및 기생 커패시턴스 C12c와 연관된 임피던스들은 낮다. 션트 회로 (108) 의 사용으로, 저 임피던스들은 저 임피던스들을 상승시킴으로써 무효화된다.
도 1c는 션트 회로가 플라즈마 챔버 (172) 의 하우징 내에 놓인 플라즈마 프로세싱 시스템 (170) 의 일 실시예의 도면이다. 플라즈마 프로세싱 시스템 (170) 은 플라즈마 프로세싱 시스템 (170) 내에서, 션트 회로가 플라즈마 챔버 (102) 의 하우징 내부에 위치된 RF 송신 라인 (132) 의 일부에 커플링되는 것을 제외하고, 도 1a의 플라즈마 프로세싱 시스템 (100) 과 동일하다. 더욱이, 플라즈마 챔버 (172) 는 플라즈마 챔버 (172) 가 션트 회로의 인덕터 Ls를 포함하는 것을 제외하고 플라즈마 챔버 (102) (도 1a) 와 동일하다.
인덕터 Ls는 하우징 외부의 RF 송신 라인 (132) 상의 지점 P1과 RF 송신 라인 (132) 이 상단 전극 (120) 에 커플링되는 지점 P2 사이에서 RF 송신 라인 (132) 상의 지점에 연결된다. 예를 들어, 인덕터 Ls는 샤워헤드 (114) 와 천장 (124) 사이에 놓인다. 인덕터 Ls는 그 단부 E2에서 접지 전위에 커플링되고 그 단부 E1에서 지점 P1과 지점 P2 사이의 지점에 연결된다. 일부 실시예들에서, 인덕터 Ls는 모두 접지 전위로 있는 천장 (124) 또는 측벽 (122) 에 연결됨으로써 접지 전위에 커플링된다. 인덕터 Ls의 인덕턴스는 IMC (106) 로부터 출력되는 수정된 RF 신호가 RF 송신 라인 (132) 을 통해 상단 전극 (120) 으로 그리고 또한 샤워헤드 (114) 와 페데스탈 (116) 사이의 갭으로 이송되도록 샤워헤드 (114) 의 상단 표면 (135) 과 천장 (124) 사이의 저 임피던스 그리고 샤워헤드 (114) 의 측 표면과 측벽 (122) 사이의 저 임피던스를 상승시킨다.
상단 전극 (120) 이 상단 전극 (120) 대신 접지 전위에 커플링되고, 하단 전극 (118) 이 RF 송신 라인 (132) 을 통해 IMC (106) 에 커플링되는 다양한 실시예들에서, 지점 P2과 유사한 지점이 상단 전극 (120) 대신 하단 전극 (118) 에 위치된다. 더욱이, 지점 P1은 하단 부분 (126) 의 하단 표면 (133) 아래에 위치된다. 인덕터 Ls는 지점 P1과 하단 전극 (118) 에 위치된 지점 사이에 커플링되고 하단 부분 (126) 과 페데스탈 (116) 사이에 위치된다.
일부 실시예들에서, 션트 회로 (108) (도 1a) 는 인덕터 Ls 대신 플라즈마 챔버 (172) 내부에서 구현된다. 예를 들어, 션트 회로 (108) 는 단부 E1과 단부 E2 사이에 연결되고 플라즈마 챔버 (172) 의 천장 (124) 과 플라즈마 챔버 (172) 의 샤워헤드 (114) 사이에 배치된다.
도 1d는 플라즈마 챔버 (182) 가 플라즈마 챔버 (182) 의 하우징 내에 션트 회로를 포함하는 플라즈마 프로세싱 시스템 (180) 의 일 실시예의 도면이다. 플라즈마 프로세싱 시스템 (180) 은 플라즈마 프로세싱 시스템 (180) 내에서, 션트 회로가 플라즈마 챔버 (182) 의 하우징 내에 위치된 것을 제외하고 도 1b의 플라즈마 프로세싱 시스템 (150) 과 동일하다. 플라즈마 챔버 (182) 는 플라즈마 챔버 (182) 가 션트 회로의 인덕터 Ls를 포함하는 것을 제외하고 플라즈마 챔버 (152) (도 1b) 와 동일하다. 인덕터 Ls는 지점 P1과 RF 송신 라인 (154) 이 상단 전극 (120) 에 커플링되는 지점 P3 사이의 지점에 커플링된다. 예를 들어, 인덕터 Ls는 샤워헤드 (114) 와 천장 (124) 사이에 놓인다. 플라즈마 챔버 (182) 의 하우징은 천장 (124), 측벽 (122), 및 하단 부분 (126) 으로 형성된다. 인덕터 Ls는 하우징 내부에 위치되고 플라즈마 챔버 (182) 의 하우징 내부에 놓인 RF 송신 라인 (154) 의 부분에 커플링된다. 인덕터 Ls의 인덕턴스는 IMC (106) 로부터 출력된 수정된 RF 신호가 RF 송신 라인 (154) 을 통해 상단 전극 (120) 으로 또한 샤워헤드 (114) 와 페데스탈 (116) 사이의 갭으로 이송되도록 샤워헤드 (114) 의 상단 표면 (135) 과 천장 (124) 사이의 저 임피던스 샤워헤드 (114) 의 측 표면과 측벽 (122) 사이의 저 임피던스를 상승시킨다.
다양한 실시예들에서, 플라즈마 챔버 (182) 의 상단 전극 (120) 은 하단 전극 (118) 대신 접지 전위에 커플링되고, 하단 전극 (118) 은 RF 송신 라인 (132) 을 통해 IMC (106) 에 커플링된다. 지점 P1은 하단 부분 (126) 의 하단 표면 (133) 아래로 미리 결정된 거리에 위치된다. 인덕터 Ls는 지점 P1과 RF 송신 라인 (132) 이 하단 전극 (118) 에 커플링되는 지점 사이에 위치된 지점에 커플링된다. 인덕터 Ls는 하단 부분 (126) 과 페데스탈 (116) 사이에 위치된다. 이들 실시예들은 도 1h에서 이하에 예시된다.
일부 실시예들에서, 션트 회로 (108) (도 1a) 는 인덕터 Ls 대신 플라즈마 챔버 (182) 내부에서 구현된다. 예를 들어, 션트 회로 (108) 는 단부 E1과 단부 E2 사이에 연결되고 플라즈마 챔버 (182) 의 천장 (124) 과 플라즈마 챔버 (182) 의 샤워헤드 (114) 사이에 배치된다.
도 1e는 상단 전극 (120) 대신 하단 전극 (118) 에 커플링되는 RF 송신 라인 (132) 상의 지점 P1로 션트 회로 (108) 의 커플링을 예시하기 위한 플라즈마 프로세싱 시스템 (190) 의 일 실시예의 도면이다. 플라즈마 프로세싱 시스템 (190) 은 플라즈마 프로세싱 시스템 (190) 이 플라즈마 챔버 (102) (도 1a) 대신 플라즈마 챔버 (192) 를 포함하는 것을 제외하고 플라즈마 프로세싱 시스템 (100) (도 1a) 과 동일하다. 플라즈마 챔버 (192) 는 플러시-마운트 타입 플라즈마 챔버이다. 플라즈마 챔버 (192) 에서, 상단 전극 (120) 은 접지 전위에 커플링되고 하단 전극 (118) 은 RF 송신 라인 (132) 에 커플링된다. 더욱이, 페데스탈 (116) 은 측면 마운트 (138) 를 통해 측벽 (122) 에 마운팅된다 (mount). 측면 마운트 (138) 는 페데스탈 (116) 을 측벽 (122) 에 커플링한다. 기생 커패시턴스 C12c는 샤워헤드 (114) 와 측벽 (122) 사이 대신 페데스탈 (116) 과 측벽 (122) 사이에 생성된다. 또한, 샤워헤드 (114) 는 천장 (124) 으로부터 스템 (156) 을 통해 마운팅된다.
더욱이, 션트 회로 (108) 는 페데스탈 (116) 과 측벽 (122) 사이의 기생 커패시턴스와 연관된, 예를 들어, 기생 커패시턴스에 의해 생성된 임피던스를 상승시키고, 페데스탈 (116) 과 하단 부분 (126) 의 상단 표면 (131) 사이의 기생 커패시턴스에 의해 생성된 임피던스를 상승시키도록 RF 송신 라인 (132) 상의 지점 P1에 커플링된다. 지점 P1은 천장 (124) 으로부터 미리 결정된 거리에 위치되는 대신 하단 부분 (126) 으로부터 미리 결정된 거리에 위치된다. 션트 회로 (108) 의 단부 E2는 플라즈마 챔버 (192) 의 하우징의 하단 부분 (126) 의 하단 표면 (133) 에 커플링함으로써 접지 전위에 커플링된다. 션트 회로 (108) 는 하단 부분 (126) 의 하단 표면 (133) 과 대면한다.
도 1f는 상단 전극 (120) 대신 하단 전극 (118) 에 커플링되는 RF 송신 라인 (132) 상의 지점 P1로 션트 회로 (108) 의 커플링을 예시하기 위한 플라즈마 프로세싱 시스템 (194) 의 일 실시예의 도면이다. 플라즈마 프로세싱 시스템 (194) 은 플라즈마 프로세싱 시스템 (194) 이 플라즈마 챔버 (152) (도 1b) 대신 플라즈마 챔버 (196) 를 포함하는 것을 제외하고 플라즈마 프로세싱 시스템 (150) (도 1b) 과 동일하다. 샹들리에-타입 플라즈마 챔버인 플라즈마 챔버 (196) 에서, 상단 전극 (120) 은 접지 전위에 커플링되고 하단 전극 (118) 은 RF 송신 라인 (132) 에 커플링된다. 션트 회로 (108) 의 단부 E2는 플라즈마 챔버 (196) 의 하우징의 하단 부분 (126) 의 하단 표면 (133) 에 커플링됨으로써 접지 전위에 커플링된다.
도 1g는 플라즈마 챔버 (197) 의 기생 커패시턴스와 연관된 임피던스를 상승시키기 위한 인덕터 Ls의 사용을 예시하기 위한 플라즈마 프로세싱 시스템 (195) 의 일 실시예의 도면이다. 플라즈마 프로세싱 시스템 (195) 은 플라즈마 프로세싱 시스템 (195) 에서, 인덕터 Ls가 하단 전극 (118) 에 커플링된 RF 송신 라인 (132) 상의 지점 P1과 하단 전극 (118) 의 지점 P4 사이에 커플링되는 것을 제외하고, 플라즈마 프로세싱 시스템 (190) (도 1e) 과 동일하다.
플라즈마 챔버 (197) 에서, RF 송신 라인 (132) 은 하단 전극 (118) 의 지점 P4에 커플링되고, 인덕터 Ls의 단부 E1은 지점 P1과 지점 P4 사이에서 RF 송신 라인 (132) 에 커플링된다. 인덕터 L2의 단부 E2는 접지 전위에 커플링된다. 예를 들어, 단부 E2는 하단 부분 (126) 의 상단 표면 (131) 에 커플링된다. 일부 실시예들에서, 인덕터 Ls의 단부 E2는 측벽 (122) 에 커플링된다. 인덕터 Ls는 페데스탈 (116) 과 측벽 (122) 사이의 기생 커패시턴스 및 페데스탈 (116) 과 하단 부분 (126) 사이의 기생 커패시턴스 와 연관된 임피던스를 상승시킨다.
일부 실시예들에서, 션트 회로 (108) (도 1a) 는 인덕터 Ls 대신 플라즈마 챔버 (197) 내부에서 구현된다. 예를 들어, 션트 회로 (108) 는 플라즈마 챔버 (197) 의 하단 부분 (126) 과 플라즈마 챔버 (197) 의 페데스탈 (116) 사이의 단부 E1과 단부 E2 사이에 연결된다.
도 1h는 플라즈마 챔버 (199) 의 기생 커패시턴스와 연관된 임피던스를 상승시키기 위해 인덕터 Ls의 사용을 예시하기 위한 플라즈마 프로세싱 시스템 (198) 의 일 실시예의 도면이다. 플라즈마 프로세싱 시스템 (198) 은 플라즈마 프로세싱 시스템 (198) 이 플라즈마 챔버 (199) 를 갖고 인덕터 Ls가 하단 전극 (118) 에 커플링된 RF 송신 라인 (132) 상의 지점 P1과 하단 전극 (118) 의 지점 P5 사이에 커플링되는 것을 제외하고 플라즈마 프로세싱 시스템 (180) (도 1d) 과 동일하다. 플라즈마 챔버 (199) 에서, RF 송신 라인 (132) 은 하단 전극 (118) 의 지점 P5에 커플링되고 인덕터 Ls의 단부 E1은 지점 P1과 지점 P5 사이의 RF 송신 라인 (132) 에 커플링된다.
일부 실시예들에서, 션트 회로 (108) (도 1a) 는 인덕터 Ls 대신 플라즈마 챔버 (199) 내부에서 구현된다. 예를 들어, 션트 회로 (108) 는 플라즈마 챔버 (199) 의 하단 부분 (126) 과 플라즈마 챔버 (199) 의 페데스탈 (116) 사이에서 단부 E1과 단부 E2 사이에 연결된다.
도 2는 웨이퍼 (112) 를 프로세싱하기 위해 사용된 PECVD 시스템의 예인 플라즈마 프로세싱 시스템 (200) 의 일 실시예의 도면이다. 플라즈마 프로세싱 시스템 (200) 은 하부 챔버 부분 (202b) 및 상부 챔버 부분 (202a) 을 갖는 플라즈마 챔버 (202) 를 포함한다. 플라즈마 챔버 (202) 는 플라즈마 챔버 (102) (도 1a) 의 일 예이다.
중심 컬럼이 페데스탈 (116) 을 지지하도록 구성된다. 중심 컬럼은 또한 리프트 핀 제어부 (222) 에 의해 제어되는, 리프트 핀들 (220) 을 포함하는 것으로 도시된다. 리프트 핀들 (220) 은 엔드-이펙터로 하여금 웨이퍼 (112) 를 픽킹 (pick) 하게 하도록 페데스탈 (116) 로부터 웨이퍼 (112) 를 상승시키고 단부 엔드-이펙터에 의해 배치된 후 웨이퍼 (112) 를 하강시키도록 사용된다.
플라즈마 챔버 (202) 는 웨이퍼 (112) 를 프로세싱하기 위해 페데스탈 (116) 위에 위치된 샤워헤드 (250) 를 더 포함한다. 샤워헤드 (250) 는 샤워헤드 (114) (도 1a) 의 일 예이다. 샤워헤드 (250) 는 IMC (106) 에 전기적으로 커플링된다. IMC (106) 는 복수의 RF (radio frequency) 생성기들 (204) 에 커플링된다. RF 생성기들 (204) 은 시스템 제어기 (210) 에 의해 제어된다. 제어기의 예들은 프로세서 및 메모리 디바이스를 포함한다. 본 명세서에 기술된 바와 같은 프로세서는 ASIC (application specific integrated circuit), PLD (programmable logic device), CPU (central processing unit), 또는 마이크로프로세서, 등이다. 본 명세서에 기술된 바와 같은 메모리 디바이스의 예들은 ROM (read-only memory), RAM (random access memory), 복수 어레이 저장 디스크들 (redundant array of storage disks), 하드 디스크, 플래시 메모리, 등을 포함한다. 시스템 제어기 (210) 는 프로세스 입력 및 제어부 (208) 를 실행함으로써 플라즈마 프로세싱 시스템 (200) 을 동작시킨다. 프로세스 입력 및 제어부 (208) 는 웨이퍼 (112) 위에 막들을 증착하거나 형성하기 위한 것과 같은 프로세스 레시피들, 예컨대 전력 레벨들, 타이밍 파라미터들, 프로세스 가스들, 웨이퍼 (112) 의 기계적 운동, 등을 포함할 수도 있다.
플라즈마 프로세싱 시스템 (200) 은 프로세스 가스 (214), 예를 들어, 설비로부터 가스 화학물질 공급부들, 등에 연결되는 가스 공급 매니폴드 (212) 를 더 포함한다. 수행될 프로세싱에 따라, 시스템 제어기 (210) 는 가스 공급 매니폴드 (212) 를 통해 프로세스 가스들 (214) 의 전달을 제어한다. 이어서 선택된 프로세스 가스들은 샤워헤드 (250) 내로 흐르고 웨이퍼 (201) 를 대면하는 샤워헤드 (250) 면 (face) 과 페데스탈 (116) 사이에 규정된 공간 볼륨, 예를 들어, 갭 내에 분산된다.
또한, 일부 실시예들에서, 프로세스 가스들 (214) 은 미리 혼합되거나 (premix) 미리 혼합되지 않을 수도 있다. 적절한 밸브 및 질량 유량 (mass flow) 제어 매커니즘들이 프로세스의 증착 및 플라즈마 처리 페이즈들 동안 올바른 프로세스 가스들이 전달되는 것을 보장하도록 채용된다. 프로세스 가스들 (214) 은 유출구를 통해 플라즈마 챔버 (202) 를 나간다. 진공 펌프, 예를 들어, 1 또는 2 단계 기계적 드라이 펌프, 터보분자 펌프, 등이 프로세스 가스들을 인출하고 쓰로틀 밸브 또는 펜둘럼 밸브와 같은, 폐루프 제어된 플로우 제한 디바이스에 의해 플라즈마 챔버 (202) 내에서 적합하게 저 압력을 유지한다.
페데스탈 (116) 의 외측 영역을 둘러싸는 캐리어 링 (251) 이 또한 도시된다. 캐리어 링 (251) 은 페데스탈 (116) 의 중심에서 웨이퍼 지지 영역으로부터 단차 다운된 부분 (step down) 인 캐리어 링 지지 영역 위에 놓인다. 캐리어 링 (251) 은 디스크 구조체의 외측 에지 측, 예를 들어, 외측 반경, 등 및 디스크 구조체의 웨이퍼 에지 측, 예를 들어, 웨이퍼 (112) 가 놓이는 곳에 가장 가까운 내측 반경, 등을 포함한다. 캐리어 링 (251) 의 웨이퍼 에지 측은 캐리어 링 (251) 이 복수의 스파이더 포크들 (280) 에 의해 리프팅될 때 웨이퍼 (112) 를 리프팅하는 복수의 콘택트 지지 구조체들을 포함한다. 따라서 캐리어 링 (251) 은 웨이퍼 (112) 와 함께 리프팅되고 예를 들어, 멀티-스테이션 시스템에서 또 다른 스테이션으로 로테이팅된다.
션트 회로 (108) 는 플라즈마 챔버 (202) 의 부분 (202a) 위로 미리 결정된 거리 이내에 위치된 지점 P1에 커플링된다. 일부 실시예들에서, 지점 P1은 IMC (106) 와 비교하여 부분 (202a) 에 보다 가깝다. 션트 회로 (108) 는 단부 E2에서 접지 전위에 커플링되고 션트 회로 (108) 의 단부 E1은 RF 송신 라인 (132) 상의 지점 P1에 커플링된다. 션트 회로 (108) 는 샤워헤드 (250) 와 플라즈마 챔버 (202) 의 부분 (202a) 사이의 임피던스를 상승시킨다. 임피던스의 상승은 IMC (106) 의 출력부 O1에서 전압을 상승시킨다. 전압의 상승은 RF 송신 라인 (132) 을 통해 샤워헤드 (250) 와 페데스탈 (116) 사이의 갭을 향해 이송된 수정된 RF 신호의 전력을 상승시킨다.
도 3은 스테이션 1, 스테이션 2, 스테이션 3, 및 스테이션 4인 4 개의 프로세싱 스테이션들이 제공되는, 멀티-스테이션 프로세싱 툴의 평면도를 예시한다. 플라즈마 챔버 (202) (도 2) 는 4 개의 프로세싱 스테이션 1 내지 스테이션 4 각각의 일 예이다. 4 개의 스테이션들 상에서 프로세싱되는 웨이퍼들 (112) 은 스파이더 포크들 (280) 에 의해 액세스된다. 일 실시예에서, 일 스테이션을 또 다른 스테이션으로부터 격리하는 격리 벽 또는 다른 메커니즘이 없다. 스파이더 포크 (280) 각각은 제 1 암 및 제 2 암을 포함하고, 암 각각은 페데스탈 (116) 의 측면 각각의 부분을 주변에 포지셔닝된다. 이 도면에서, 스파이더 포크들 (280) 은 캐리어 링 (251) 아래에 있는 것들을 시사하기 위해 대시-선으로 도시된다. 인게이지먼트 (engagement) 및 로테이션 메커니즘 (320) 을 사용하는 스파이더 포크들 (280) 은 캐리어 링들 (251) 의 하부 표면으로부터 스테이션 1 내지 스테이션 4 로부터 동시에 상승 및 리프팅하고, 캐리어 링들 (251) 을 하강시키기 전에 스테이션 1 내지 스테이션 4 중 2 이상 사이에서 로테이팅하도록 구성된다. 로테이션 동안, 캐리어 링들 (251) 중 적어도 하나는 다른 플라즈마 프로세싱, 처리 및/또는 막 증착이 각각의 웨이퍼 (112) 상에서 발생하도록 다음 위치로 웨이퍼 (112) 를 지지한다.
도 4는 인바운드 로드록 (402) 및 아웃바운드 로드록 (404) 을 갖는 멀티-스테이션 프로세싱 툴 (400) 의 일 실시예의 개략도를 도시한다. 대기압에서 로봇 (406) 이 대기 포트 (410) 를 통해 인바운드 로드록 (402) 내로 포드 (pod) (408) 를 통해 로딩된 카세트로부터 기판들 예를 들어, 웨이퍼 (112), 등을 이동시킨다. 인바운드 로드록 (402) 은 대기 포트 (410) 가 폐쇄될 때, 인바운드 로드록 (402) 이 펌핑다운되도록 진공 소스 (미도시) 에 커플링된다. 인바운드 로드록 (402) 은 또한 스테이션 1 내지 스테이션 4 중 일 스테이션과 인터페이싱된 챔버 이송 포트 (416) 를 포함한다. 따라서, 챔버 이송 포트 (416) 가 개방될 때, 또 다른 로봇 (미도시) 이 프로세싱을 위해 인바운드 로드록 (402) 으로부터 스테이션 1의 페데스탈 (116) 로 웨이퍼 (112) 를 이동시킨다. 멀티-스테이션 프로세싱 툴 (400) 은 도 3을 사용하여 예시된 멀티-스테이션 프로세싱 툴을 포함한다.
일부 실시예들에서, 저압 분위기가 기판들이 진공 브레이크 (vacuum break) 및/또는 공기 노출을 경험하지 않고 스테이션 1 내지 스테이션 4 사이에서 캐리어 링 (251) 을 사용하여 이송되도록 스테이션 1 내지 스테이션 4를 봉지하는 봉지체 (enclosure) 내에서 유지된다. 스테이션 1 내지 스테이션 4 각각은 프로세스 스테이션 기판 홀더 및 프로세스 가스 전달 라인 유입구들을 포함한다.
스파이더 포크들 (280) 은 스테이션 1 내지 스테이션 4 사이에서 기판들을 이송한다. 스파이더 포크들 (280) 은 웨이퍼 (112) 를 로테이팅시키고 스테이션 1 내지 스테이션 4 중 일 스테이션으로부터 스테이션 1 내지 스테이션 4 중 또 다른 스테이션으로 웨이퍼 (112) 의 이송을 인에이블한다. 이송은 하고, 웨이퍼 (112) 를 리프팅하고, 웨이퍼 (112) 및 캐리어 링 (251) 을 함께 다음 스테이션으로 로테이팅하는, 외측 하부 표면으로부터 캐리어 링들 (251) 을 리프팅하도록 스파이더 포크들 (280) 을 인에이블함으로써 발생한다. 일 구성에서, 스파이더 포크들 (280) 은 프로세싱 동안 고 레벨의 열을 견디도록 세라믹 재료로 이루어진다.
다양한 실시예들에서, 4 이외의 수의 스테이션들이 사용된다. 예를 들어, 3 또는 2 또는 5 개의 플라즈마 프로세싱 스테이션들이 웨이퍼 (112) 를 프로세싱하도록 사용된다.
도 5a는 기생 커패시턴스들과 연관된 임피던스들을 무효화하기 위한 션트 회로 (502) 의 사용을 예시하기 위한 시스템 (500) 의 일 실시예의 도면이다. 시스템 (500) 은 RF 생성기 (104), IMC (106), VI 프로브 (110), 인덕터 L1, 기생 커패시턴스 C1, 션트 회로 (502), 커패시터 C2, 인덕터 L2, 및 샤워헤드 (114) 와 페데스탈 (116) 사이의 갭 내에 형성된 플라즈마의 임피던스 Z_plasma를 포함한다. 기생 커패시턴스 C1은 플러시-마운트 타입 플라즈마 챔버에 대한 기생 커패시턴스 C11f 및 기생 커패시턴스 C12f의 합을 나타낸다. 일부 실시예들에서, 기생 커패시턴스 C1은 샹들리에-타입 플라즈마 챔버에 대한 기생 커패시턴스 C11c 및 기생 커패시턴스 C12c의 합을 나타낸다. 또한, 인덕터 L1은 RF 송신 라인 (132) (도 1a) 의 인덕터 L1f를 갖는다. 일부 실시예들에서, 인덕터 L1은 RF 송신 라인 (152) (도 1b) 의 인덕터 L1c를 갖는다.
IMC (106) 는 기생 커패시턴스 C1을 통해 접지 전위에 커플링되는 인덕터 L1에 커플링된다. 더욱이, VI 프로브 (110) 는 IMC (106) 의 출력부 O1에 커플링된다. 션트 회로 (502) 의 인덕터 Ls의 단부 E1은 RF 송신 라인, 예를 들어, RF 송신 라인 (132), RF 송신 라인 (152), 등 상의 지점 P1에 커플링된다. 지점 P1은 커패시터 C2의 상단 플레이트에 커플링된다. 상단 플레이트는 샤워헤드 (114) (도 1a) 를 나타낸다. 커패시터 C2의 하단 플레이트가 인덕터 L2에 커플링된다. 하단 플레이트는 페데스탈 (116) (도 1a) 을 나타낸다. 임피던스 Z_plasma는 샤워헤드 (114) 와 페데스탈 (116) 사이의 갭 내에 있다. 임피던스 Z_plasma는 커패시터 C2에 병렬이고, 커패시터 C2 및 임피던스 Z_plasma는 모두 접지 전위에 커플링되는, 인덕터 L2에 커플링된다.
션트 회로 (502) 는 기생 커패시턴스 C1에 병렬로 커플링된다. 인덕터 Ls의 인덕턴스를 제어함으로써, 기생 커패시턴스 C1의 임피던스는 출력부 O1에서 RF 전압량 상승 및 RF 송신 라인, 예를 들어, RF 송신 라인 (132) (도 1a), RF 송신 라인 (154) (도 1b), 등을 통해 커패시터 C2의 상단 플레이트로 공급되는, 수정된 RF 신호의 RF 전압량의 상승이 있도록 임피던스를 상승시키게 제어된다. 수정된 RF 신호의 RF 전압량의 상승은 플라즈마 챔버에 의해 수행될, 플라즈마 프로세스, 예를 들어, 증착, 세정, 등의 효율을 상승시킨다.
도 5b는 가변 인덕터 Lvs를 갖는 션트 회로 (512) 를 예시하기 위한 시스템 (510) 의 일 실시예의 도면이다. 인덕터 Lvs의 인덕턴스 값은 인덕터 Ls의 인덕턴스 값과 같다. 시스템 (510) 은 시스템 (520) 에서, 인덕터 Ls가 가변 인덕터 Lvs로 대체되는 것을 제외하고 시스템 (500) (도 5a) 과 동일하다. 가변 인덕터 Lvs는 단부 E1과 단부 E2 사이에 커플링되고, 기생 커패시턴스 C1에 병렬이다. 가변 인덕터 Lvs의 인덕턴스는 기생 커패시턴스 C1의 결과로서 생성된 임피던스를 상승시키도록 수정된다. 임피던스의 상승은 플라즈마 프로세싱 효율을 상승시키기 위해 커패시터 C2의 상단 플레이트를 향해 흐르는 수정된 RF 신호의 RF 전압량을 상승시킨다.
도 5c는 단부 E1과 단부 E2 사이에 커플링된 션트 회로 (108) 를 예시하기 위한 시스템 (520) 의 일 실시예의 도면이다. 시스템 (520) 은 시스템 (520) 에서, 인덕터 Ls가 커패시터 Cs에 병렬로 커플링되는 것을 제외하고 시스템 (500) (도 5a) 과 동일하다. 션트 회로 (108) 의 단부 E1은 인덕터 L1과 커패시터 C2 사이의 지점 P1에 커플링된다. 션트 회로 (108) 의 다른 단부 E2는 접지 전위에 커플링된다.
인덕터 Ls 및 커패시터 Cs 모두는 기생 커패시턴스 C1과 병렬로 커플링된다. 병렬 커플링은 출력부 O1에서 RF 전압을 상승시키도록 기생 커패시턴스 C1의 임피던스를 상승시킨다. 또한, 커패시터 Cs의 커패시턴스는 출력부 O1에서 RF 전압을 상승시키도록 변화된다. 출력부 O1에서 RF 전압의 상승은 웨이퍼 (112) 프로세싱시 효율을 상승시킨다.
도 5d는 고정 커패시터 Cfs 및 가변 인덕터 Lvs를 갖는 션트 회로 (532) 가 사용되는 시스템 (530) 의 일 예의 도면이다. 고정 커패시터 Cfs는 커패시터 Cs와 동일한 커패시턴스 값들을 갖는다. 시스템 (530) 은 시스템 (530) 에서, 가변 인덕터 Lvs가 고정 커패시터 Cfs와 병렬로 커플링되는 것을 제외하고 시스템 (510) (도 5b) 과 동일하다. 션트 회로 (532) 는 가변 인덕터 Lvs와 병렬인 고정 커패시터 Cfs를 포함한다. 션트 회로 (532) 는 단부 E1과 단부 E2 사이에 커플링된다.
또한, 고정 커패시터 Cfs 및 가변 인덕터 Lvs 모두 기생 커패시턴스 C1에 병렬로 커플링된다. 병렬 커플링은 출력부 O1에서 RF 전압을 상승시키도록 기생 커패시턴스 C1의 임피던스를 상승시킨다. 또한, 가변 인덕터 Lvs의 인덕턴스는 출력부 O1에서 RF 전압을 상승시키도록 변화된다.
도 5e는 션트 회로 (542) 내 커패시터 Cs 및 가변 인덕터 Lvs의 사용을 예시하기 위한 시스템 (540) 의 일 실시예의 도면이다. 시스템 (540) 은 시스템 (540) 에서, 가변 인덕터 Lvs가 커패시터 Cs에 병렬로 커플링되는 것을 제외하고 시스템 (530) (도 5d) 과 동일하다. 션트 회로 (542) 는 단부 E1과 단부 E2 사이에 커플링된다. 커패시터 Cs 및 가변 인덕터 Lvs는 기생 커패시터 C1에 병렬로 커플링된다.
가변 인덕터 Lvs의 인덕턴스 및 커패시터 Cs의 커패시턴스는 기생 커패시터 C1의 결과로서 생성된 임피던스를 상승시키도록 가변된다. 임피던스의 상승은 IMC (106) 로부터 출력되는 수정된 출력 신호의 RF 전압을 상승시키도록 지점 O1에서 RF 전압을 상승시킨다.
일부 실시예들에서, 인덕터 Ls의 인덕턴스는 웨이퍼 (112) 의 프로세싱 동안, 인덕턴스가 수동으로 또는 모터를 사용하여 수정되지 않을 때 고정된다. 다양한 실시예들에서, 커패시터 Cfs의 커패시턴스는 웨이퍼 (112) 의 프로세싱 동안, 커패시턴스가 수동으로 또는 모터를 사용하여 수정되지 않을 때 고정된다.
도 6a는 IMC (106) 의 출력부 O1에서 파라미터가 미리 결정된 범위 내에 있을 때까지 션트 회로 (108) 의 커패시터 Cs의 커패시턴스의 변화를 예시하기 위한 시스템 (600) 의 일 실시예의 도면이다. 파라미터의 예들은 이하에 제공된다. 시스템 (600) 은 IMC (106), VI 프로브 (110), 션트 회로 (108), 모터 M1, 드라이버 D1, 및 호스트 컴퓨터 (902) 를 포함한다. 호스트 컴퓨터 (902) 는 프로세서 (904) 및 메모리 디바이스 (906) 를 포함한다. 호스트 컴퓨터 (902), 프로세서 (904), 및 메모리 디바이스 (906) 의 예들은 이하에 제공된다. 또한, 드라이버 D1 및 모터 M1의 예들은 이하에 제공된다.
프로세서 (904) 는 모터 M1에 커플링되는 드라이버 D1에 커플링된다. 모터 M1은 연결 메커니즘을 통해 커패시터 Cs에 커플링된다. 연결 메커니즘의 예들은 이하에 제공된다. 또한, IMC (106) 의 출력부 O1에 커플링되는 VI 프로브 (110) 는 예들이 이하에 제공되는 이송 케이블을 통해 프로세서 (904) 에 커플링된다.
프로세서 (904) 는 출력부 O1에 커플링된 VI 프로브 (110) 로부터 파라미터의 측정값을 수신하고 파라미터가 미리 결정된 범위 내인지 여부를 결정한다. 파라미터가 미리 결정된 범위 내에 있지 않다는 결정시, 프로세서 (904) 는 드라이버 D1로 명령 신호를 전송한다. 명령 신호의 수신시, 드라이버 D1은 모터 M1로 전송할 전류 신호를 생성한다. 모터 M1은 커패시터 Cs의 커패시턴스를 변화시키도록 동작한다. 예를 들어, 모터 M1의 스테이터 (stator) 가 전류 신호를 수신할 때 모터 M1의 로터 (rotor) 는 커패시터 Cs의 2 개의 병렬 플레이트 사이의 면적을 변화시키도록 또는 2 개의 플레이트들 사이의 거리를 변화시키도록 로테이팅한다. 커패시터 Cs의 커패시턴스의 변화는 출력부 O1에 커플링되는 VI 프로브 (110) 에 의해 측정된 파라미터를 변화시킨다. 이러한 방식으로, 프로세서 (904) 는 파라미터가 미리 결정된 범위 내에 있을 때까지 커패시터 Cs를 제어하는 것을 계속한다. 다른 한편, 파라미터가 미리 결정된 범위 내에 있다는 결정시, 프로세서 (904) 는 드라이버 D1로 명령 신호를 전송하지 않는다. 명령 신호가 드라이버 D1에 의해 수신되지 않을 때, 드라이버 D1은 전류 신호를 생성하지 않고 커패시터 Cs의 커패시턴스는 변화되지 않는다.
도 6b는 파라미터가 미리 결정된 스팬 내에 있을 때까지 션트 회로 (532) 의 인덕터 Lvs의 인덕턴스의 변화를 예시하기 위한 시스템 (610) 의 일 실시예의 도면이다. 시스템 (610) 은 IMC (106), VI 프로브 (110), 션트 회로 (532), 모터 M1, 드라이버 D1, 및 호스트 컴퓨터 (902) 를 포함한다. 모터 M1은 연결 메커니즘을 통해 인덕터 Lvs에 커플링된다.
프로세서 (904) 는 출력부 O1에 커플링된 VI 프로브 (110) 로부터 파라미터의 측정값을 수신하고 파라미터가 미리 결정된 스팬 내인지 여부를 결정한다. 파라미터가 미리 결정된 스팬 내에 있지 않다는 결정시, 프로세서 (904) 는 명령 신호를 드라이버 D1로 전송한다. 명령 신호 수신시, 드라이버 D1은 모터 M1로 전송할 전류 신호를 생성한다. 모터 M1은 인덕터 Lvs의 인덕턴스를 변화시키도록 동작한다. 예를 들어, 모터 M1의 스테이터가 전류 신호를 수신할 때, 모터 M1의 로터는 인덕터 Lvs의 코어가 인덕터 Lvs의 와인딩들에 의해 둘러싸이는 양을 변화시키도록 로테이팅한다. 인덕터 Lvs의 인덕턴스의 변화는 출력부 O1에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터를 변화시킨다. 프로세서 (904) 는 파라미터가 미리 결정된 스팬 내에 있을 때까지 인덕터 Lvs를 제어하는 것을 계속한다. 다른 한편으로, 파라미터가 미리 결정된 스팬 내에 있다는 결정시, 프로세서 (904) 는 드라이버 D1로 명령 신호를 전송하지 않는다. 명령 신호가 드라이버 D1에 의해 수신되지 않을 때, 드라이버 D1은 전류 신호를 생성하지 않고 인덕터 Lvs의 인덕턴스는 변화되지 않는다.
도 6c는 파라미터가 미리 결정된 크기 내에 있을 때까지 션트 회로 (542) 의 커패시터 Cs의 커패시턴스 및 인덕터 Lvs의 인덕턴스의 변화를 예시하기 위한 시스템 (620) 의 일 실시예의 도면이다. 시스템 (620) 은 IMC (106), VI 프로브 (110), 션트 회로 (542), 모터 M1, 드라이버 D1, 모터 M2, 드라이버 D2, 및 호스트 컴퓨터 (902) 를 포함한다. 모터 M2는 연결 메커니즘을 통해 커패시터 Cs에 커플링된다. 더욱이, 드라이버 D2는 모터 M2에 커플링되고 프로세서 (904) 에 커플링된다.
프로세서 (904) 는 출력부 O1에 커플링된 VI 프로브 (110) 로부터 파라미터의 측정값을 수신하고 파라미터가 미리 결정된 크기 (extent) 내인지 여부를 결정한다. 파라미터가 미리 결정된 크기 내에 있지 않다는 결정시, 프로세서 (904) 는 명령 신호들을 드라이버 D1 및 드라이버 D2로 전송한다. 명령 신호들 중 일 명령 신호의 수신시, 드라이버 D1은 모터 M1로 전송할 전류 신호를 생성하고 명령 신호들 중 또 다른 일 명령 신호 수신시, 드라이버 D2는 모터 M2로 전송할 전류 신호를 생성한다. 모터 M1은 인덕터 Lvs의 인덕턴스를 변화시키도록 동작하고 모터 M2는 커패시터 Cs의 커패시턴스를 변화시키도록 동작한다. 예를 들어, 모터 M1의 스테이터가 전류 신호를 수신할 때, 모터 M1의 로터는 인덕터 Lvs의 코어가 인덕터 Lvs의 와인딩들에 의해 둘러싸이는 양을 변화시키도록 로테이팅한다. 인덕터 Lvs의 인덕턴스의 변화는 출력부 O1에 커플링되는 VI 프로브 (110) 에 의해 측정된 파라미터를 변화시킨다. 또한, 모터 M2의 스테이터가 전류 신호를 수신할 때, 모터 M2의 로터는 커패시터 Cs의 2 개의 병렬 플레이트들 사이의 면적을 변화시키도록 또는 2 개의 플레이트들 사이의 거리를 변화시키도록 로테이팅한다. 커패시터 Cs의 커패시턴스의 변화는 출력부 O1에 커플링되는 VI 프로브 (110) 에 의해 측정된 파라미터를 변화시킨다. 프로세서 (904) 는 파라미터가 미리 결정된 크기 내에 있을 때까지 인덕터 Lvs 및 커패시터 Cs를 제어하는 것을 계속한다. 다른 한편으로, 파라미터가 미리 결정된 크기 내라는 결정시, 프로세서 (904) 는 드라이버 D1 및 드라이버 D2로 명령 신호들을 전송하지 않는다. 명령 신호가 드라이버 D1에 의해 수신되지 않을 때, 드라이버 D1은 전류 신호를 생성하지 않고 인덕터 Lvs의 인덕턴스는 변화하지 않는다. 유사하게, 명령 신호가 드라이버 D2에 의해 수신되지 않을 때, 드라이버 D2는 전류 신호를 생성하지 않고 커패시터 Cs의 커패시턴스는 변화되지 않는다.
도 6d는 션트 회로를 사용한 그리고 사용하지 않은 임피던스들의 차를 예시하기 위한 그래프 (650) 의 일 실시예이다. 그래프 (650) 는 IMC (106) (도 1a) 의 출력부 O1 (도 1a) 에서 VI 프로브 (110) 를 사용하여 측정된 전압 및 전류로부터 계산된 임피던스의 크기 대 RF 생성기 (104) (도 1a) 의 주파수를 플롯팅한다. 그래프 (650) 는 2 개의 플롯들 (652 및 654) 을 갖는다. 플롯 (652) 은 션트 회로, 예를 들어, 션트 회로 (108) 또는 션트 회로 (502) 또는 션트 회로 (512) 또는 션트 회로 (532) 또는 션트 회로 (542) (도 5a 내지 도 5e) 가 지점 P1에 연결되지 않을 때, 출력부 O1에서 VI 프로브 (110) 를 사용하여 측정된 전압 및 전류로부터 계산된 임피던스를 나타낸다. 더욱이, 플롯 (654) 은 션트 회로가 지점 P1에 연결될 때, 출력부 O1에서 VI 프로브 (110) 를 사용하여 측정된 전압 및 전류로부터 계산된 임피던스를 나타낸다. 플롯 (654) 은 기생 경로들과 연관된 임피던스, 예를 들어, 기생 커패시턴스 C11f 및 기생 커패시턴스 C12f와 연관된 임피던스, 기생 커패시턴스 C11c 및 기생 커패시턴스 C12c와 연관된 임피던스를 나타낸다. 플롯 (654) 상에 플롯팅된 임피던스 값 IV1은 플롯 (652) 상에 플롯팅된 임피던스 값 IV2보다 크다. 임피던스 값 IV1 및 임피던스 값 IV2는 RF 생성기 (104) 의 13.56 ㎒의 동작 주파수에 대응한다. 예를 들어, 임피던스 값들 모두는 RF 생성기 (104) 가 13.56 ㎒의 주파수에서 동작할 때 측정된다.
일부 실시예들에서, 션트 회로는 본 명세서에서 소거 회로로 참조된다.
도 6e는 션트 회로를 사용하지 않고 그리고 션트 회로를 사용하여 출력부 O1에서 VI 프로브 (110) 에서 측정된 RF 신호의 전압, 전류, 위상, 및 전력의 VI 프로브 (110) 에 의한 측정값들을 예시하기 위한 표 (660) 의 일 실시예이다. 표 (660) 는 출력부 O1에서 수정된 RF 신호의 전압, 출력부 O1에서 수정된 RF 신호의 전류, 출력부 O1에서 수정된 RF 신호의 위상, 및 출력부 O1에서 수정된 RF 신호의 전력을 도시하는 열 1을 포함한다. 표 (660) 의 열 2는 션트 회로가 지점 P1에 연결되지 않을 때 생성된다.
더욱이, 표 (660) 는 출력부 O1에서 수정된 RF 신호의 전압, 출력부 O1에서 수정된 RF 신호의 전류, 출력부 O1에서 수정된 RF 신호의 위상, 및 출력부 O1에서 수정된 RF 신호의 전력을 도시하는 열 3을 포함한다. 열 3은 션트 회로가 지점 P1에 연결될 때 생성되고 커패시터 Cs의 커패시턴스는 4 ㎊이다.
또한, 표 (660) 는 출력부 O1에서 수정된 RF 신호의 전압, 출력부 O1에서 수정된 RF 신호의 전류, 출력부 O1에서 수정된 RF 신호의 위상, 및 출력부 O1에서 수정된 RF 신호의 전력을 도시하는 열 4를 포함한다. 열 4는 션트 회로가 지점 P1에 연결될 때 생성되고 커패시터 Cs의 커패시턴스는 70 ㎊이다.
션트 회로가 사용되지 않을 때 출력부 O1에서 수정된 RF 신호의 전압과 비교하여 션트 회로를 사용하는 출력부 O1에서 수정된 RF 신호의 전압의 상승이 있다는 것을 주의해야 한다. 더욱이, 션트 회로가 사용되지 않을 때 RF 출력부 O1에서 수정된 RF 신호의 전류와 비교하여 션트 회로를 사용하는 출력부 O1에서 수정된 RF 신호의 전류의 감소가 있다. 또한, 션트 회로가 사용되지 않을 때 출력부 O1에서 수정된 RF 신호의 위상과 비교하여 션트 회로를 사용하는 출력부 O1에서 수정된 RF 신호의 위상의 감소가 있다. 션트 회로가 사용되지 않을 때 출력부 O1에서 수정된 RF 신호의 전력과 비교하여 션트 회로를 사용하는 출력부 O1에서 전력의 상승이 있다.
도 7은 스테이션 1 내지 스테이션 4 각각과 션트 회로의 사용을 예시하기 위한 시스템 (700) 의 일 실시예의 도면이다. 시스템 (700) 은 RF 생성기 (104), IMC (106), 전력 스플리터 (702), 스테이션 1 내지 스테이션 4, 및 션트 회로들 (704A, 704B, 704C, 및 704D) 을 포함한다. 전력 스플리터 (702) 의 예는 2016년 9월 9일 출원된, 명칭이 "COMBINER AND DISTRIBUTOR FOR ADJUSTING IMPEDANCES OR POWER ACROSS MULTIPLE PLASMA PROCESSING STATIONS"인, 미국 특허 출원 번호 제 15/254,769 호에 제공되고, 이는 전체가 참조로서 본 명세서에 인용된다. 예시로서, 전력 스플리터 (702) 는 복수의 수정된 RF 출력 신호들을 출력하기 위해 수정된 RF 신호의 전력을 분배, 예를 들어, 스플릿하도록 인덕터들, 또는 커패시터들, 또는 레지스터들의 네트워크, 또는 이들 중 2 이상의 조합을 포함한다.
IMC (106) 는 RF 케이블 (708) 을 통해 전력 스플리터 (702) 에 커플링된다. 전력 스플리터 (702) 는 RF 송신 라인 (706A) 을 통해 스테이션 1의 상단 전극 (120) 에 커플링되고, RF 송신 라인 (706B) 을 통해 스테이션 2의 상단 전극 (120) 에 커플링되고, RF 송신 라인 (706C) 을 통해 스테이션 3의 상단 전극 (120) 에 커플링되고, 그리고 RF 송신 라인 (706D) 을 통해 스테이션 4의 상단 전극 (120) 에 커플링된다. RF 송신 라인 (706A) 은 전력 스플리터 (702) 의 출력부 O2에 커플링된다. 유사하게, RF 송신 라인 (706B) 은 전력 스플리터 (702) 의 출력부 O3에 커플링되고, RF 송신 라인 (706C) 은 전력 스플리터 (702) 의 출력부 O4에 커플링되고, 그리고 RF 송신 라인 (706D) 은 전력 스플리터 (702) 의 출력부 O5에 커플링된다. 예로서, 출력부 O2는 전력 스플리터 (702) 의 제 1 브랜치 회로 (branch circuit) 에 커플링되고, 출력부 O3은 전력 스플리터 (702) 의 제 2 브랜치 회로에 커플링되고, 출력부 O4는 전력 스플리터 (702) 의 제 3 브랜치 회로에 커플링되고, 그리고 출력부 O5는 전력 스플리터 (702) 의 제 4 브랜치 회로에 커플링된다. 일부 실시예들에서, 전력 스플리터 (702) 의 브랜치 회로 각각은 서로 커플링되는 회로 컴포넌트들, 예를 들어, 인덕터들, 커패시터들, 레지스터들, 등의 네트워크를 포함한다. 전력 스플리터 (702) 의 브랜치들은 IMC (106) 로부터 수정된 RF 신호를 수신하고 수정된 RF 신호의 전력을 스플릿하기 위해 서로 연결된다.
션트 회로 (704A) 는 RF 송신 라인 (706A) 상의 지점 P1에서 RF 송신 라인 (706A) 에 커플링된다. 유사하게, 션트 회로 (704B) 는 RF 송신 라인 (706B) 상의 지점 P1에서 RF 송신 라인 (706B) 에 커플링되고, 션트 회로 (704C) 는 RF 송신 라인 (706C) 상의 지점 P1에서 RF 송신 라인 (706C) 에 커플링되고, 그리고 션트 회로 (704D) 는 RF 송신 라인 (706D) 상의 지점 P1에서 RF 송신 라인 (706A) 에 커플링된다.
더욱이, 션트 회로 (704A) 의 단부 E1은 RF 송신 라인 (706A) 상의 지점 P1에 커플링되고 션트 회로 (704A) 의 단부 E2는 스테이션 1의 하우징, 예를 들어, 접지 전위에 커플링될 스테이션 1의 천장 (124) 의 외측 표면 (125) 에 커플링된다. 유사하게, 션트 회로 (704B) 의 단부 E1은 RF 송신 라인 (706B) 상의 지점 P1에 커플링되고 션트 회로 (704B) 의 단부 E2는 스테이션 2의 하우징, 예를 들어, 접지 전위에 커플링될 스테이션 2의 천장 (124) 의 외측 표면 (125) 에 커플링된다. 션트 회로 (704C) 의 단부 E1은 RF 송신 라인 (706C) 상의 지점 P1에 커플링되고 션트 회로 (704C) 의 단부 E2는 스테이션 3의 하우징, 예를 들어, 접지 전위에 커플링될 스테이션 3의 천장 (124) 의 외측 표면 (125) 에 커플링된다. 유사하게, 션트 회로 (704D) 의 단부 E1은 RF 송신 라인 (706D) 상의 지점 P1에 커플링되고 션트 회로 (704D) 의 단부 E2는 스테이션 4의 하우징, 예를 들어, 접지 전위에 커플링될 스테이션 4의 천장 (124) 의 외측 표면 (125) 에 커플링된다.
RF 송신 라인들 (706A, 706B, 706C, 및 706D) 각각은 RF 송신 라인 (132) (도 1a) 의 일 예이다. 일부 실시예들에서, RF 송신 라인들 (706A, 706B, 706C, 및 706D) 각각은 RF 송신 라인 (154) (도 1b) 의 일 예이다.
더욱이, 션트 회로 (502) (도 5a) 는 션트 회로들 (704A, 704B, 704C, 및 704D) 각각의 일 예이다. 일부 실시예들에서, 션트 회로 (512) (도 5b) 는 션트 회로들 (704A, 704B, 704C, 및 704D) 각각의 일 예이다. 다양한 실시예들에서, 션트 회로 (108) (도 5c) 는 션트 회로들 (704A, 704B, 704C, 및 704D) 각각의 일 예이다. 몇몇 실시예들에서, 션트 회로 (532) (도 5d) 는 션트 회로들 (704A, 704B, 704C, 및 704D) 각각의 일 예이다. 일부 실시예들에서, 션트 회로 (542) (도 5e) 는 션트 회로들 (704A, 704B, 704C, 및 704D) 각각의 일 예이다.
IMC (106) 의 출력부 O1에서 출력되는 수정된 RF 신호는 전력 스플리터 (702) 로 제공된다. 전력 스플리터 (702) 는 복수의 수정된 RF 출력 신호들을 생성하기 위해 수정된 RF 신호의 전력을 스플릿한다. 예를 들어, RF 출력 신호들 중 하나는 RF 송신 라인 (706A) 을 통해 스테이션 1의 상단 전극 (120) 으로 전송된다. 수정된 RF 출력 신호들 중 다른 하나는 RF 송신 라인 (706B) 을 통해 스테이션 2의 상단 전극 (120) 으로 전송된다. 수정된 RF 출력 신호들 중 또 다른 하나는 RF 송신 라인 (706C) 을 통해 스테이션 3의 상단 전극 (120) 으로 전송된다. 수정된 RF 출력 신호들 중 또 다른 하나는 RF 송신 라인 (706D) 을 통해 스테이션 4의 상단 전극 (120) 으로 전송된다.
션트 회로 (704A) 는 스테이션 1에서 웨이퍼 (112) 상에서 수행된 플라즈마 프로세스의 수율 및 효율을 개선하기 위해 스테이션 1의 기생 커패시턴스의 결과로서 생성된 임피던스를 상승시킨다. 유사하게, 션트 회로 (704B) 는 스테이션 2의 기생 커패시턴스의 효과가 감소되도록 지점 P1에서 임피던스를 상승시키기 위해 RF 송신 라인 (706B) 상의 지점 P1에서 RF 전압을 상승시킨다. 더욱이, 션트 회로 (704C) 는 RF 송신 라인 (706C) 상의 지점 P1에서 임피던스를 상승시키도록 RF 송신 라인 (706C) 상의 지점 P1에서 RF 전압을 상승시키고 RF 송신 라인 (706C) 상의 지점 P1에서 RF 전류를 감소시킨다. 또한, 션트 회로 (704D) 는 샤워헤드 (114) 와 페데스탈 (116) 사이의 갭을 향한 수정된 RF 출력 신호의 전력을 지향, 예를 들어, 상승시키도록 지점 P1에서 임피던스를 상승시킨다.
도 8a는 션트 회로가 스테이션 1 내지 스테이션 4 중 임의의 스테이션에 사용되지 않을 때 스테이션 1 내지 스테이션 4 내 기생 커패시턴스와 연관된 임피던스를 예시하기 위한 그래프 (800) 의 일 실시예이다. 그래프 (800) 는 y-축 상에 기생 커패시턴스와 연관된 임피던스 및 x-축 상에 RF 생성기 (104) (도 1a) 의 동작 주파수를 플롯팅한다. 도시된 바와 같이, 13.56 ㎒의 동작 주파수에 대해, 스테이션 1 내지 스테이션 4 각각에서 기생 커패시턴스와 연관된 임피던스는 IV2이고, 낮다.
도 8b는 션트 회로가 스테이션 1 내지 스테이션 4에 사용될 때 스테이션 1 내지 스테이션 4 내 기생 커패시턴스와 연관된 임피던스의 무효화를 예시하기 위한 그래프 (810) 의 일 실시예이다. 션트 회로가 스테이션 3 및 스테이션 4에 커플링될 때, 상기에 도시된 바와 같이, 임피던스 값 IV2는 IV1로 상승한다. 유사하게, 션트 회로가 스테이션 1 및 스테이션 2에 커플링될 때, 상기 도시된 바와 같이, 임피던스 값 IV2는 IV3으로 상승한다. 이와 같이, 스테이션 1 내지 스테이션 4와 연관된 기생 커패시턴스들과 연관된 임피던스를 상승시킴으로써, 스테이션 1 내지 스테이션 4에서 웨이퍼 (112) 를 프로세싱하도록 사용된 RF 전력의 상승이 있다.
도 8c는 션트 회로가 스테이션 1 내지 스테이션 4 중 임의의 스테이션에 사용되지 않을 때 스테이션 1 내지 스테이션 4 각각에서 기생 커패시턴스와 연관된 전압량을 예시하기 위한 표 (820) 의 일 실시예이다. 표 (820) 는 전력 스플리터 (702) (도 7) 의 출력부 O2에서 측정된 전압, 전력 스플리터 (702) 의 출력부 O3에서 측정된 전압, 전력 스플리터 (702) 의 출력부 O4에서 측정된 전압, 및 전력 스플리터 (702) 의 출력부 O5에서 측정된 전압을 갖는다.
더욱이, 표 (820) 는 전력 스플리터 (702) 의 출력부 O2에서 측정된 전류, 전력 스플리터 (702) 의 출력부 O3에서 측정된 전류, 전력 스플리터 (702) 의 출력부 O4에서 측정된 전류, 및 전력 스플리터 (702) 의 출력부 O5에서 측정된 전류를 갖는다. 또한, 표 (820) 는 출력부 O2에서 수정된 출력 RF 신호의 위상 및 전력, 출력부 O3에서 수정된 출력 RF 신호의 위상 및 전력, 출력부 O4에서 수정된 출력 RF 신호의 위상 및 전력, 및 출력부 O5에서 수정된 출력 RF 신호의 위상 및 전력을 플롯팅한다.
도 8d는 션트 회로가 스테이션 1 내지 스테이션 4에서 사용될 때 전압들, 전류들, 위상들, 및 전력의 변화를 예시하기 위한 표 (840) 의 일 실시예이다. 예시된 바와 같이, 출력부 O2 내지 출력부 O5에서 전압은 션트 회로가 사용되지 않을 때와 비교하여 션트 회로가 스테이션 1 내지 스테이션 4 각각에서 사용될 때 상승한다. 더욱이, 션트 회로가 사용되지 않을 때와 비교하여 션트 회로가 스테이션 1 내지 스테이션 4 각각에서 사용될 때 출력부 O2 내지 출력부 O5에서 전류들의 감소가 있다. 또한, 션트 회로가 사용되지 않을 때와 비교하여 션트 회로가 스테이션 1 내지 스테이션 4 각각에서 사용될 때 출력부 O2 내지 출력부 O5에서 수정된 RF 출력 신호들의 전력의 상승이 있다.
도 9a는 션트 회로 (108) 의 커패시터들 Cs의 커패시턴스들을 수정함으로써 스테이션 1 내지 스테이션 4의 기생 커패시턴스들과 연관된 임피던스들을 무효화하기 위한 멀티-스테이션 시스템 (900) 의 일 실시예의 도면이다. 멀티-스테이션 시스템 (900) 은 전력 스플리터 (702), 복수의 VI 프로브들 (110), 복수의 션트 회로들 (108), 복수의 모터들 (M1, M2, M3, 및 M4), 복수의 드라이버들 (D1, D2, D3, 및 D4), 및 호스트 컴퓨터 (902) 를 포함한다. 호스트 컴퓨터 (902) 의 예들은 랩탑 컴퓨터, 데스크탑 컴퓨터, 휴대 전화, 또는 태블릿을 포함한다. 본 명세서에 기술된, 드라이버 각각의 예들은 하나 이상의 트랜지스터들을 포함한다. 본 명세서에 기술된, 모터 각각의 예들은 DC (direct current) 모터, AC (alternating current) 모터, 전기 모터, 등을 포함한다. 호스트 컴퓨터 (902) 는 메모리 디바이스 (906) 에 커플링되는 프로세서 (904) 를 포함한다.
VI 프로브 (110) 는 출력부 O2에 커플링되고, 다른 VI 프로브 (110) 는 출력부 O3에 커플링되고, 또 다른 VI 프로브 (110) 는 출력부 O4에 커플링되고, 그리고 또 다른 VI 프로브 (110) 는 출력부 O5에 커플링된다. 더욱이, 프로세서 (904) 는 드라이버 D1 내지 드라이버 D4에 커플링된다. 드라이버 D1은 모터 M1에 커플링된다. 유사하게, 드라이버 D2는 모터 M2에 커플링되고, 드라이버 D3은 모터 M3에 커플링되고, 드라이버 D4는 모터 M4에 커플링된다.
모터 M1은 연결 메커니즘, 예를 들어, 하나 이상의 로드들 (rods), 하나 이상의 로드들과 하나 이상의 기어들의 조합, 등을 통해 RF 송신 라인 (706A) 상의 지점 P1에 커플링된 션트 회로 (108) 의 커패시터 Cs에 커플링된다. 유사하게, 모터 M2는 연결 메커니즘을 통해 RF 송신 라인 (706B) 상의 지점 P1에 커플링된 션트 회로 (108) 의 커패시터 Cs에 커플링되고, 모터 M3은 연결 메커니즘을 통해 RF 송신 라인 (706C) 상의 지점 P1에 커플링된 션트 회로 (108) 의 커패시터 Cs에 커플링되고, 그리고 모터 M4는 연결 메커니즘을 통해 RF 송신 라인 (706D) 상의 지점 P1에 커플링된 션트 회로 (108) 의 커패시터 Cs에 커플링된다.
또한, 프로세서 (904) 는 출력부 O2 내지 출력부 O5에 커플링되는 VI 프로브들 (110) 각각에 커플링된다. 예를 들어, 프로세서 (904) 는 이송 케이블, 예를 들어, 직렬 방식으로 측정값들을 이송하기 위한 직렬 이송 케이블, 병렬 방식으로 측정값들을 이송하기 위한 병렬 이송 케이블, 측정값들을 이송하기 위한 USB (universal serial bus) 케이블, 등을 통해 출력부 O2에 커플링된 VI 프로브 (110) 에 커플링된다. 다른 예로서, 프로세서 (904) 는 이송 케이블을 통해 출력부 O3에 커플링된 VI 프로브 (110) 에 커플링된다. 더욱이, 프로세서 (904) 는 이송 케이블을 통해 출력부 O4에 커플링된 VI 프로브 (110) 에 커플링되고, 그리고 프로세서 (904) 는 이송 케이블을 통해 출력부 O5에 커플링된 VI 프로브 (110) 에 커플링된다.
프로세서 (904) 는 RF 송신 라인 (706A) 에 커플링된 VI 프로브 (110) 로부터 파라미터, 예를 들어, 전압, 전류, 전력, 임피던스, 등의 측정값을 수신하고 파라미터가 제 1 미리 결정된 범위 내인지 여부를 결정한다. 파라미터가 제 1 미리 결정된 범위 내에 있지 않다는 결정시, 프로세서 (904) 는 드라이버 D1로 명령 신호를 전송한다. 명령 신호의 수신시, 드라이버 D1은 모터 M1로 전송할 전류 신호를 생성한다. 모터 M1은 스테이션 1에 커플링된 커패시터 Cs의 커패시턴스를 변화시키도록 동작한다. 예를 들어, 모터 M1의 스테이터가 전류 신호를 수신할 때, 모터 M1의 로터는 RF 송신 라인 (706A) 상의 지점 P1에 커플링되는 커패시터 Cs의 2 개의 병렬 플레이트들 사이의 면적을 변화시키도록 또는 2 개의 플레이트들 사이의 거리를 변화시키도록 로테이팅한다. 커패시터 Cs의 커패시턴스의 변화는 출력부 O2에 커플링되는 VI 프로브 (110) 에 의해 측정된 파라미터를 변화시킨다. 이러한 방식으로, 프로세서 (904) 는 파라미터가 제 1 미리 결정된 범위 내에 있을 때까지 스테이션 1에 커플링된 커패시터 Cs를 제어하는 것을 계속한다. 다른 한편으로, 파라미터가 제 1 미리 결정된 범위 내에 있다는 결정시, 프로세서 (904) 는 명령 신호를 드라이버 D1로 전송하지 않는다. 명령 신호가 드라이버 D1에 의해 수신되지 않을 때, 드라이버 D1은 전류 신호를 생성하지 않고 스테이션 1에 커플링된 커패시터 Cs의 커패시턴스는 변화되지 않는다.
유사하게, 프로세서 (904) 는 RF 송신 라인 (706B) 에 커플링된 VI 프로브 (110) 로부터 파라미터의 측정값을 수신하고 파라미터가 제 2 미리 결정된 범위 내인지 여부를 결정한다. 파라미터가 제 2 미리 결정된 범위 내에 있지 않다는 결정시, 프로세서 (904) 는 명령 신호를 드라이버 D2로 전송한다. 명령 신호 수신시, 드라이버 D2는 모터 M2로 전송할 전류 신호를 생성한다. 모터 M2는 스테이션 2에 커플링된 커패시터 Cs의 커패시턴스를 변화시키도록 동작한다. 커패시터 Cs의 커패시턴스의 변화는 출력부 O3 에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터를 변화시킨다. 이러한 방식으로, 프로세서 (904) 는 파라미터가 제 2 미리 결정된 범위 내에 있을 때까지 스테이션 2에 커플링된 커패시터 Cs를 제어하는 것을 계속한다. 다른 한편으로, 파라미터가 제 2 미리 결정된 범위 내에 있다는 결정시, 프로세서 (904) 는 명령 신호를 드라이버 D2로 전송하지 않는다. 명령 신호가 드라이버 D2에 의해 수신되지 않을 때, 드라이버 D2는 전류 신호를 생성하지 않고 스테이션 2에 커플링된 커패시터 Cs의 커패시턴스는 변화하지 않는다.
또한, 프로세서 (904) 는 RF 송신 라인 (706C) 에 커플링된 VI 프로브 (110) 로부터 파라미터의 측정값을 수신하고 파라미터가 제 3 미리 결정된 범위 내인지 여부를 결정한다. 파라미터가 제 3 미리 결정된 범위 내에 있지 않다는 결정시, 프로세서 (904) 는 명령 신호를 드라이버 D3으로 전송한다. 명령 신호 수신시, 드라이버 D3은 모터 M3으로 전송할 전류 신호를 생성한다. 모터 M3은 스테이션 3에 커플링된 커패시터 Cs의 커패시턴스를 변화시키도록 동작한다. 커패시터 Cs의 커패시턴스의 변화는 출력부 O4에 커플링되는 VI 프로브 (110) 에 의해 측정된 파라미터를 변화시킨다. 이러한 방식으로, 프로세서 (904) 는 파라미터가 제 3 미리 결정된 범위 내에 있을 때까지 스테이션 3에 커플링된 커패시터 Cs를 제어하는 것을 계속한다. 다른 한편으로, 파라미터가 제 3 미리 결정된 범위 내에 있다는 결정시, 프로세서 (904) 는 명령 신호를 드라이버 D3으로 전송하지 않는다. 명령 신호가 드라이버 D3에 의해 수신되지 않을 때, 드라이버 D3은 전류 신호를 생성하지 않고 스테이션 3에 커플링된 커패시터 Cs의 커패시턴스는 변화되지 않는다.
게다가, 프로세서 (904) 는 RF 송신 라인 (706D) 에 커플링된 VI 프로브 (110) 로부터 파라미터의 측정값을 수신하고 파라미터가 제 4 미리 결정된 범위 내인지 여부를 결정한다. 파라미터가 제 4 미리 결정된 범위 내에 있지 않다는 결정시, 프로세서 (904) 는 명령 신호를 드라이버 D4로 전송한다. 명령 신호 수신시, 드라이버 D4는 모터 M4로 전송할 전류 신호를 생성한다. 모터 M4는 스테이션 4에 커플링된 커패시터 Cs의 커패시턴스를 변화시키도록 동작한다. 커패시터 Cs의 커패시턴스의 변화는 출력부 O5에 커플링되는 VI 프로브 (110) 에 의해 측정된 파라미터를 변화시킨다. 이러한 방식으로, 프로세서 (904) 는 파라미터가 제 4 미리 결정된 범위 내에 있을 때까지 스테이션 4에 커플링된 커패시터 Cs를 제어하는 것을 계속한다. 다른 한편으로, 파라미터가 제 4 미리 결정된 범위 내라는 결정시, 프로세서 (904) 는 명령 신호를 드라이버 D4로 전송하지 않는다. 명령 신호가 드라이버 D4에 의해 수신되지 않을 때, 드라이버 D4 전류 신호를 생성하지 않고 스테이션 4에 커플링된 커패시터 Cs의 커패시턴스는 변화되지 않는다. 제 1 미리 결정된 범위가 제 2 미리 결정된 범위, 제 3 미리 결정된 범위, 및 제 4 미리 결정된 범위와 같지 않을 때, 출력부 O2 내지 출력부 O5에 커플링된 VI 프로브들 (110) 에 의해 측정되고 단일 미리 결정된 범위 내인, 파라미터, 예를 들어, 전력이 밸런싱되는 밸런싱이 수행된다.
일부 실시예들에서, 제 1 미리 결정된 범위는 제 2 미리 결정된 범위, 제 3 미리 결정된 범위, 및 제 4 미리 결정된 범위 중 하나 이상과 상이하다.
다양한 실시예들에서, RF 송신 라인 (706A) 에 커플링된 션트 회로 (108) 의 커패시터 Cs의 커패시턴스는 출력부 O2에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터가 제 1 미리 결정된 범위 내에 있을 때까지 수동으로 수정된다. 유사하게, RF 송신 라인 (706B) 에 커플링된 션트 회로 (108) 의 커패시터 Cs의 커패시턴스는 출력부 O3에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터가 제 2 미리 결정된 범위 내에 있을 때까지 사람에 의해 변화된다. 또한, RF 송신 라인 (706C) 에 커플링된 션트 회로 (108) 의 커패시터 Cs의 커패시턴스는 출력부 O4에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터가 제 3 미리 결정된 범위 내에 있을 때까지 수동으로 제어된다. 더욱이, RF 송신 라인 (706C) 에 커플링된 션트 회로 (108) 의 커패시터 Cs의 커패시턴스는 출력부 O5에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터가 제 4 미리 결정된 범위 내에 있을 때까지 수동으로 수정된다.
일부 실시예들에서, RF 송신 라인들 (706A 내지 706D) 에 커플링된 션트 회로들 (108) 의 커패시터들 Cs의 커패시턴스들은 출력부 O2 내지 출력부 O5에 커플링된 VI 프로브들 (110) 에 의해 측정된 파라미터가 단일 미리 결정된 범위, 예를 들어, 제 1 미리 결정된 범위 또는 제 2 미리 결정된 범위 또는 제 3미리 결정된 범위 또는 제 4 미리 결정된 범위 내에 있도록 밸런싱될 때까지 수동으로 변화된다.
도 9b는 션트 회로들 (532) 의 인덕터들 Lvs의 인덕턴스들를 변화시킴으로써 스테이션 1 내지 스테이션 4의 기생 커패시턴스들과 연관된 임피던스들을 무효화하기 위한 멀티-스테이션 시스템 (920) 의 일 실시예의 도면이다. 멀티-스테이션 시스템 (920) 은 멀티-스테이션 시스템 (920) 이 RF 송신 라인들 (706A 내지 706D) 의 지점들 P1에 커플링된 션트 회로들 (532) 을 포함하는 것을 제외하고 도 9a의 멀티-스테이션 시스템 (900) 과 동일하다. 더욱이, 시스템 (920) 에서, 모터 M1 내지 모터 M4는 시스템 (900) 의 커패시터들 Cs에 커플링되는 대신 인덕터들 Lvs에 커플링된다.
멀티-스테이션 시스템 (920) 은 RF 송신 라인 (706A) 상의 지점 P1에 커플링된 션트 회로 (532) 를 포함한다. 더욱이, 멀티-스테이션 시스템 (920) 은 RF 송신 라인 (706B) 상의 지점 P1에 커플링된 션트 회로 (532), RF 송신 라인 (706C) 상의 지점 P1에 커플링된 션트 회로 (532), 및 RF 송신 라인 (706D) 상의 지점 P1에 커플링된 션트 회로 (532) 를 갖는다. 모터 M1은 연결 메커니즘을 통해 RF 송신 라인 (706A) 상의 지점 P1에 커플링된 션트 회로 (532) 의 인덕터 Lvs 에 커플링된다. 유사하게, 모터 M2는 연결 메커니즘을 통해 RF 송신 라인 (706B) 상의 지점 P1에 커플링된 션트 회로 (532) 의 인덕터 Lvs 에 커플링되고, 모터 M3은 연결 메커니즘을 통해 RF 송신 라인 (706C) 상의 지점 P1에 커플링된 션트 회로 (532) 의 인덕터 Lvs 에 커플링되고, 그리고 모터 M4는 연결 메커니즘을 통해 RF 송신 라인 (706D) 상의 지점 P1에 커플링된 션트 회로 (532) 의 인덕터 Lvs 에 커플링된다.
프로세서 (904) 는 RF 송신 라인 (706A) 에 커플링된 VI 프로브 (110) 로부터 파라미터의 측정값을 수신하고 파라미터가 제 1 미리 결정된 스팬 내인지 여부를 결정한다. 파라미터가 제 1 미리 결정된 스팬 내에 있지 않다는 결정시, 프로세서 (904) 는 드라이버 D1로 명령 신호를 전송한다. 명령 신호의 수신시, 드라이버 D1은 모터 M1로 전송할 전류 신호를 생성한다. 모터 M1은 스테이션 1에 커플링된 인덕터 Lvs의 인덕턴스를 변화시키도록 동작한다. 예를 들어, 모터 M1의 스테이터가 전류 신호를 수신할 때, 모터 M1의 로터는 RF 송신 라인 (706A) 상의 지점 P1에 커플링되는 인덕터 Lvs의 코어의 위치를 변화시키도록 로테이팅한다. 코어의 위치는 RF 송신 라인 (706A) 상의 지점 P1에 커플링되는 인덕터 Lvs의 와인딩들에 대해 변화된다. 인덕터 Lvs의 인덕턴스의 변화는 출력부 O2에 커플링되는 VI 프로브 (110) 에 의해 측정된 파라미터를 변화시킨다. 이러한 방식으로, 프로세서 (904) 는 파라미터가 제 1 미리 결정된 스팬 내에 있을 때까지 스테이션 1에 커플링된 인덕터 Lvs를 제어하는 것을 계속한다. 다른 한편으로, 파라미터가 제 1 미리 결정된 스팬 내에 있다는 결정시, 프로세서 (904) 는 명령 신호를 드라이버 D1로 전송하지 않는다. 명령 신호가 드라이버 D1에 의해 수신되지 않을 때, 드라이버 D1은 전류 신호를 생성하지 않고 스테이션 1에 커플링된 인덕터 Lvs의 인덕턴스는 변화되지 않는다.
유사하게, 프로세서 (904) 는 RF 송신 라인 (706B) 에 커플링된 VI 프로브 (110) 로부터 파라미터의 측정값을 수신하고 파라미터가 제 2 미리 결정된 스팬 내인지 여부를 결정한다. 파라미터가 제 2 미리 결정된 스팬 내에 있지 않다는 결정시, 프로세서 (904) 는 명령 신호를 드라이버 D2로 전송한다. 명령 신호 수신시, 드라이버 D2는 모터 M2로 전송할 전류 신호를 생성한다. 모터 M2는 스테이션 2에 커플링된 인덕터 Lvs의 인덕턴스를 변화시키도록 동작한다. 인덕터 Lvs의 인덕턴스의 변화는 출력부 O3 에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터를 변화시킨다. 이러한 방식으로, 프로세서 (904) 는 파라미터가 제 2 미리 결정된 스팬 내에 있을 때까지 스테이션 2에 커플링된 인덕터 Lvs를 제어하는 것을 계속한다. 다른 한편으로, 파라미터가 제 2 미리 결정된 스팬 내에 있다는 결정시, 프로세서 (904) 는 명령 신호를 드라이버 D2로 전송하지 않는다. 명령 신호가 드라이버 D2에 의해 수신되지 않을 때, 드라이버 D2는 전류 신호를 생성하지 않고 스테이션 2에 커플링된 인덕터 Lvs의 인덕턴스는 변화하지 않는다.
더욱이, 프로세서 (904) 는 RF 송신 라인 (706C) 에 커플링된 VI 프로브 (110) 로부터 파라미터의 측정값을 수신하고 파라미터가 제 3 미리 결정된 스팬 내인지 여부를 결정한다. 파라미터가 제 3 미리 결정된 스팬 내에 있지 않다는 결정시, 프로세서 (904) 는 명령 신호를 드라이버 D3으로 전송한다. 명령 신호 수신시, 드라이버 D3은 모터 M3으로 전송할 전류 신호를 생성한다. 모터 M3은 스테이션 3에 커플링된 인덕터 Lvs의 인덕턴스를 변화시키도록 동작한다. 인덕터 Lvs의 인덕턴스의 변화는 출력부 O4에 커플링되는 VI 프로브 (110) 에 의해 측정된 파라미터를 변화시킨다. 이러한 방식으로, 프로세서 (904) 는 파라미터가 제 3 미리 결정된 범위 내에 있을 때까지 스테이션 3에 커플링된 인덕터 Lvs를 제어하는 것을 계속한다. 다른 한편으로, 파라미터가 제 3 미리 결정된 스팬 내에 있다는 결정시, 프로세서 (904) 는 명령 신호를 드라이버 D3으로 전송하지 않는다. 명령 신호가 드라이버 D3에 의해 수신되지 않을 때, 드라이버 D3은 전류 신호를 생성하지 않고 스테이션 3에 커플링된 인덕터 Lvs의 인덕턴스는 변화되지 않는다.
게다가, 프로세서 (904) 는 RF 송신 라인 (706D) 에 커플링된 VI 프로브 (110) 로부터 파라미터의 측정값을 수신하고 파라미터가 제 4 미리 결정된 스팬 내인지 여부를 결정한다. 파라미터가 제 4 미리 결정된 스팬 내에 있지 않다는 결정시, 프로세서 (904) 는 명령 신호를 드라이버 D4로 전송한다. 명령 신호 수신시, 드라이버 D4는 모터 M4로 전송할 전류 신호를 생성한다. 모터 M4는 스테이션 4에 커플링된 인덕터 Lvs의 인덕턴스를 변화시키도록 동작한다. 인덕터 Lvs의 인덕턴스의 변화는 출력부 O5에 커플링되는 VI 프로브 (110) 에 의해 측정된 파라미터를 변화시킨다. 이러한 방식으로, 프로세서 (904) 는 파라미터가 제 4 미리 결정된 스팬 내에 있을 때까지 스테이션 4에 커플링된 인덕터 Lvs를 제어하는 것을 계속한다. 다른 한편으로, 파라미터가 제 4 미리 결정된 스팬 내라는 결정시, 프로세서 (904) 는 명령 신호를 드라이버 D4로 전송하지 않는다. 명령 신호가 드라이버 D4에 의해 수신되지 않을 때, 드라이버 D4 전류 신호를 생성하지 않고 스테이션 4에 커플링된 인덕터 Lvs의 인덕턴스는 변화되지 않는다.
일부 실시예들에서, 제 1 미리 결정된 스팬은 제 2 미리 결정된 스팬, 제 3 미리 결정된 스팬, 및 제 4 미리 결정된 스팬 중 하나 이상과 상이하다.
몇몇 실시예들에서, 제 1 미리 결정된 스팬은 제 2 미리 결정된 스팬, 제 3 미리 결정된 스팬, 및 제 4 미리 결정된 스팬과 동일하다. 이들 실시예들에서, 제 1 미리 결정된 스팬이 제 2 미리 결정된 스팬, 제 3 미리 결정된 스팬, 및 제 4 미리 결정된 스팬과 동일할 때, 출력부 O2 내지 출력부 O5에서 측정된 파라미터가 밸런싱된다.
다양한 실시예들에서, RF 송신 라인 (706A) 에 커플링된 션트 회로 (532) 의 인덕터 Lvs의 인덕턴스는 출력부 O2에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터가 제 1 미리 결정된 스팬 내에 있을 때까지 수동으로 수정된다. 유사하게, RF 송신 라인 (706B) 에 커플링된 션트 회로 (532) 의 인덕터 Lvs의 인덕턴스는 출력부 O3에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터가 제 2 미리 결정된 스팬 내에 있을 때까지 사람에 의해 변화된다. 또한, RF 송신 라인 (706C) 에 커플링된 션트 회로 (532) 의 인덕터 Lvs의 인덕턴스는 출력부 O4에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터가 제 3 미리 결정된 스팬 내에 있을 때까지 수동으로 제어된다. 더욱이, RF 송신 라인 (706D) 에 커플링된 션트 회로 (532) 의 인덕터 Lvs의 인덕턴스는 출력부 O5에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터가 제 4 미리 결정된 스팬 내에 있을 때까지 수동으로 수정된다.
일부 실시예들에서, RF 송신 라인들 (706A 내지 706D) 에 커플링된 션트 회로들 (532) 의 인덕터들 Lvs의 인덕턴스들은 출력부 O2 내지 출력부 O5에 커플링된 VI 프로브들 (110) 에 의해 측정된 파라미터가 단일 미리 결정된 스팬, 예를 들어, 제 1 미리 결정된 스팬 또는 제 2 미리 결정된 스팬 또는 제 3미리 결정된 스팬 또는 제 4 미리 결정된 스팬 내에 있도록 밸런싱될 때까지 수동으로 변화된다.
도 9c는 션트 회로들 (532) 의 인덕터들 Lvs의 인덕턴스들 및 커패시터들 Cs를 변화시킴으로써 스테이션 1 내지 스테이션 4의 기생 커패시턴스들과 연관된 임피던스들을 무효화하기 위한 멀티-스테이션 시스템 (940) 의 일 실시예의 도면이다. 멀티-스테이션 시스템 (940) 은 멀티-스테이션 시스템 (940) 이 RF 송신 라인들 (706A 내지 706D) 의 지점들 P1에 커플링된 션트 회로들 (532) 을 포함하는 것을 제외하고 도 9b 의 멀티-스테이션 시스템 (920) 과 동일하다. 더욱이, 시스템 (940) 이 모터 M1 내지 모터 M4 및 부가적인 모터 M5, 모터 M6, 모터 M7, 및 모터 M8을 포함한다. 또한 시스템 (940) 은 드라이버 D1 내지 드라이버 D4, 및 부가적인 드라이버 D5, 드라이버 D6, 드라이버 D7, 및 드라이버 D8을 포함한다.
션트 회로 (542) 는 그 단부 E1에서 RF 송신 라인 (706A) 상의 지점 P1에 커플링된다. 유사하게, 션트 회로 (542) 는 그 단부 E1에서 RF 송신 라인 (706B) 상의 지점 P1에 커플링되고, 션트 회로 (542) 는 그 단부 E1에서 RF 송신 라인 (706C) 상의 지점 P1에 커플링되고, 그리고 션트 회로 (542) 는 그 단부 E1에서 RF 송신 라인 (706D) 상의 지점 P1에 커플링된다.
모터 M1은 연결 메커니즘을 통해 RF 송신 라인 (706A) 상의 지점 P1에 커플링된 션트 회로 (542) 의 인덕터 Lvs에 커플링된다. 유사한 방식으로, 모터 M3은 연결 메커니즘을 통해 RF 송신 라인 (706B) 상의 지점 P1에 커플링된 션트 회로 (542) 의 인덕터 Lvs에 커플링되고, 모터 M5는 연결 메커니즘을 통해 RF 송신 라인 (706C) 상의 지점 P1에 커플링된 션트 회로 (542) 의 인덕터 Lvs에 커플링되고, 그리고 모터 M7은 연결 메커니즘을 통해 RF 송신 라인 (706D) 상의 지점 P1에 커플링된 션트 회로 (542) 의 인덕터 Lvs에 커플링된다.
모터 M2는 연결 메커니즘을 통해 RF 송신 라인 (706A) 상의 지점 P1에 커플링된 션트 회로 (542) 의 커패시터 Cs에 커플링된다. 유사한 방식으로, 모터 M4는 연결 메커니즘을 통해 RF 송신 라인 (706B) 상의 지점 P1에 커플링된 션트 회로 (542) 의 커패시터 Cs에 커플링되고, 모터 M6은 연결 메커니즘을 통해 RF 송신 라인 (706C) 상의 지점 P1에 커플링된 션트 회로 (542) 의 커패시터 Cs에 커플링되고, 그리고 모터 M8은 연결 메커니즘을 통해 to RF 송신 라인 (706D) 상의 지점 P1에 커플링된 션트 회로 (542) 의 커패시터 Cs에 커플링된다.
게다가, 드라이버 D1은 모터 M1에 커플링되고, 드라이버 D2는 모터 M2 에 커플링되고, 드라이버 D3은 모터 M3 에 커플링되고, 그리고 드라이버 D4는 모터 M4 에 커플링된다. 유사하게, 드라이버 D5는 모터 M5 에 커플링되고, 드라이버 D6은 모터 M6 에 커플링되고, 드라이버 D7은 모터 M7 에 커플링되고, 그리고 드라이버 D8은 모터 M8 에 커플링된다. 프로세서 (904) 는 드라이버 D1 내지 드라이버 D8에 커플링된다.
프로세서 (904) 는 RF 송신 라인 (706A) 에 커플링된 VI 프로브 (110) 로부터 파라미터의 측정값을 수신하고 파라미터가 제 1 미리 결정된 크기 내인지 여부를 결정한다. 파라미터가 제 1 미리 결정된 크기 내에 있지 않다는 결정시, 프로세서 (904) 는 명령 신호들을 드라이버 D1 및 드라이버 D2로 전송한다. 명령 신호들 중 하나의 수신시, 드라이버 D1은 모터 M1로 전송할 전류 신호를 생성한다. 모터 M1은 스테이션 1에 커플링된 인덕터 Lvs의 인덕턴스를 변화시키도록 동작한다. 유사하게, 명령 신호들 중 또 다른 일 명령 신호 수신시, 드라이버 D2는 모터 M2로 전송할 전류 신호를 생성한다. 모터 M2는 스테이션 1에 커플링된 커패시터 Cs의 커패시턴스를 변화시키도록 동작한다. 스테이션 1에 커플링된 인덕터 Lvs의 인덕턴스 및 스테이션 1에 커플링된 커패시터 Cs의 커패시턴스의 변화는 출력부 O2 에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터를 변화시킨다. 이러한 방식으로, 프로세서 (904) 는 파라미터가 제 1 미리 결정된 크기 내에 있을 때까지 스테이션 1에 커플링된 인덕터 Lvs 및 스테이션 1에 커플링된 커패시터 Cs를 제어하는 것을 계속한다. 다른 한편으로, 파라미터가 제 1 미리 결정된 크기 내에 있다는 결정시, 프로세서 (904) 는 명령 신호들을 드라이버 D1 및 드라이버 D2로 전송하지 않는다. 명령 신호가 드라이버 D1에 의해 수신되지 않을 때, 드라이버 D1은 전류 신호를 생성하지 않고 스테이션 1에 커플링된 인덕터 Lvs의 인덕턴스는 변화하지 않는다. 유사하게, 명령 신호가 드라이버 D2에 의해 수신되지 않을 때, 드라이버 D2는 전류 신호를 생성하지 않고 스테이션 1에 커플링된 커패시터 Cs의 커패시턴스는 변화하지 않는다.
유사한 방식으로, 프로세서 (904) 는 RF 송신 라인 (706B) 에 커플링된 VI 프로브 (110) 로부터 파라미터의 측정값을 수신하고 파라미터가 제 2 미리 결정된 크기 내인지 여부를 결정한다. 파라미터가 제 2 미리 결정된 크기 내에 있지 않다는 결정시, 프로세서 (904) 는 명령 신호들을 드라이버 D3 및 드라이버 D4로 전송한다. 명령 신호들 중 하나의 수신시, 드라이버 D3은 모터 M3으로 전송할 전류 신호를 생성한다. 모터 M3는 스테이션 2에 커플링된 인덕터 Lvs의 인덕턴스를 변화시키도록 동작한다. 유사하게, 명령 신호들 중 또 다른 일 명령 신호 수신시, 드라이버 D4는 모터 M4로 전송할 전류 신호를 생성한다. 모터 M4는 스테이션 2에 커플링된 커패시터 Cs의 커패시턴스를 변화시키도록 동작한다. 스테이션 2에 커플링된 인덕터 Lvs의 인덕턴스 및 스테이션 2에 커플링된 커패시터 Cs의 커패시턴스의 변화는 출력부 O3 에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터를 변화시킨다. 이러한 방식으로, 프로세서 (904) 는 파라미터가 제 2 미리 결정된 크기 내에 있을 때까지 스테이션 2에 커플링된 인덕터 Lvs 및 스테이션 2에 커플링된 커패시터 Cs를 제어하는 것을 계속한다. 다른 한편으로, 파라미터가 제 2 미리 결정된 크기 내에 있다는 결정시, 프로세서 (904) 는 명령 신호들을 드라이버 D3 및 드라이버 D4로 전송하지 않는다. 명령 신호가 드라이버 D3에 의해 수신되지 않을 때, 드라이버 D3은 전류 신호를 생성하지 않고 스테이션 2에 커플링된 인덕터 Lvs의 인덕턴스는 변화하지 않는다. 유사하게, 명령 신호가 드라이버 D4에 의해 수신되지 않을 때, 드라이버 D4는 전류 신호를 생성하지 않고 스테이션 2에 커플링된 커패시터 Cs의 커패시턴스는 변화하지 않는다.
또한, 프로세서 (904) 는 RF 송신 라인 (706C) 에 커플링된 VI 프로브 (110) 로부터 파라미터의 측정값을 수신하고 파라미터가 제 3 미리 결정된 크기 내인지 여부를 결정한다. 파라미터가 제 3 미리 결정된 크기 내에 있지 않다는 결정시, 프로세서 (904) 는 명령 신호들을 드라이버 D5 및 드라이버 D6으로 전송한다. 명령 신호 수신시, 드라이버 D5는 모터 M5로 전송할 전류 신호를 생성한다. 모터 M5는 스테이션 3에 커플링된 인덕터 Lvs의 인덕턴스를 변화시키도록 동작한다. 유사하게, 명령 신호들 중 또 다른 일 명령 신호 수신시, 드라이버 D6은 모터 M6으로 전송할 전류 신호를 생성한다. 모터 M6은 스테이션 3에 커플링된 커패시터 Cs의 커패시턴스를 변화시키도록 동작한다. 스테이션 3에 커플링된 인덕터 Lvs의 인덕턴스 및 스테이션 3에 커플링된 커패시터 Cs의 커패시턴스의 변화는 출력부 O4 에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터를 변화시킨다. 이러한 방식으로, 프로세서 (904) 는 파라미터가 제 3 미리 결정된 크기 내에 있을 때까지 스테이션 3에 커플링된 인덕터 Lvs 및 스테이션 3에 커플링된 커패시터 Cs를 제어하는 것을 계속한다. 다른 한편으로, 파라미터가 제 3 미리 결정된 크기 내에 있다는 결정시, 프로세서 (904) 는 명령 신호들을 드라이버 D5 및 드라이버 D6으로 전송하지 않는다. 명령 신호가 드라이버 D5에 의해 수신되지 않을 때, 드라이버 D5는 전류 신호를 생성하지 않고 스테이션 3에 커플링된 인덕터 Lvs의 인덕턴스는 변화하지 않는다. 유사하게, 명령 신호가 드라이버 D6에 의해 수신되지 않을 때, 드라이버 D6은 전류 신호를 생성하지 않고 스테이션 3에 커플링된 커패시터 Cs의 커패시턴스는 변화하지 않는다.
더욱이, 프로세서 (904) 는 RF 송신 라인 (706D) 에 커플링된 VI 프로브 (110) 로부터 파라미터의 측정값을 수신하고 파라미터가 제 4 미리 결정된 크기 내인지 여부를 결정한다. 파라미터가 제 4 미리 결정된 크기 내에 있지 않다는 결정시, 프로세서 (904) 는 명령 신호들을 드라이버 D7 및 드라이버 D8로 전송한다. 명령 신호들 중 하나의 수신시, 드라이버 D7은 모터 M7로 전송할 전류 신호를 생성한다. 모터 M7은 스테이션 4에 커플링된 인덕터 Lvs의 인덕턴스를 변화시키도록 동작한다. 유사하게, 명령 신호들 중 또 다른 일 명령 신호 수신시, 드라이버 D8은 모터 M8로 전송할 전류 신호를 생성한다. 모터 M8은 스테이션 4에 커플링된 커패시터 Cs의 커패시턴스를 변화시키도록 동작한다. 스테이션 4에 커플링된 인덕터 Lvs의 인덕턴스 및 스테이션 4에 커플링된 커패시터 Cs의 커패시턴스의 변화는 출력부 O5 에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터를 변화시킨다. 이러한 방식으로, 프로세서 (904) 는 파라미터가 제 4 미리 결정된 크기 내에 있을 때까지 스테이션 4에 커플링된 인덕터 Lvs 및 스테이션 4에 커플링된 커패시터 Cs를 제어하는 것을 계속한다. 다른 한편으로, 파라미터가 제 4 미리 결정된 크기 내에 있다는 결정시, 프로세서 (904) 는 명령 신호들을 드라이버 D7 및 드라이버 D8로 전송하지 않는다. 명령 신호가 드라이버 D7에 의해 수신되지 않을 때, 드라이버 D7은 전류 신호를 생성하지 않고 스테이션 4에 커플링된 인덕터 Lvs의 인덕턴스는 변화하지 않는다. 유사하게, 명령 신호가 드라이버 D8에 의해 수신되지 않을 때, 드라이버 D8은 전류 신호를 생성하지 않고 스테이션 4에 커플링된 커패시터 Cs의 커패시턴스는 변화하지 않는다.
일부 실시예들에서, 제 1 미리 결정된 크기는 제 2 미리 결정된 크기, 제 3 미리 결정된 크기, 및 제 4 미리 결정된 크기 중 하나 이상과 상이하다.
몇몇 실시예들에서, 제 1 미리 결정된 크기는 제 2 미리 결정된 크기, 제 3 미리 결정된 크기, 및 제 4 미리 결정된 크기와 동일하다. 이들 실시예들에서, 제 1 미리 결정된 크기가 제 2 미리 결정된 크기, 제 3 미리 결정된 크기, 및 제 4 미리 결정된 크기와 동일할 때, 출력부 O2 내지 출력부 O5에서 파라미터는 밸런싱된다.
다양한 실시예들에서, RF 송신 라인 (706A) 에 커플링된 션트 회로 (542) 의 인덕터 Lvs의 인덕턴스 및 RF 송신 라인 (706A) 에 커플링된 션트 회로 (542) 의 커패시터 Cs의 커패시턴스는 출력부 O2에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터가 제 1 미리 결정된 크기 내에 있을 때까지 수동으로 수정된다. 유사하게, RF 송신 라인 (706B) 에 커플링된 션트 회로 (542) 의 인덕터 Lvs의 인덕턴스 및 RF 송신 라인 (706B) 에 커플링된 션트 회로 (542) 의 커패시터 Cs의 커패시턴스는 출력부 O3에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터가 제 2 미리 결정된 크기 내에 있을 때까지 사람에 의해 변화된다. 또한, RF 송신 라인 (706C) 에 커플링된 션트 회로 (542) 의 인덕터 Lvs의 인덕턴스 및 RF 송신 라인 (706C) 에 커플링된 션트 회로 (542) 의 커패시터 Cs의 커패시턴스는 출력부 O4에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터가 제 3 미리 결정된 크기 내에 있을 때까지 수동으로 제어된다. 더욱이, RF 송신 라인 (706D) 에 커플링된 션트 회로 (542) 의 인덕터 Lvs의 인덕턴스 및 RF 송신 라인 (706D) 에 커플링된 션트 회로 (542) 의 커패시터 Cs의 커패시턴스는 출력부 O5에 커플링된 VI 프로브 (110) 에 의해 측정된 파라미터가 제 4 미리 결정된 크기 내에 있을 때까지 수동으로 수정된다.
일부 실시예들에서, RF 송신 라인들 (706A 내지 706D) 에 커플링된 션트 회로들 (542) 의 인덕터 Lvs의 인덕턴스 및 커패시터 Cs의 커패시턴스는 출력부 O2 내지 출력부 O5에 커플링된 VI 프로브들 (110) 에 의해 측정된 파라미터가 단일 미리 결정된 크기, 예를 들어, 제 1 미리 결정된 크기 또는 제 2 미리 결정된 크기 또는 제 3미리 결정된 크기 또는 제 4 미리 결정된 크기 내에 있도록 밸런싱될 때까지 수동으로 변화된다.
도 10a는 스테이션 1 내지 스테이션 4에 커플링된 션트 회로들이 출력부 O2 내지 출력부 O5에서 파라미터를 밸런싱하도록 사용될 때 스테이션 1 내지 스테이션 4의 기생 커패시턴스들과 연관된 임피던스들을 예시하기 위한 그래프 (1000) 의 일 실시예이다. 그래프 (1000) 는 RF 송신 라인들 (706A 내지 706D) 상의 전력 스플리터 (702) (도 7) 의 출력부 O2 내지 출력부 O5에서 임피던스의 크기 대 RF 생성기 (104) (도 7) 의 동작의 주파수들을 플롯팅한다.
동작 주파수가 13.56 ㎒일 때 그리고 스테이션 1 내지 스테이션 4에 커플링된 모든 션트 회로들이 밸런싱될 때 출력부 O2 내지 출력부 O5에서 임피던스의 크기는 IV4이다. IV4는 크기 IV1 및 크기 IV3 (도 8b) 보다 작지만 크기 IV2 (도 8a) 보다 크다는 것을 주의한다.
도 10b는 4 개의 스테이션 1 내지 스테이션 4에서 전력의 밸런싱을 예시하기 위한 표 (1020) 의 일 실시예의 도면이다. 전력은 전력 스플리터 (702) 의 출력부 O2 내지 출력부 O5 (도 7) 에서 측정된다. 출력부 O2 내지 출력부 O5에서의 전력은 전력이 밸런싱되지 않을 때 (도 8d 참조) 출력부 O2 내지 출력부 O5에서의 전력과 비교하여 576 W 내지 593 W의 범위라는 것을 주의한다. 임피던스는 출력부 O2 내지 출력부 O5에서 감소되지만, 출력부 O2 내지 출력부 O5에서의 전력은 밸런싱된다.
일부 실시예들에서, 출력부 O2 내지 출력부 O5에서의 파라미터가 밸런싱될 때, 스테이션 1 내지 스테이션 4에서 웨이퍼들 (112) (도 7) 상에 재료들, 예를 들어, 옥사이드들, 나이트라이드들, 카바이드들, 실리콘, 등을 증착하는 평균 증착 레이트는 션트 회로들이 스테이션 1 내지 스테이션 4에 커플링되지 않을 때 웨이퍼들 (112) 상에 재료들을 증착하는 평균 증착 레이트와 비교하여 10 내지 15 %만큼 상승될 수 있다는 것을 주의한다.
본 명세서에 기술된 실시예들은 헨드-헬드 하드웨어 유닛들, 마이크로프로세서 시스템들, 마이크로 프로세서-기반 또는 프로그래밍가능한 가전제품들, 미니 컴퓨터들, 메인프레임컴퓨터들, 등을 포함하는, 다양한 컴퓨터 시스템 구성들을 사용하여 실시될 수도 있다. 실시예들은 또한 태스크들이 네트워크를 통해 링크되는 리모트 프로세싱 하드웨어 유닛들에 의해 수행되는, 분산 컴퓨팅 환경에서 실시될 수 있다.
일부 실시예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함한다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합된다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 참조된다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세스 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램된다.
일반적으로 말하면, 다양한 실시예들에서, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정된다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit), PLD들로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함한다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들이다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부이다.
제어기는, 일부 실시예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부이다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 하는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부 또는 "클라우드" 내에 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블한다.
일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함하는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공한다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함한다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적이라는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산된다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들을 포함한다.
비한정적으로, 다양한 실시예들에서, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함한다.
일부 실시예들에서, 상기 기술된 동작들은 ICP (inductively coupled plasma) 반응기, 변환기 커플링된 플라즈마 챔버, 용량 커플링된 플라즈마 반응기, 도전체 툴들, 유전체 툴들, ECR (an electron cyclotron resonance) 반응기를 포함하는 플라즈마 챔버, 등을 포함하는 몇몇 타입들의 플라즈마 챔버들, 예를 들어, 플라즈마 챔버에 적용된다는 것을 또한 주의한다. 예를 들어, 하나 이상의 RF 생성기들은 ICP 반응기 내의 인덕터에 커플링된다. 인덕터의 형상의 예들은 솔레노이드 (solenoid), 돔-형상 코일, 플랫-성형된 코일, 등을 포함한다.
상기 주지된 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신한다.
상기 실시예들을 유념하여, 실시예들 중 일부는 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 채용한다는 것이 이해되어야 한다. 이들 동작들은 물리적 속성들을 물리적으로 조작하는 것이다. 실시예들의 일부를 형성하는 본 명세서에 기술된 임의의 동작들은 유용한 머신 동작들이다.
실시예들 중 일부는 또한 이들 동작들을 수행하기 위한 하드웨어 유닛 또는 장치와 관련된다. 장치는 특수 목적 컴퓨터로 특별히 구성된다. 특수 목적 컴퓨터로 규정될 때, 컴퓨터는 특수 목적을 위해 여전히 동작 가능한 동안, 특수 목적의 일부가 아닌, 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행한다.
일부 실시예들에서, 동작들은 컴퓨터 메모리, 캐시에 저장되거나 컴퓨터 네트워크를 통해 획득된 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 활성화되거나 구성된 컴퓨터에 의해 프로세싱될 수도 있다. 데이터가 컴퓨터 네트워크를 통해 획득될 때, 데이터는 컴퓨터 네트워크 상의 다른 컴퓨터들, 예를 들어, 컴퓨터 리소스들의 클라우드에 의해 프로세싱될 수도 있다.
하나 이상의 실시예들은 또한 비일시적인 컴퓨터-판독가능 매체 상의 컴퓨터-판독가능 코드로서 제조될 수 있다. 비일시적인 컴퓨터-판독가능 매체는 나중에 컴퓨터 시스템에 의해 판독되는, 데이터를 저장하는 임의의 데이터 저장 하드웨어 유닛 예를 들어, 메모리 디바이스, 등이다. 비일시적인 컴퓨터 판독가능 매체의 예들은 하드 드라이브들, NAS (network attached storage), ROM, RAM, CD-ROMs (compact disc-ROMs), CD-Rs (CD-recordables), CD-RWs (CD-rewritables), 자기 테이프들 및 다른 광학 및 비광학 데이터 저장 하드웨어 유닛들을 포함한다. 일부 실시예들에서, 비일시적인 컴퓨터 판독가능 매체는 컴퓨터 판독가능 코드가 분산된 방식으로 저장되고 실행되도록 네트워크-커플링된 컴퓨터 시스템을 통해 분산된 컴퓨터 판독가능 유형의 (tangible) 매체를 포함한다.
상기 방법 동작들이 특정한 순서로 기술되었지만, 다양한 실시예들에서, 다른 하우스키핑 (housekeeping) 동작들이 동작들 사이에 수행되고, 또는 방법 동작들이 약간 상이한 시간들로 발생하도록 조정되고, 또는 다양한 인터벌들로 방법 동작들의 발생을 허용하는 시스템에 분산되고, 또는 상기 기술된 순서와 상이한 순서로 수행된다는 것이 이해되어야 한다.
일 실시예에서, 상기 기술된 임의의 실시예로부터 하나 이상의 피처들은 본 개시에 기술된 다양한 실시예들에서 기술된 범위에서 벗어나지 않고, 임의의 다른 실시예의 하나 이상의 피처들과 결합된다는 것을 또한 주의해야 한다.
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 이에 따라, 본 실시예들은 예시적이고 비제한적인 것으로 고려되고, 본 실시예들은 본 명세서에 제공된 상세들로 제한되지 않고 첨부된 청구항들의 범위 및 등가물 내에서 수정될 수도 있다.

Claims (21)

  1. 시스템에 있어서,
    하우징을 갖는 플라즈마 챔버로서, 상기 하우징은,
    페데스탈;
    상기 페데스탈과 샤워헤드 사이에 갭 (gap) 을 형성하도록 그리고 상기 페데스탈과 대면하도록 상기 페데스탈 위에 놓인 상기 샤워헤드;
    상기 샤워헤드 위에 위치된 천장 (ceiling); 및
    측벽을 포함하는, 상기 플라즈마 챔버;
    수정된 RF 신호를 상기 샤워헤드로 이송하도록 상기 플라즈마 챔버에 커플링된 RF (radio frequency) 송신 라인; 및
    상기 천장으로부터 미리 결정된 거리 이내에 커플링된 션트 회로로서, 상기 션트 회로는 상기 샤워헤드와 상기 측벽 사이의 기생 커패시턴스와 연관된 임피던스를 상승시키도록 그리고 상기 샤워헤드와 상기 천장 사이의 기생 커패시턴스와 연관된 임피던스를 상승시키도록 상기 RF 송신 라인에 커플링되고,
    상기 임피던스들은 상기 수정된 RF 신호의 전력을 상승시키도록 상승되고,
    상기 전력은 상기 RF 송신 라인을 통해 상기 갭으로 이송되는 , 상기 션트 회로를 포함하는, 시스템.
  2. 제 1 항에 있어서,
    상기 션트 회로는 일 단부에서 접지 전위에 커플링되고 또 다른 단부에서 상기 RF 송신 라인에 커플링되는, 시스템.
  3. 제 1 항에 있어서,
    상기 션트 회로는 접지 전위에 커플링되도록 일 단부에서 상기 하우징에 커플링되고 또 다른 단부에서 상기 RF 송신 라인에 커플링되는, 시스템.
  4. 제 1 항에 있어서,
    상기 션트 회로는 접지 전위에 커플링되도록 일 단부에서 상기 천장에 커플링되고 또 다른 단부에서 상기 RF 송신 라인에 커플링되는, 시스템.
  5. 제 1 항에 있어서,
    상기 션트 회로는 가변 커패시터에 병렬로 커플링된 인덕터를 포함하는, 시스템.
  6. 제 1 항에 있어서,
    상기 션트 회로는 인덕터를 포함하는, 시스템.
  7. 제 1 항에 있어서,
    상기 션트 회로에 커플링된 모터;
    상기 모터에 커플링된 프로세서를 더 포함하고,
    상기 프로세서는 상기 기생 커패시턴스들과 연관된 상기 임피던스들을 상승시키기 위해 상기 션트 회로의 커패시턴스를 변화시키게 상기 모터를 제어하도록 구성되는, 시스템.
  8. 제 1 항에 있어서,
    상기 션트 회로에 커플링된 모터;
    상기 모터에 커플링된 프로세서를 더 포함하고,
    상기 프로세서는 프로브에 의해 측정된 파라미터가 미리 결정된 범위 내에 있을 때까지 상기 임피던스들을 상승시키기 위해 상기 모터를 제어하도록 구성되는, 시스템.
  9. 제 1 항에 있어서,
    상기 샤워헤드는 상기 측벽에 의해 지지되도록 상기 측벽에 커플링되는, 시스템.
  10. 가변 커패시터; 및
    제 1 단부 및 제 2 단부를 형성하도록 상기 가변 커패시터와 병렬로 커플링된 인덕터를 포함하고,
    상기 제 1 단부는 플라즈마 챔버의 임피던스 매칭 회로와 샤워헤드 사이에 커플링된 RF (radio frequency) 송신 라인에 커플링되고, 상기 제 1 단부는 상기 RF 송신 라인을 통해 수정된 RF 신호를 수신하도록 상기 RF 송신 라인에 커플링되고, 상기 제 2 단부는 상기 플라즈마 챔버의 하우징에 커플링되고, 상기 가변 커패시터 및 상기 인덕터는 상기 플라즈마 챔버의 천장과 상기 샤워헤드 사이의 기생 커패시턴스와 연관된 임피던스를 상승시키도록 그리고 상기 플라즈마 챔버의 측벽과 상기 샤워헤드 사이의 기생 커패시턴스와 연관된 임피던스를 상승시키도록 구성되고, 상기 임피던스는 상기 수정된 RF 신호의 전력을 상승시키도록 상승되고, 상기 전력은 상기 RF 송신 라인을 통해 상기 플라즈마 챔버의 페데스탈과 상기 샤워헤드 사이의 갭으로 이송되는, 션트 회로.
  11. 제 10 항에 있어서,
    상기 제 2 단부는 접지 전위에 커플링되도록 상기 플라즈마 챔버의 상기 하우징의 천장에 커플링되는, 션트 회로.
  12. 제 10 항에 있어서,
    상기 가변 커패시터는 상기 임피던스 매칭 회로의 출력부의 파라미터가 미리 결정된 범위 내에 있을 때까지, 상기 가변 커패시터의 커패시턴스를 변화시키기 위해 모터에 커플링되고, 상기 RF 송신 라인은 상기 임피던스 매칭 회로의 상기 출력부에 커플링되는, 션트 회로.
  13. 제 12 항에 있어서,
    상기 모터는 프로세서에 커플링되고, 상기 프로세서는 프로브로부터 상기 파라미터의 측정값을 수신하기 위해 상기 프로브에 커플링되는, 션트 회로.
  14. 제 13 항에 있어서,
    상기 프로브는 상기 임피던스 매칭 회로의 상기 출력부에 커플링되는, 션트 회로.
  15. 제 10 항에 있어서,
    상기 하우징은 측벽을 포함하고, 상기 샤워헤드는 상기 측벽에 의해 지지되도록 상기 측벽에 커플링되는, 션트 회로.
  16. RF 신호를 생성하도록 구성된 RF (radio frequency) 생성기;
    수정된 RF 신호를 출력하기 위해 상기 RF 신호를 수신하도록 상기 RF 생성기에 커플링된 임피던스 매칭 회로;
    복수의 수정된 RF 출력 신호들을 출력하기 위해 상기 수정된 RF 신호의 전력을 분배하도록 상기 임피던스 매칭 회로에 커플링된 전력 스플리터 (power splitter);
    상기 복수의 수정된 RF 출력 신호들 중 제 1 출력 신호를 수신하기 위해 제 1 RF 송신 라인을 통해 상기 전력 스플리터의 제 1 출력부에 커플링된 제 1 스테이션으로서, 상기 제 1 스테이션은 페데스탈과 샤워헤드 사이에 갭을 형성하도록 상기 샤워헤드와 상기 페데스탈을 가지고, 상기 제 1 스테이션은 측벽과 제 1 천장을 가지는 제 1 하우징을 가지는, 상기 제 1 스테이션;
    상기 복수의 수정된 RF 출력 신호들 중 제 2 출력 신호를 수신하기 위해 제 2 RF 송신 라인을 통해 상기 전력 스플리터의 제 2 출력부에 커플링된 제 2 스테이션;
    상기 제 1 천장과 상기 샤워헤드 사이의 기생 커패시턴스와 연관된 임피던스를 상승시키도록 그리고 상기 측벽과 상기 샤워헤드 사이의 기생 커패시턴스와 연관된 임피던스를 상승시키도록 상기 제 1 RF 송신 라인에 커플링되고, 상기 임피던스들은 상기 복수의 수정된 RF 출력 신호들 중 상기 제 1 출력 신호의 전력을 상승시키도록 상승되고, 상기 전력은 상기 제 1 RF 송신 라인을 통해 상기 갭으로 이송되는. 제 1 션트 회로; 및
    상기 제 2 스테이션과 연관된 기생 커패시턴스와 연관된 임피던스를 무효화하도록 상기 제 2 RF 송신 라인에 커플링된 제 2 션트 회로를 포함하는, 멀티-스테이션 프로세싱 툴.
  17. 제 16 항에 있어서,
    상기 제 1 스테이션은 제 1 하우징을 갖고 상기 제 2 스테이션은 제 2 하우징을 갖고, 상기 제 1 션트 회로는 상기 제 1 스테이션의 접지 전위에 커플링되도록 상기 제 1 하우징에 커플링된 일 단부 및 상기 제 1 RF 송신 라인에 커플링된 또 다른 단부를 갖고, 상기 제 2 션트 회로는 상기 제 2 스테이션의 접지 전위에 커플링되도록 상기 제 2 하우징에 커플링된 일 단부 및 상기 제 2 RF 송신 라인에 커플링된 또 다른 단부를 갖는, 멀티-스테이션 프로세싱 툴.
  18. 제 16 항에 있어서,
    상기 제 1 스테이션은 제 1 하우징을 갖고 상기 제 2 스테이션은 제 2 하우징을 갖고, 상기 제 1 션트 회로는 상기 제 1 스테이션의 접지 전위에 커플링되도록 상기 제 1 하우징의 상기 제 1 천장에 커플링된 일 단부 및 상기 제 1 RF 송신 라인에 커플링된 또 다른 단부를 갖고, 상기 제 2 션트 회로는 상기 제 2 스테이션의 접지 전위에 커플링되도록 상기 제 2 하우징의 제 2 천장에 커플링된 일 단부 및 상기 제 2 RF 송신 라인에 커플링된 또 다른 단부를 갖는, 멀티-스테이션 프로세싱 툴.
  19. 제 16 항에 있어서,
    상기 제 1 션트 회로는 커패시터와 병렬로 커플링된 인덕터를 포함하고, 상기 제 2 션트 회로는 커패시터와 병렬로 커플링된 인덕터를 포함하는, 멀티-스테이션 프로세싱 툴.
  20. 제 16 항에 있어서,
    상기 제 1 션트 회로는 인덕터를 포함하고, 상기 제 2 션트 회로는 인덕터를 포함하는, 멀티-스테이션 프로세싱 툴.
  21. 제 1 항에 있어서,
    상기 션트 회로는 상기 플라즈마 챔버 내부에 위치하는, 시스템.
KR1020197020094A 2016-12-16 2017-12-04 플라즈마 반응기에서 기생 컴포넌트들의 션트 소거를 제공하는 시스템들 및 방법들 KR102523502B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237012855A KR102575561B1 (ko) 2016-12-16 2017-12-04 플라즈마 반응기에서 기생 컴포넌트들의 션트 소거를 제공하는 시스템들 및 방법들

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/382,409 2016-12-16
US15/382,409 US20180175819A1 (en) 2016-12-16 2016-12-16 Systems and methods for providing shunt cancellation of parasitic components in a plasma reactor
PCT/US2017/064519 WO2018111598A1 (en) 2016-12-16 2017-12-04 Systems and methods for providing shunt cancellation of parasitic components in a plasma reactor

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237012855A Division KR102575561B1 (ko) 2016-12-16 2017-12-04 플라즈마 반응기에서 기생 컴포넌트들의 션트 소거를 제공하는 시스템들 및 방법들

Publications (2)

Publication Number Publication Date
KR20190088071A KR20190088071A (ko) 2019-07-25
KR102523502B1 true KR102523502B1 (ko) 2023-04-18

Family

ID=62559377

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020237029920A KR20230129632A (ko) 2016-12-16 2017-12-04 플라즈마 반응기에서 기생 컴포넌트들의 션트 소거를 제공하는 시스템들 및 방법들
KR1020237012855A KR102575561B1 (ko) 2016-12-16 2017-12-04 플라즈마 반응기에서 기생 컴포넌트들의 션트 소거를 제공하는 시스템들 및 방법들
KR1020197020094A KR102523502B1 (ko) 2016-12-16 2017-12-04 플라즈마 반응기에서 기생 컴포넌트들의 션트 소거를 제공하는 시스템들 및 방법들

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020237029920A KR20230129632A (ko) 2016-12-16 2017-12-04 플라즈마 반응기에서 기생 컴포넌트들의 션트 소거를 제공하는 시스템들 및 방법들
KR1020237012855A KR102575561B1 (ko) 2016-12-16 2017-12-04 플라즈마 반응기에서 기생 컴포넌트들의 션트 소거를 제공하는 시스템들 및 방법들

Country Status (6)

Country Link
US (2) US20180175819A1 (ko)
JP (1) JP2020502753A (ko)
KR (3) KR20230129632A (ko)
CN (2) CN110301029B (ko)
TW (1) TW201833379A (ko)
WO (1) WO2018111598A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070228009A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma processing apparatus and method
US20080011424A1 (en) * 2005-08-05 2008-01-17 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US20100193128A1 (en) * 2007-07-04 2010-08-05 Canon Anelva Corporation Surface treatment apparatus

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
JP4129855B2 (ja) * 2001-12-13 2008-08-06 東京エレクトロン株式会社 プラズマ処理装置
JP4370789B2 (ja) * 2002-07-12 2009-11-25 東京エレクトロン株式会社 プラズマ処理装置及び可変インピーダンス手段の校正方法
US7169256B2 (en) * 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
US7570130B2 (en) * 2004-07-12 2009-08-04 Applied Materials, Inc. Apparatus and methods for a fixed impedance transformation network for use in connection with a plasma chamber
DE602005022221D1 (de) * 2004-11-12 2010-08-19 Oerlikon Trading Ag Impedanzanpassung eines kapazitiv gekoppelten hf-plasmareaktors mit eignung für grossflächige substrate
US20170213734A9 (en) * 2007-03-30 2017-07-27 Alexei Marakhtanov Multifrequency capacitively coupled plasma etch chamber
CN102365906B (zh) * 2009-02-13 2016-02-03 应用材料公司 用于等离子体腔室电极的rf总线与rf回流总线
WO2011041332A2 (en) * 2009-09-29 2011-04-07 Applied Materials, Inc. Off-center ground return for rf-powered showerhead
US8491759B2 (en) * 2010-10-20 2013-07-23 COMET Technologies USA, Inc. RF impedance matching network with secondary frequency and sub-harmonic variant
US20130071581A1 (en) * 2011-09-20 2013-03-21 Jonghoon Baek Plasma monitoring and minimizing stray capacitance
US9320126B2 (en) * 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
EP2847869B1 (en) * 2012-03-27 2018-05-16 Intel Corporation A transceiver with an integrated rx/tx configurable passive network
TWI646570B (zh) * 2013-05-09 2019-01-01 美商蘭姆研究公司 將電漿系統內之模型加以分段
US9263350B2 (en) * 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing
US9652567B2 (en) * 2014-10-20 2017-05-16 Lam Research Corporation System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US9306533B1 (en) * 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
KR102571772B1 (ko) * 2015-05-19 2023-08-25 램 리써치 코포레이션 매칭 네트워크 모델을 결정하도록 다양한 플라즈마 조건들을 적용하기 위해 복수의 유도성 픽스처 및 용량성 픽스처를 사용하기 위한 시스템들 및 방법들

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080011424A1 (en) * 2005-08-05 2008-01-17 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US20070228009A1 (en) * 2006-03-31 2007-10-04 Tokyo Electron Limited Plasma processing apparatus and method
US20100193128A1 (en) * 2007-07-04 2010-08-05 Canon Anelva Corporation Surface treatment apparatus

Also Published As

Publication number Publication date
WO2018111598A1 (en) 2018-06-21
JP2020502753A (ja) 2020-01-23
US20230246624A1 (en) 2023-08-03
KR20190088071A (ko) 2019-07-25
CN110301029A (zh) 2019-10-01
US20180175819A1 (en) 2018-06-21
CN117594408A (zh) 2024-02-23
KR102575561B1 (ko) 2023-09-06
KR20230129632A (ko) 2023-09-08
KR20230053007A (ko) 2023-04-20
CN110301029B (zh) 2023-09-01
TW201833379A (zh) 2018-09-16

Similar Documents

Publication Publication Date Title
US11264207B2 (en) Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
US11183406B2 (en) Control of wafer bow in multiple stations
US11258421B2 (en) Combiner and distributor for adjusting impedances or power across multiple plasma processing stations
US10665435B2 (en) Chamber with vertical support stem for symmetric conductance and RF delivery
US10665427B2 (en) RF generator for generating a modulated frequency or an inter-modulated frequency
US12052006B2 (en) Mutually induced filters
US20230246624A1 (en) Systems and methods for providing shunt cancellation of parasitic components in a plasma reactor

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant