KR102485400B1 - Apparatus for processing substrate - Google Patents

Apparatus for processing substrate Download PDF

Info

Publication number
KR102485400B1
KR102485400B1 KR1020180140219A KR20180140219A KR102485400B1 KR 102485400 B1 KR102485400 B1 KR 102485400B1 KR 1020180140219 A KR1020180140219 A KR 1020180140219A KR 20180140219 A KR20180140219 A KR 20180140219A KR 102485400 B1 KR102485400 B1 KR 102485400B1
Authority
KR
South Korea
Prior art keywords
unit
electrode
plasma
current
control circuit
Prior art date
Application number
KR1020180140219A
Other languages
Korean (ko)
Other versions
KR20200056200A (en
Inventor
이치영
손광정
박민근
이윤형
Original Assignee
주식회사 원익아이피에스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 원익아이피에스 filed Critical 주식회사 원익아이피에스
Priority to KR1020180140219A priority Critical patent/KR102485400B1/en
Publication of KR20200056200A publication Critical patent/KR20200056200A/en
Application granted granted Critical
Publication of KR102485400B1 publication Critical patent/KR102485400B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Abstract

본 발명은 장치는 처리 공간을 한정하는 공정 챔버; 상기 공정 챔버에 설치되는 가스 분사부; 상기 공정 챔버 내부로 플라즈마 분위기를 형성하기 위하여 제 1 플라즈마 전극인 상기 가스 분사부에 적어도 하나의 RF 전력을 인가하도록 적어도 하나의 RF 전원을 포함하는 플라즈마 전원 공급부; 상기 적어도 하나의 RF 전원과 상기 공정 챔버 사이의 임피던스 매칭을 위하여, 상기 플라즈마 전원 공급부 및 상기 가스 분사부 사이에 연결된 임피던스 매칭부; 상기 공정 챔버에 상기 제 1 플라즈마 전극인 상기 가스 분사부와 대향 설치되는 제 2 플라즈마 전극을 포함하여 구성되는, 척(chuck) 구조체; 및 상기 가스 분사부 및 상기 척 구조체 사이의 플라즈마 분위기를 제어하기 위해, 접지부와 상기 제 2 플라즈마 전극 사이에 연결되되, 제 2 플라즈마 전극으로부터 접지부에 이르는 경로 상의 임피던스를 조절하도록 상기 제 2 플라즈마 전극으로 흐르는 RF 전류를 제어하도록 구성된 조절 회로부;를 포함한다. The apparatus of the present invention includes a process chamber defining a process space; a gas injection unit installed in the process chamber; a plasma power supply including at least one RF power supply to apply at least one RF power to the gas dispensing part, which is a first plasma electrode, to form a plasma atmosphere into the process chamber; an impedance matching unit connected between the plasma power supply unit and the gas dispensing unit for impedance matching between the at least one RF power source and the process chamber; a chuck structure including a second plasma electrode installed in the process chamber to face the gas spraying part, which is the first plasma electrode; and a second plasma connected between a grounding unit and the second plasma electrode to control an impedance on a path from the second plasma electrode to the grounding unit in order to control a plasma atmosphere between the gas dispensing unit and the chuck structure. and regulating circuitry configured to control the RF current flowing to the electrodes.

Description

기판 처리 장치{Apparatus for processing substrate}Substrate processing apparatus {Apparatus for processing substrate}

본 발명은 반도체 제조에 관한 것으로서, 보다 상세하게는 플라즈마를 이용한 기판 처리 장치에 관한 것이다.The present invention relates to semiconductor manufacturing, and more particularly, to a substrate processing apparatus using plasma.

반도체 소자의 제조에 있어서 플라즈마를 이용한 공정이 적용되고 있다. 예를 들어, 플라즈마를 이용하여 공정 기체를 활성화함으로써 낮은 공정 온도에서도 빠른 속도로 증착 또는 에칭 등의 공정을 수행할 수 있다. 이러한 플라즈마를 이용한 기판 처리 장치에 있어서 공정 챔버에 따른 플라즈마 매칭의 제어, 가스량, 압력 등과 같은 공정 변수의 제어 등 플라즈마 환경의 제어가 중요하다.In the manufacture of semiconductor devices, a process using plasma is applied. For example, a process such as deposition or etching may be performed at a high speed even at a low process temperature by activating a process gas using plasma. In a substrate processing apparatus using such a plasma, control of a plasma environment, such as control of plasma matching according to a process chamber and control of process variables such as gas amount and pressure, is important.

하지만, 기존의 플라즈마 제어의 경우에 있어서는, 공정 챔버의 조건에 따라서 임피던스 매칭을 함으로써 공정 챔버 내 플라즈마 환경을 안정화할 수는 있지만, 공정 챔버가 전체적으로 접지되어 있기 때문에 기판 상으로 흐르는 전류를 최적화하는 데에는 한계가 있었다. 특히, 척 구조체를 적용한 기판 처리 장치에서 정전력을 고려한 기판 상으로의 플라즈마 환경을 정밀하게 제어할 필요가 있다.However, in the case of conventional plasma control, the plasma environment in the process chamber can be stabilized by performing impedance matching according to the conditions of the process chamber, but since the process chamber is grounded as a whole, it is difficult to optimize the current flowing on the substrate. There were limits. In particular, in a substrate processing apparatus to which a chuck structure is applied, it is necessary to precisely control a plasma environment on a substrate considering electrostatic force.

본 발명은 상기와 같은 문제점을 포함하여 여러 문제점들을 해결하기 위한 것으로서, 기판 상의 플라즈마 환경을 제어하여 공정 조건을 제어할 수 있는 기판 처리 장치를 제공하는 것을 목적으로 한다. 그러나 이러한 과제는 예시적인 것으로, 이에 의해 본 발명의 범위가 한정되는 것은 아니다.An object of the present invention is to solve various problems including the above problems, and to provide a substrate processing apparatus capable of controlling process conditions by controlling a plasma environment on a substrate. However, these tasks are illustrative, and the scope of the present invention is not limited thereby.

상기 과제를 해결하기 위한 본 발명의 일 관점에 따른 기판 처리 장치는 내부에 박막을 처리하기 위한 처리 공간을 한정하는 공정 챔버; 상기 공정 챔버에 설치되고, 상기 처리 공간으로 공정 가스를 공급하는 가스 분사부; 상기 공정 챔버 내부로 플라즈마 분위기를 형성하기 위하여 제 1 플라즈마 전극인 상기 가스 분사부에 적어도 하나의 RF 전력을 인가하도록 적어도 하나의 RF 전원을 포함하는 플라즈마 전원 공급부; 상기 적어도 하나의 RF 전원과 상기 공정 챔버 사이의 임피던스 매칭을 위하여, 상기 플라즈마 전원 공급부 및 상기 가스 분사부 사이에 연결된 임피던스 매칭부; 상기 공정 챔버에 제 1 플라즈마 전극인 상기 가스 분사부와 대향 설치되는 제 2 플라즈마 전극을 포함하여 구성되되, 상기 제 2 플라즈마 전극은 제 1 영역에 배치된 제 1 전극부 및 상기 제 1 영역과 이격된 제 2 영역에 배치된 제 2 전극부를 구비하는, 척(chuck) 구조체; 및 상기 가스 분사부 및 상기 척 구조체 사이의 플라즈마 분위기를 제어하기 위해, 접지부와 상기 제 2 플라즈마 전극 사이에 연결되되, 상기 제 2 플라즈마 전극으로부터 접지부에 이르는 경로 상의 임피던스를 조절하도록 상기 제 2 플라즈마 전극으로 흐르는 RF 전류를 제어하도록 구성된 조절 회로부;를 포함하되, 상기 조절 회로부는, 접지부와 상기 제 1 전극부 사이에 연결되어 상기 제 1 전극부로부터 접지부에 이르는 경로 상의 제 1 임피던스를 가변적으로 조절하는 제 1 전류 조절 회로부와 접지부와, 접지부와 상기 제 2 전극부 사이에 연결되어 상기 제 2 전극부로부터 접지부에 이르는 경로 상의 제 2 임피던스를 가변적으로 조절하는 제 2 전류 조절 회로부를 구비한다. A substrate processing apparatus according to one aspect of the present invention for solving the above problems includes a process chamber defining a processing space for processing a thin film therein; a gas injection unit installed in the process chamber and supplying a process gas to the process space; a plasma power supply including at least one RF power supply to apply at least one RF power to the gas dispensing part, which is a first plasma electrode, to form a plasma atmosphere into the process chamber; an impedance matching unit connected between the plasma power supply unit and the gas dispensing unit for impedance matching between the at least one RF power source and the process chamber; It is configured to include a second plasma electrode installed in the process chamber facing the gas spraying part, which is the first plasma electrode, wherein the second plasma electrode has a first electrode part disposed in a first region and spaced apart from the first region. a chuck structure including a second electrode unit disposed in a second region; And to control the plasma atmosphere between the gas ejection part and the chuck structure, doedoe connected between the ground part and the second plasma electrode, to adjust the impedance on the path from the second plasma electrode to the ground part. A control circuit unit configured to control an RF current flowing to the plasma electrode; wherein the control circuit unit is connected between a ground unit and the first electrode unit to determine a first impedance on a path from the first electrode unit to the ground unit. A variably regulating first current regulating circuit part and a grounding part, connected between the grounding part and the second electrode part, and variably adjusting a second impedance on a path from the second electrode part to the grounding part. Equipped with a circuit part.

상기 기판 처리 장치에서, 상기 제 1 영역은 상기 척 구조체의 센터 영역이며, 상기 제 2 영역은 상기 센터 영역을 둘러싸는 에지 영역일 수 있다. In the substrate processing apparatus, the first area may be a center area of the chuck structure, and the second area may be an edge area surrounding the center area.

상기 기판 처리 장치에서, 상기 제 1 전류 조절 회로부 및 상기 제 2 전류 조절 회로부는 상기 적어도 하나의 RF 전원에 의해서 생성되어 상기 척 구조체를 통해서 흐르는 적어도 하나의 RF 전류를 통과시키기 위한 적어도 하나의 RF 필터를 각각 구비하되, 상기 RF 필터는 상기 RF 필터의 임피던스를 가변적으로 조절할 수 있도록 구성된 적어도 하나의 가변 커패시터를 구비할 수 있다. In the substrate processing apparatus, the first current control circuit unit and the second current control circuit unit at least one RF filter for passing at least one RF current generated by the at least one RF power source and flowing through the chuck structure Each includes, but the RF filter may include at least one variable capacitor configured to variably adjust the impedance of the RF filter.

상기 기판 처리 장치에서, 상기 조절 회로부는 상기 가변 커패시터의 커패시턴스 값과 박막의 응력 데이터의 관계에 대한 룩업 테이블 데이터를 이용하여 목표로 하는 박막의 응력에 대응하는 상기 가변 커패시터의 커패시턴스 값을 상기 제 1 전류 조절 회로부 및 상기 제 2 전류 조절 회로부 별로 각각 설정할 수 있는 제어부를 더 구비할 수 있다. In the substrate processing apparatus, the control circuit unit determines the capacitance value of the variable capacitor corresponding to the target stress of the thin film by using lookup table data for a relationship between the capacitance value of the variable capacitor and the stress data of the thin film. A current control circuit unit and a control unit capable of setting each of the second current control circuit units may be further provided.

상기 기판 처리 장치에서, 상기 조절 회로부는 상기 가변 커패시터의 커패시턴스 값과 박막의 증착율 데이터의 관계에 대한 룩업 테이블 데이터를 이용하여 목표로 하는 박막의 증착율에 대응하는 상기 가변 커패시터의 커패시턴스 값을 상기 제 1 전류 조절 회로부 및 상기 제 2 전류 조절 회로부 별로 각각 설정할 수 있는 제어부를 더 구비할 수 있다. In the substrate processing apparatus, the control circuit unit sets the capacitance value of the variable capacitor corresponding to the target deposition rate of the thin film using lookup table data for a relationship between the capacitance value of the variable capacitor and the deposition rate data of the thin film. A current control circuit unit and a control unit capable of setting each of the second current control circuit units may be further included.

상기 기판 처리 장치에서, 상기 조절 회로부는 상기 가변 커패시터의 커패시턴스 값과 박막의 맵 균일도(map uniformity) 데이터의 관계에 대한 룩업 테이블 데이터를 이용하여 목표로 하는 박막의 맵 균일도에 대응하는 상기 가변 커패시터의 커패시턴스 값을 상기 제 1 전류 조절 회로부 및 상기 제 2 전류 조절 회로부 별로 각각 설정할 수 있는 제어부를 더 구비할 수 있다. In the substrate processing apparatus, the control circuit unit of the variable capacitor corresponding to the target map uniformity of the thin film using lookup table data for the relationship between the capacitance value of the variable capacitor and the map uniformity data of the thin film. A control unit configured to set capacitance values for each of the first current control circuit unit and the second current control circuit unit may be further included.

상기 기판 처리 장치에서, 상기 제 1 전류 조절 회로부 및 상기 제 2 전류 조절 회로부는 상기 적어도 하나의 RF 전원에 의해서 생성되어 상기 척 구조체를 통해서 흐르는 적어도 하나의 RF 전류를 통과시키기 위한 적어도 하나의 RF 필터를 각각 구비하되, 상기 적어도 하나의 RF 전원은 듀얼 RF 전원을 제공하도록 제 1 주파수 대역의 제 1 RF 전원 및 상기 제 1 주파수 대역보다 큰 제 2 주파수 대역의 제 2 RF 전원을 포함하고, 상기 RF 필터는 적어도 상기 제 1 RF 전원에 의해서 생성되어 상기 척 구조체를 통해서 흐르는 제 1 주파수 대역의 제 1 RF 전류를 통과시키기 위한 제 1 RF 필터, 적어도 상기 제 2 RF 전원에 의해서 생성되어 상기 척 구조체를 통해서 흐르는 제 2 주파수 대역의 제 2 RF 전류를 통과시키기 위한 제 2 RF 필터를 포함할 수 있다. In the substrate processing apparatus, the first current control circuit unit and the second current control circuit unit at least one RF filter for passing at least one RF current generated by the at least one RF power source and flowing through the chuck structure Wherein the at least one RF power source includes a first RF power source of a first frequency band and a second RF power source of a second frequency band greater than the first frequency band to provide a dual RF power source, wherein the RF The filter includes at least a first RF filter for passing a first RF current generated by the first RF power supply and flowing through the chuck structure, and a first RF filter generated by at least the second RF power supply to pass the first RF current flowing through the chuck structure. It may include a second RF filter for passing a second RF current of a second frequency band flowing through.

상기 기판 처리 장치에서, 상기 제 1 주파수 대역은 300 kHz 내지 600 kHz 의 주파수 범위를 가지며, 상기 제 2 주파수 대역은 13.56 MHz 내지 27.12 MHz 의 주파수 범위를 가질 수 있다. In the substrate processing apparatus, the first frequency band may have a frequency range of 300 kHz to 600 kHz, and the second frequency band may have a frequency range of 13.56 MHz to 27.12 MHz.

상기 기판 처리 장치에서, 상기 제 1 RF 필터는 서로 병렬로 연결된 제 1 인덕터 및 제 1 커패시터를 포함하고, 상기 제 2 RF 필터는 서로 직렬로 연결된 제 2 인덕터 및 제 2 커패시터를 포함하되, 상기 제 1 커패시터 및 상기 제 2 커패시터 중 적어도 어느 하나는 상기 RF 필터의 임피던스를 가변적으로 조절할 수 있도록 구성된 가변 커패시터일 수 있다. In the substrate processing apparatus, the first RF filter includes a first inductor and a first capacitor connected in parallel with each other, and the second RF filter includes a second inductor and a second capacitor connected in series with each other, At least one of the first capacitor and the second capacitor may be a variable capacitor configured to variably adjust the impedance of the RF filter.

상기 기판 처리 장치에서, 상기 제 1 RF 필터는 상기 제 1 인덕터 및 상기 제 1 커패시터의 병렬 연결 구조와 접지부 사이에 직렬 연결된 제 3 커패시터를 더 포함할 수 있다. In the substrate processing apparatus, the first RF filter may further include a third capacitor connected in series between a parallel connection structure of the first inductor and the first capacitor and a ground.

상기 기판 처리 장치에서, 상기 제 1 전극부 및 상기 제 2 전극부는 상기 척 구조체의 상부에 안착되는 기판에 정전기력을 인가하기 위한 정전 전극일 수 있다. In the substrate processing apparatus, the first electrode unit and the second electrode unit may be electrostatic electrodes for applying electrostatic force to the substrate seated on the chuck structure.

상기 기판 처리 장치는 상기 정전 전극에 DC 전력을 공급하도록 DC 전원을 포함하는 정전력 전원 공급부;를 더 포함하되, 상기 조절 회로부는 상기 정전력 전원 공급부로부터 인입되는 DC 전류는 차단하기 위한 적어도 하나의 DC 차단 소자를 더 구비할 수 있다. The substrate processing apparatus further includes a constant power power supply unit including a DC power source to supply DC power to the electrostatic electrode, but the control circuit unit includes at least one DC current drawn from the constant power power supply unit for blocking. A DC blocking element may be further provided.

상기 기판 처리 장치에서, 상기 척 구조체는 기판을 가열시키기 위한 히터를 더 구비하고, 상기 히터에 AC 전력을 인가하도록 상기 히터에 연결된 히터 전원부; 및 상기 히터 전원부 및 상기 히터 사이에 연결된 제 3 RF 필터; 를 더 포함할 수 있다. In the substrate processing apparatus, the chuck structure further includes a heater for heating the substrate, and a heater power supply unit connected to the heater to apply AC power to the heater; and a third RF filter connected between the heater power supply unit and the heater. may further include.

상기한 바와 같이 이루어진 본 발명의 일부 실시예들에 따르면, RF 전달 효율을 향상시키고 박막의 두께 및 응력의 튜닝이 가능하며, 웨이퍼 맵 제어가 가능한 기판 처리 장치를 제공할 수 있다. 물론 이러한 효과에 의해 본 발명의 범위가 한정되는 것은 아니다.According to some embodiments of the present invention made as described above, it is possible to provide a substrate processing apparatus capable of improving RF transmission efficiency, tuning the thickness and stress of a thin film, and controlling a wafer map. Of course, the scope of the present invention is not limited by these effects.

도 1은 본 발명의 일 실시예에 따른 기판 처리 장치를 개략적으로 보여주는 도면이다.
도 2는 도 1의 기판 처리 장치에서 플라즈마 전원 인가 시 RF 전류의 흐름을 개략적으로 도시한 도면이다.
도 3은 도 1의 기판 처리 장치에서 조절 회로부의 구성의 일 예를 개략적으로 보여주는 도면이다.
도 4는 도 1의 기판 처리 장치의 조절 회로부의 일부 구성을 이용하여 가변 커패시터를 조절하는 과정을 개요적으로 도해하는 도면이다.
도 5는 본 발명의 변형된 일 실시예에 따른 기판 처리 장치를 개략적으로 보여주는 도면이다.
1 is a diagram schematically showing a substrate processing apparatus according to an embodiment of the present invention.
FIG. 2 is a diagram schematically illustrating a flow of RF current when plasma power is applied in the substrate processing apparatus of FIG. 1 .
FIG. 3 is a diagram schematically showing an example of a configuration of a control circuit unit in the substrate processing apparatus of FIG. 1 .
FIG. 4 is a diagram schematically illustrating a process of adjusting a variable capacitor using some configurations of a control circuit unit of the substrate processing apparatus of FIG. 1 .
5 is a view schematically showing a substrate processing apparatus according to a modified embodiment of the present invention.

명세서 전체에 걸쳐서, 막, 영역 또는 기판 등과 같은 하나의 구성요소가 다른 구성요소 "상에" 위치한다고 언급할 때는, 상기 하나의 구성요소가 직접적으로 상기 다른 구성요소 "상에" 접촉하거나, 그 사이에 개재되는 또 다른 구성요소들이 존재할 수 있다고 해석될 수 있다. 반면에, 하나의 구성요소가 다른 구성요소 "직접적으로 상에" 위치한다고 언급할 때는, 그 사이에 개재되는 다른 구성요소들이 존재하지 않는다고 해석된다. Throughout the specification, when referring to an element such as a film, region, or substrate being located “on” another element, the one element directly contacts “on” the other element, or It can be interpreted that there may be other components interposed therebetween. On the other hand, when an element is referred to as being located “directly on” another element, it is interpreted that there are no other elements intervening therebetween.

본 발명의 실시예들은 본 발명의 이상적인 실시예들을 개략적으로 도시하는 도면들을 참조하여 설명한다. 도면들에 있어서, 예를 들면, 제조 기술 및/또는 공차(tolerance)에 따라, 도시된 형상의 변형들이 예상될 수 있다. 따라서, 본 발명 사상의 실시예는 본 명세서에 도시된 영역의 특정 형상에 제한된 것으로 해석되어서는 아니 되며, 예를 들면 제조상 초래되는 형상의 변화를 포함하여야 한다. 또한, 도면에서 각 층의 두께나 크기는 설명의 편의 및 명확성을 위하여 과장된 것일 수 있다. 동일한 부호는 동일한 요소를 지칭한다.Embodiments of the present invention are described with reference to drawings that schematically show idealized embodiments of the present invention. In the drawings, variations of the depicted shape may be expected, depending on, for example, manufacturing techniques and/or tolerances. Therefore, embodiments of the inventive concept should not be construed as being limited to the specific shape of the region shown in this specification, but should include, for example, a change in shape caused by manufacturing. In addition, the thickness or size of each layer in the drawings may be exaggerated for convenience and clarity of explanation. Like symbols refer to like elements.

도 1은 본 발명의 일 실시예에 따른 기판 처리 장치(100)를 개략적으로 보여주는 도면이다. 1 is a diagram schematically showing a substrate processing apparatus 100 according to an embodiment of the present invention.

도 1을 참조하면, 기판 처리 장치(100)는 공정 챔버(110), 가스 분사부(120), 및 척 구조체(130)를 포함할 수 있다.Referring to FIG. 1 , a substrate processing apparatus 100 may include a process chamber 110 , a gas ejection unit 120 , and a chuck structure 130 .

공정 챔버(110)는 내부에 박막을 처리(증착 또는 식각)하기 위한 처리 공간(112)을 한정할 수 있다. 예를 들어, 공정 챔버(110)는 기밀을 유지하도록 구성되며, 처리 공간(112) 내 공정 가스를 배출하고 처리 공간(112) 내 진공도를 조절하도록 배기 포트를 통해서 진공 챔버(미도시)에 연결될 수 있다. 공정 챔버(110)는 다양한 형상으로 제공될 수 있으며, 예컨대 처리 공간(112)을 한정하는 측벽부와 측벽부 상단에 위치하는 덮개부를 포함할 수 있다.The process chamber 110 may define a processing space 112 for processing (depositing or etching) a thin film therein. For example, the process chamber 110 is configured to be airtight and may be connected to a vacuum chamber (not shown) through an exhaust port to discharge process gases from the process space 112 and adjust the degree of vacuum within the process space 112. can The process chamber 110 may be provided in various shapes, and may include, for example, a sidewall portion defining the processing space 112 and a cover portion positioned on top of the sidewall portion.

가스 분사부(120)는 공정 챔버(110)의 외부로부터 공급된 공정 가스를 처리 공간(112)으로 공급하도록 공정 챔버(110)에 설치될 수 있다. 가스 분사부(120)는 척 구조체(130) 상에 안착된 기판(S)에 공정 가스를 분사하도록 공정 챔버(110)의 상부에 척 구조체(130)에 대향되게 설치될 수 있다. 가스 분사부(120)는 외부로부터 공정 가스를 공급받기 위해 상측 또는 측부에 형성된 적어도 하나의 유입홀과, 기판(S) 상에 공정 가스를 분사하기 위해서 기판(S)을 바라보는 하방으로 형성된 복수의 분사홀들을 포함할 수 있다. The gas injection unit 120 may be installed in the process chamber 110 to supply process gas supplied from the outside of the process chamber 110 to the process space 112 . The gas spraying unit 120 may be installed in an upper part of the process chamber 110 to face the chuck structure 130 so as to spray process gas to the substrate S seated on the chuck structure 130 . The gas dispensing unit 120 includes at least one inlet hole formed on the upper side or side to receive process gas from the outside, and a plurality of inlet holes formed downward facing the substrate S to inject process gas onto the substrate S. It may include injection holes of.

예를 들어, 가스 분사부(120)는 샤워 헤드(shower head) 형태, 노즐(nozzle) 형태 등 다양한 형태를 가질 수 있다. 가스 분사부(120)가 샤워 헤드 형태인 경우, 가스 분사부(120)는 공정 챔버(110)의 상부를 덮는 형태로 공정 챔버(110)에 결합될 수도 있다. 예를 들어, 가스 분사부(120)가 공정 챔버(110)의 덮개 형태로 측벽부에 결합될 수 있다.For example, the gas spraying unit 120 may have various shapes such as a shower head shape and a nozzle shape. When the gas dispensing unit 120 is in the form of a shower head, the gas dispensing unit 120 may be coupled to the process chamber 110 in a form covering an upper portion of the process chamber 110 . For example, the gas dispensing unit 120 may be coupled to the side wall portion of the process chamber 110 in the form of a cover.

척 구조체(130)는 가스 분사부(120)에 대향되게 공정 챔버(110)에 설치되며, 그 상부에 기판(S)이 안착될 수 있다. 척 구조체(130)의 형상은 대체로 기판(S)의 모양에 대응되나 이에 한정되지 않고 기판(S)을 안정적으로 안착시킬 수 있도록 기판(S)보다 크게 다양한 형상으로 제공될 수 있다. 일 예에서, 척 구조체(130)는 승하강이 가능하도록 외부 모터(미도시)에 연결될 수 있으며, 이 경우 기밀 유지를 위하여 벨로우즈관(미도시)이 연결될 수도 있다. 나아가, 척 구조체(130)는 그 위에 기판(S)을 안치하도록 구성되기 때문에, 기판 안착부, 기판 지지대, 서셉터 등으로 불릴 수도 있다. 척 구조체(130)는 기판(S)을 가열하기 위한 히터(137)를 포함할 수 있다.The chuck structure 130 is installed in the process chamber 110 to face the gas injection unit 120, and a substrate S may be seated thereon. The shape of the chuck structure 130 generally corresponds to the shape of the substrate (S), but is not limited thereto and may be provided in various shapes larger than the substrate (S) so that the substrate (S) can be stably seated thereon. In one example, the chuck structure 130 may be connected to an external motor (not shown) to enable elevation, and in this case, a bellows pipe (not shown) may be connected to maintain airtightness. Furthermore, since the chuck structure 130 is configured to place the substrate S thereon, it may also be called a substrate seat, a substrate support, or a susceptor. The chuck structure 130 may include a heater 137 for heating the substrate S.

척 구조체(130)는 제 1 영역에 배치된 제 1 전극부(135a) 및 상기 제 1 영역과 이격된 제 2 영역에 배치된 제 2 전극부(135b)를 구비할 수 있다. 본 발명의 일 실시예에서, 상기 제 1 영역은 척 구조체(130)의 센터 영역이며, 상기 제 2 영역은 상기 센터 영역을 둘러싸는 에지 영역일 수 있다. 그러나, 상기 제 1 영역과 상기 제 2 영역은 서로 이격되어 있다는 전제 하에 다양한 분포와 형태로 변형될 수 있다. 예를 들어, 상기 제 1 영역 및 상기 제 2 영역은 각각 척 구조체(130)의 좌측 영역 및 우측 영역일 수 있다. 상기 제 1 영역 및 상기 제 2 영역은 각각 척 구조체(130)의 상측 영역 및 하측 영역일 수 있다. The chuck structure 130 may include a first electrode part 135a disposed in a first area and a second electrode part 135b disposed in a second area spaced apart from the first area. In one embodiment of the present invention, the first area may be a center area of the chuck structure 130, and the second area may be an edge area surrounding the center area. However, the first area and the second area may be transformed into various distributions and shapes under the premise that they are spaced apart from each other. For example, the first area and the second area may be left and right areas of the chuck structure 130 , respectively. The first region and the second region may be upper and lower regions of the chuck structure 130 , respectively.

본 발명의 일 실시예에서, 제 1 전극부(135a) 및 제 2 전극부(135b)는 척 구조체(130)의 상부에 안착되는 기판(S)에 정전기력을 인가하기 위한 정전 전극일 수 있다. 본 발명의 다른 실시예에서, 제 1 전극부(135a) 및 제 2 전극부(135b)는 그라운드 전극으로 이해될 수도 있다. 서로 이격된 제 1 전극부(135a) 및 제 2 전극부(135b)가 각각 접지부와 연결되어 있다는 점에서 2개의 그라운드 라인(ground line)이 서로 이격되어 제공되는 것으로 이해할 수 있다.In one embodiment of the present invention, the first electrode unit 135a and the second electrode unit 135b may be electrostatic electrodes for applying electrostatic force to the substrate S seated on the chuck structure 130 . In another embodiment of the present invention, the first electrode portion 135a and the second electrode portion 135b may be understood as ground electrodes. It can be understood that two ground lines are spaced apart from each other in that the first electrode part 135a and the second electrode part 135b spaced apart from each other are connected to the ground.

한편, 도 1은 서로 이격된 복수의 전극부가 두 개인 경우를 상정하여 도시되었으나, 이는 예시적인 것으로서 본 발명의 범위가 이에 제한되지는 않는다. 가령, 척 구조체(130)는 서로 이격된 세 개 이상의 전극부를 포함할 수도 있다. Meanwhile, FIG. 1 is illustrated assuming a case in which two electrode units are spaced apart from each other, but this is exemplary and the scope of the present invention is not limited thereto. For example, the chuck structure 130 may include three or more electrode units spaced apart from each other.

기판 처리 장치(100)는 플라즈마 전원 공급부(140) 및 임피던스 매칭부(146)를 더 포함할 수 있다. The substrate processing apparatus 100 may further include a plasma power supply 140 and an impedance matching unit 146 .

플라즈마 전원 공급부(140)는 공정 챔버(110) 내부로 플라즈마 분위기를 형성하기 위해서 공정 챔버(110)에 적어도 하나의 RF(radio frequency) 전력을 인가하도록 적어도 하나의 RF 전원을 포함할 수 있다. 예를 들어, 플라즈마 전원 공급부(140)는 가스 분사부(120)에 RF 전력을 인가하도록 연결될 수 있다. 이 경우, 가스 분사부(120)는 전원 공급 전극 또는 상부 전극 또는 제 1 플라즈마 전극으로 불릴 수도 있다. The plasma power supply 140 may include at least one RF power source to apply at least one radio frequency (RF) power to the process chamber 110 to form a plasma atmosphere inside the process chamber 110 . For example, the plasma power supply 140 may be connected to apply RF power to the gas dispensing unit 120 . In this case, the gas injection unit 120 may also be called a power supply electrode, an upper electrode, or a first plasma electrode.

한편, 공정 챔버 내부로 플라즈마 분위기를 형성하기 위하여 플라즈마 전원 공급부(140)가 적어도 하나의 RF 전력을 가스 분사부(120)에 인가하는 구성에서는 가스 분사부(120)가 제 1 플라즈마 전극으로 이해될 수 있으며, 이 경우, 제 1 전극부(135a) 및 제 2 전극부(135b)는 상기 제 1 플라즈마 전극와 대향 설치되는 제 2 플라즈마 전극으로 이해될 수 있다. Meanwhile, in a configuration in which the plasma power supply 140 applies at least one RF power to the gas dispensing unit 120 to form a plasma atmosphere into the process chamber, the gas dispensing unit 120 may be understood as a first plasma electrode. In this case, the first electrode part 135a and the second electrode part 135b can be understood as a second plasma electrode installed to face the first plasma electrode.

임피던스 매칭부(146)는 RF 전원과 공정 챔버(110) 사이의 임피던스 매칭을 위하여 플라즈마 전원 공급부(140) 및 가스 분사부(120) 사이에 배치될 수 있다.The impedance matching unit 146 may be disposed between the plasma power supply 140 and the gas dispensing unit 120 for impedance matching between the RF power source and the process chamber 110 .

플라즈마 전원 공급부(140) 내 RF 전원은 하나 또는 복수개일 수 있다. 예를 들어, RF 전원은 공정 조건에 따른 플라즈마 환경 제어를 위하여 제 1 주파수 대역의 제 1 RF 전원(142) 및 제 1 주파수 대역보다 높은 제 2 주파수 대역의 제 2 RF 전원(144)을 포함할 수 있다. 제 1 RF 전원(142) 및 제 2 RF 전원(144)으로 구성되는 듀얼 주파수 전원은 공정 조건에 따라서 또는 공정 스텝에 따라서 주파수 대역을 달리할 수 있어서 공정을 정밀하게 제어할 수 있는 장점이 있다. 도 1은 플라즈마 전원 공급부(140)의 전원이 두 개의 RF 전원들(142, 144)인 것으로 도시되었으나, 이는 예시적인 것으로서 본 발명의 범위가 이에 제한되지는 않는다.The number of RF power sources in the plasma power supply unit 140 may be one or plural. For example, the RF power source may include a first RF power source 142 of a first frequency band and a second RF power source 144 of a second frequency band higher than the first frequency band in order to control the plasma environment according to process conditions. can The dual frequency power supply composed of the first RF power supply 142 and the second RF power supply 144 has the advantage of being able to precisely control the process because the frequency band can be varied according to process conditions or process steps. Although FIG. 1 shows that the power of the plasma power supply 140 is two RF power sources 142 and 144, this is exemplary and the scope of the present invention is not limited thereto.

이러한 플라즈마 전원 공급부(140)의 일 예에서, 제 1 RF 전원(142)은 제 1 주파수 대역이 적어도 470 kHz를 포함하는 저주파(low frequency, LF) 전원이고, 제 2 RF 전원(144)은 제 2 주파수 대역이 적어도 27.12 MHz를 포함하는 고주파(high frequency, HF) 전원일 수 있다. 고주파(HF) 전원은 5 MHz 내지 60 MHz 범위, 선택적으로 13.56 MHz 내지 27.12 MHz의 주파수 범위의 RF 전원일 수 있다. 저주파(LF) 전원은 100 kHz 내지 5 MHz, 선택적으로 300 kHz 내지 600 kHz 의 주파수 범위의 RF 전원일 수 있다. 일 실시예에서, 제 2 주파수 대역은 13.56 MHz 내지 27.12 MHz 의 주파수 범위를 가지며, 제 1 주파수 대역은 300 kHz 내지 600 kHz 의 주파수 범위를 가질 수 있다.In one example of such a plasma power supply 140, the first RF power supply 142 is a low frequency (LF) power supply in which the first frequency band includes at least 470 kHz, and the second RF power supply 144 is 2 The frequency band may be a high frequency (HF) power supply including at least 27.12 MHz. The high frequency (HF) power supply may be an RF power supply in the frequency range of 5 MHz to 60 MHz, optionally 13.56 MHz to 27.12 MHz. The low frequency (LF) power supply may be an RF power supply in the frequency range of 100 kHz to 5 MHz, optionally 300 kHz to 600 kHz. In one embodiment, the second frequency band may have a frequency range of 13.56 MHz to 27.12 MHz, and the first frequency band may have a frequency range of 300 kHz to 600 kHz.

플라즈마 전원 공급부(140)에서 공급된 RF 전력은 플라즈마 전원 공급부(140)와 공정 챔버(110) 사이에서 임피던스 매칭부(146)를 통해서 적절하게 임피던스 매칭이 되어야 공정 챔버(110)에서 반사되서 되돌아오지 않고 공정 챔버(110)로 효과적으로 전달될 수 있다. 통상적으로는 플라즈마 전원 공급부(140)의 임피던스가 고정되어 있고, 공정 챔버(110)의 임피던스가 일정하지 않기 때문에 공정 챔버(110)의 임피던스와 플라즈마 전원 공급부(140)의 임피던스를 맞추도록 임피던스 매칭부(146)의 임피던스가 정해질 수 있지만, 본 발명의 범위가 이에 제한되는 것은 아니다. The RF power supplied from the plasma power supply 140 must be properly impedance matched between the plasma power supply 140 and the process chamber 110 through the impedance matching unit 146 so that it is not reflected from the process chamber 110 and returned. It can be effectively transferred to the process chamber 110 without In general, since the impedance of the plasma power supply 140 is fixed and the impedance of the process chamber 110 is not constant, the impedance matching unit matches the impedance of the process chamber 110 and the impedance of the plasma power supply 140. The impedance of 146 may be determined, but the scope of the present invention is not limited thereto.

예를 들어, 임피던스 매칭부(146)는 저항(R), 인덕터(L) 및 커패시터(C) 군에서 선택된 둘 또는 그 이상의 직렬 또는 병렬 조합으로 구성될 수 있다. 나아가, 임피던스 매칭부(146)는 RF 전력의 주파수와 공정 조건에 따라서 그 임피던스 값이 가변될 수 있도록 가변 커패시터 또는 커패시터 어레이 스위칭 구조를 채택할 수 있다.For example, the impedance matching unit 146 may include a series or parallel combination of two or more selected from the group of a resistor R, an inductor L, and a capacitor C. Furthermore, the impedance matching unit 146 may adopt a variable capacitor or capacitor array switching structure so that its impedance value can be varied according to the frequency of RF power and process conditions.

조절 회로부(160)는 가스 분사부(120) 및 척 구조체(130) 사이의 플라즈마 분위기를 제어하기 위해서 제 1 전극부(135a) 및 제 2 전극부(135b)를 구비하는 제 2 플라즈마 전극과 접지부 사이에 연결될 수 있다. 이러한 조절 회로부(160)는 임피던스 매칭부(146)에 의하여 플라즈마 전원 공급부(140)와 공정 챔버(110) 사이에 임피던스 매칭이 된 상태를 전제로 공정 챔버(110)의 측벽 상으로의 RF 전류와, 척 구조체(130) 또는 기판(S) 상으로 RF 전류의 비를 제어하여 기판(S) 상의 플라즈마 특성을 제어하기 위한 것으로서, 플라즈마 전원 공급부(140)와 공정 챔버(110) 사이의 임피던스 매칭을 위한 임피던스 매칭부(146)와는 구분될 수 있다. 아울러, 비교예로, 가스 분사부(120)가 아닌 척 구조체(130)에 RF 전력을 인가하는 경우에는 척 구조체(130)과 하부 RF전원(미도시) 사이의 임피던스 매칭을 위하여 둘 사이에 하부 임피던스 매칭부가 부가되기 때문에, 이러한 하부 임피던스 매칭부와 조절 회로부(160)도 구분될 수 있다.The control circuit unit 160 is in contact with the second plasma electrode including the first electrode unit 135a and the second electrode unit 135b to control the plasma atmosphere between the gas dispensing unit 120 and the chuck structure 130. Branches can be linked. The control circuit unit 160 controls the RF current on the sidewall of the process chamber 110 on the premise that impedance matching is performed between the plasma power supply unit 140 and the process chamber 110 by the impedance matching unit 146. , To control the plasma characteristics on the substrate (S) by controlling the ratio of the RF current on the chuck structure 130 or the substrate (S), impedance matching between the plasma power supply 140 and the process chamber 110 It can be distinguished from the impedance matching unit 146 for In addition, as a comparative example, when RF power is applied to the chuck structure 130 instead of the gas ejection unit 120, a lower portion is provided between the chuck structure 130 and a lower RF power source (not shown) for impedance matching between the two. Since the impedance matching unit is added, the lower impedance matching unit and the control circuit unit 160 can also be distinguished.

조절 회로부(160)는, 접지부와 제 1 전극부(135a) 사이에 연결되어 제 1 전극부(135a)로부터 접지부에 이르는 경로 상의 제 1 임피던스를 가변적으로 조절하는 제 1 전류 조절 회로부(160a)와 접지부와, 접지부와 제 2 전극부(135b) 사이에 연결되어 제 2 전극부(135b)로부터 접지부에 이르는 경로 상의 제 2 임피던스를 가변적으로 조절하는 제 2 전류 조절 회로부(160b)를 구비할 수 있다. The control circuit unit 160 is connected between the ground unit and the first electrode unit 135a to variably adjust a first impedance on a path from the first electrode unit 135a to the ground unit. ) and the ground portion, and connected between the ground portion and the second electrode portion 135b to variably adjust the second impedance on the path from the second electrode portion 135b to the ground portion (160b) can be provided.

앞에서 설명한 것처럼, 서로 이격된 복수개의 전극부가 각각 접지부와 연결되어 있다는 점에서 복수개의 그라운드 라인(ground line) 각각에 조절 회로부가 연결되어 있으며, 각각의 조절 회로부는 각각의 그라운드 라인의 임피던스를 최적화한다고 이해할 수 있다. 이 경우, 상기 조절 회로부는 IMS(Impedance Matching System)으로 이해될 수 있다.As described above, since the plurality of electrode parts spaced apart from each other are connected to the ground, the control circuit is connected to each of the plurality of ground lines, and each control circuit optimizes the impedance of each ground line. can understand that In this case, the control circuit unit may be understood as an Impedance Matching System (IMS).

본 발명의 기술적 사상에 의하면, 2개 이상의 그라운드 라인(ground line) 각각의 임피던스 최적화를 통해 RF 전달 효율을 향상시킬 수 있으며, 2개 이상의 그라운드 라인 각각의 임피던스 가변을 통한 공정 결과(박막의 두께, 응력)를 튜닝(Tuning)하는 것이 가능하며, 2개 이상의 그라운드 라인 각각의 임피던스 가변을 통한 웨이퍼 맵 컨트롤(Wafer Map Control)이 가능하다. According to the technical idea of the present invention, RF transmission efficiency can be improved through impedance optimization of each of two or more ground lines, and process results (thickness of a thin film, stress) is possible, and wafer map control is possible through varying the impedance of each of two or more ground lines.

도 2는 도 1의 기판 처리 장치에서 플라즈마 전원 인가 시 RF 전류의 흐름을 개략적으로 도시한 도면이다.FIG. 2 is a diagram schematically illustrating a flow of RF current when plasma power is applied in the substrate processing apparatus of FIG. 1 .

도 2를 참조하면, 플라즈마 전원 공급부(140)에서 가스 분사부(120)로 RF 전원을 공급하면 임피던스 매칭부(146)를 거쳐서 RF 전력이 공정 챔버(110)로 공급되어 플라즈마 전원 공급부(140)로부터 가스 분사부(120)로 RF 전류(It)가 흐르게 된다. 이 RF 전류(It)는 공정 챔버(110) 내 플라즈마(plasma)를 거쳐서 공정 챔버(110)의 벽면으로 흐르는 RF 전류(Iw)와 공정 챔버(120) 내 플라즈마를 거쳐서 척 구조체(130)로 흐르는 RF 전류(Ic)로 분기될 수 있다.Referring to FIG. 2 , when RF power is supplied from the plasma power supply unit 140 to the gas dispensing unit 120, the RF power is supplied to the process chamber 110 via the impedance matching unit 146, and the plasma power supply unit 140 The RF current It flows from the gas dispensing unit 120 . The RF current It flows to the chuck structure 130 via the plasma in the process chamber 120 and the RF current Iw flowing to the wall surface of the process chamber 110 via the plasma in the process chamber 110. It can be branched into RF current (Ic).

기판(S)은 척 구조체(130) 상에 안착되어 있기 때문에, 기판(S) 상에 막을 증착하거나 기판(S) 상의 막을 에칭할 때 공정 처리 효율을 높이기 위해서는 기판(S) 상으로 플라즈마 특성, 예컨대 플라즈마 밀도, 균일도, 형상 등을 제어할 필요가 있다. 이를 위해서, 공정 챔버(110)의 벽면으로 흐르는 RF 전류(Iw)와 척 구조체(130)로 흐르는 RF 전류(Ic)의 비를 제어할 필요가 있다. 두 RF 전류(Iw, Ic)의 합은 RF 전류(It)로 일정하기 때문에 RF 전류(Ic)를 조절하기 위해서는 척 구조체(130)에서 접지부로 이어지는 경로 상의 임피던스를 조절할 필요가 있고, 조절 회로부(160a, 160b)는 이러한 척 구조체(130)로부터 접지부에 이르는 경로 상의 임피던스를 조절하여 척 구조체(130)로 흐르는 RF 전류(Ic)를 제어할 수 있다. 구체적으로는, 제 1 전류 조절 회로부(160a)는 척 구조체(130)의 제 1 전극부(135a)로부터 접지부에 이르는 경로 상의 임피던스를 조절하여 제 1 전극부(135a)로 흐르는 RF 전류를 제어할 수 있으며, 제 2 전류 조절 회로부(160b)는 척 구조체(130)의 제 2 전극부(135b)로부터 접지부에 이르는 경로 상의 임피던스를 조절하여 제 2 전극부(135b)로 흐르는 RF 전류를 제어할 수 있다. Since the substrate S is seated on the chuck structure 130, plasma properties, For example, it is necessary to control plasma density, uniformity, shape, and the like. To this end, it is necessary to control the ratio of the RF current Iw flowing through the wall surface of the process chamber 110 and the RF current Ic flowing through the chuck structure 130 . Since the sum of the two RF currents Iw and Ic is constant as the RF current It, it is necessary to adjust the impedance on the path from the chuck structure 130 to the ground in order to adjust the RF current Ic, and the control circuit unit ( 160a and 160b may control the RF current Ic flowing through the chuck structure 130 by adjusting the impedance on the path from the chuck structure 130 to the ground. Specifically, the first current control circuit unit 160a controls the RF current flowing through the first electrode unit 135a by adjusting the impedance on the path from the first electrode unit 135a of the chuck structure 130 to the ground unit. The second current control circuit unit 160b controls the RF current flowing to the second electrode unit 135b by adjusting the impedance on the path from the second electrode unit 135b of the chuck structure 130 to the ground unit. can do.

예를 들어, 공정 챔버(110)의 벽면으로 흐르는 RF 전류(Iw)가 과도하게 커지면 플라즈마가 기판(S)의 주변부로 분산되어 플라즈마 균일도가 나빠져, 기판(S) 상의 증착 막의 균일도가 나빠지거나 또는 에칭 막의 균일도가 나빠질 수 있다. 하지만, 조절 회로부(160a, 160b)를 통해서 척 구조체(130) 또는 기판(S) 상의 플라즈마 밀도를 높여서 RF 전류(Ic)를 높이면, 증착 막의 균일도와 에칭 막의 균일도가 높아질 수 있다. 따라서, 조절 회로부(160a, 160b)를 제어하여, 척 구조체(130) 또는 기판(S) 상의 플라즈마 특성을 제어할 수 있다.For example, if the RF current Iw flowing through the wall of the process chamber 110 becomes excessively large, plasma is dispersed to the periphery of the substrate S, resulting in poor plasma uniformity, resulting in poor uniformity of the deposited film on the substrate S. The uniformity of the etching film may deteriorate. However, if the RF current Ic is increased by increasing the plasma density on the chuck structure 130 or the substrate S through the control circuit units 160a and 160b, the uniformity of the deposited film and the uniformity of the etched film may be increased. Accordingly, plasma characteristics on the chuck structure 130 or the substrate S may be controlled by controlling the control circuit units 160a and 160b.

도 3은 도 1의 기판 처리 장치에서 조절 회로부의 구성의 일 예를 개략적으로 보여주는 도면이다.FIG. 3 is a diagram schematically showing an example of a configuration of a control circuit unit in the substrate processing apparatus of FIG. 1 .

도 3을 참조하면, 조절 회로부(160)는 RF 필터(164, 166)를 포함할 수 있다. 플라즈마 전원 공급부(140) 내 전원이 제 1 RF 전원(142)과 제 2 RF 전원(144)을 포함하는 경우, 상기 RF 필터는 적어도 제 1 주파수 대역의 제 1 RF 전류(I1)를 통과시키기 위한 제 1 RF 필터(164)와 적어도 제 2 주파수 대역의 제 2 RF 전류(I2)를 통과시키기 위한 제 2 RF 필터(166)를 포함할 수 있다. RF 전류에는 제 1 주파수 대역과 제 2 주파수 대역의 RF 전류 외에 고조파(harmonics) 성분의 RF 전류가 포함될 수 있으므로, 제 1 RF 필터(164) 또는 제 2 RF 필터(166)는 제 1 주파수 대역과 제 2 주파수 대역 외에 이러한 고조파 성분의 RF 전류를 통과시킬 필요가 있다.Referring to FIG. 3 , the control circuit unit 160 may include RF filters 164 and 166 . When the power source in the plasma power supply unit 140 includes the first RF power source 142 and the second RF power source 144, the RF filter is configured to pass the first RF current I1 of at least a first frequency band. It may include a first RF filter 164 and a second RF filter 166 for passing a second RF current I2 of at least a second frequency band. Since the RF current may include the RF current of the harmonic component in addition to the RF current of the first frequency band and the second frequency band, the first RF filter 164 or the second RF filter 166 may include the RF current of the first frequency band and the second frequency band. It is necessary to pass the RF current of these harmonic components outside the second frequency band.

제 1 RF 필터(164)는 적어도 서로 병렬로 연결된 제 1 인덕터(L1) 및 제 1 커패시터(C1)를 포함할수 있다. 나아가, 제 1 RF 필터(164)는 제 1 인덕터(L1) 및 제 1 커패시터(C1)의 병렬 연결 구조와 접지부 사이에 직렬 연결된 제 3 커패시터(C3)를 더 포함할 수 있다. The first RF filter 164 may include at least a first inductor L1 and a first capacitor C1 connected in parallel with each other. Furthermore, the first RF filter 164 may further include a third capacitor C3 connected in series between the parallel connection structure of the first inductor L1 and the first capacitor C1 and the ground.

제 1 RF 필터(164)에 있어서, 제 2 주파수 대역(HF)보다 낮은 RF 전류(I11)는 제 1 인덕터(L1)를 통해서 접지부로 흐르고, 제 2 주파수 대역(HF)보다 높은 고조파 성분의 RF 전류(I12)는 제 1 커패시터(C1) 및 제 3 커패시터(C3)를 통해서 접지부로 흐를 수 있다.In the first RF filter 164, the RF current I11 lower than the second frequency band HF flows to the ground through the first inductor L1, and RF of higher harmonic components than the second frequency band HF The current I12 may flow to the ground through the first capacitor C1 and the third capacitor C3.

제 2 RF 필터(166)는 서로 직렬로 연결된 제 2 인덕터(L2) 및 제 2 커패시터(C2)를 포함할 수 있다. 예를 들어, 제 2 인덕터(L2)는 노드(n1, n2)에 접속되고, 제 2 커패시터(C2)는 제 2 인덕터(L2)와 접지부 사이에 직렬 접속될 수 있다. 제 2 RF 필터(166)는 제 2 주파수 대역(HF)의 제 2 RF 전류(I2)가 통과되도록 구성될 수 있다.The second RF filter 166 may include a second inductor L2 and a second capacitor C2 connected in series with each other. For example, the second inductor L2 may be connected to the nodes n1 and n2, and the second capacitor C2 may be connected in series between the second inductor L2 and the ground. The second RF filter 166 may be configured to pass the second RF current I2 of the second frequency band HF.

따라서, 전술한 실시예에 따르면, 고주파 전원과 저주파 전원의 듀얼 RF 전원에 대응하여, 조절 회로부(160) 내에 듀얼 RF 필터 구조를 구성하여, 공정 특성을 더욱 정밀하게 제어할 수 있다.Therefore, according to the above-described embodiment, a dual RF filter structure is configured in the control circuit unit 160 to correspond to the dual RF power sources of the high frequency power source and the low frequency power source, so that process characteristics can be more precisely controlled.

도 4는 도 1의 기판 처리 장치의 조절 회로부의 일부 구성을 이용하여 가변 커패시터를 조절하는 과정을 개요적으로 도해하는 도면이다. FIG. 4 is a diagram schematically illustrating a process of adjusting a variable capacitor using some configurations of a control circuit unit of the substrate processing apparatus of FIG. 1 .

도 3과 도 4를 함께 참조하면, 본 발명의 일 실시예에서, 제 2 커패시터(C2)는 제 2 RF 필터(166)의 임피던스를 조절할 수 있도록 가변 커패시터로 제공될 수도 있다. 부가적인 실시예에서, 제 2 RF 필터(166)로 흐르는 제 2 RF 전류(I2)의 양을 검출하기 위한 센서(165)가 조절 회로부(160)에 더 부가될 수도 있다. 이 경우, 센서(165)를 이용하여 제 2 RF 전류(I2)를 검출하고, 그 검출된 값을 기반으로 제 2 RF 전류(I2)가 원하는 값이 되도록 제 2 커패시터(C2)의 커패시턴스를 조절할 수도 있다.Referring to FIGS. 3 and 4 together, in one embodiment of the present invention, the second capacitor C2 may be provided as a variable capacitor to adjust the impedance of the second RF filter 166 . In an additional embodiment, a sensor 165 for detecting the amount of the second RF current I2 flowing to the second RF filter 166 may be further added to the control circuitry 160 . In this case, the second RF current I2 is detected using the sensor 165, and the capacitance of the second capacitor C2 is adjusted so that the second RF current I2 becomes a desired value based on the detected value. may be

나아가, 증착 또는 에칭 공정 완료 후 기판(S) 상의 막 특성, 예컨대 응력, 증착율, 맵 균일도(map uniformity) 등을 측정하여, 측정 결과에 따라서 원하는 막 특성을 얻도록 제 2 커패시터(C2)의 커패시턴스를 조절할 수도 있다.Furthermore, after the deposition or etching process is completed, film properties on the substrate S, such as stress, deposition rate, map uniformity, etc. are measured, and the capacitance of the second capacitor C2 is measured to obtain desired film properties according to the measurement results. can also be adjusted.

앞에서 설명한 것처럼, 상기 제 2 커패시터(C2)는 제 2 RF 필터(166)의 임피던스를 가변적으로 조절할 수 있도록 가변 커패시턴스일 수 있다. 가변 커패시터를 사용하는 경우, 커패시터(C2)가 고정된 값을 가지는 경우와 달리, 커패시턴스 resolution 확보가 용이하고 세밀한 공정 조절이 가능하여 공정변화에 유연하게 대처 가능하다.As described above, the second capacitor C2 may be a variable capacitance so that the impedance of the second RF filter 166 can be variably adjusted. When a variable capacitor is used, unlike the case where the capacitor C2 has a fixed value, it is easy to secure capacitance resolution and fine process control is possible, so it is possible to flexibly cope with process changes.

조절 회로부(160)는 제 2 RF 필터(166)로 흐르는 제 2 RF 전류의 양 또는 제 2 RF 필터(166)에 인가되는 전압의 크기를 검출하기 위한 센서(165) 및 센서(165)에서 검출된 값(V, I)을 기반으로 입출력단자(163)를 통하여 상기 가변 커패시턴스(C2)의 값을 설정할 수 있는 제어부(161)를 더 구비할 수 있다. 제어부(161)는 공정 상태 변화 시 대응이 가능하도록 센서(165)에 검출된 값을 기반으로 룩업 테이블(LOOKUP TABLE) 데이터를 이용하여 상기 가변 커패시터(C2)의 값을 설정할 수 있다. The control circuit unit 160 includes a sensor 165 for detecting the amount of the second RF current flowing through the second RF filter 166 or the magnitude of the voltage applied to the second RF filter 166, and detection by the sensor 165. A controller 161 capable of setting the value of the variable capacitance C2 through the input/output terminal 163 based on the values V and I may be further provided. The control unit 161 may set the value of the variable capacitor C2 by using LOOKUP TABLE data based on the value detected by the sensor 165 so as to respond to changes in the process state.

예시적으로, 가변 커패시턴스를 변경하는 과정은,센서(165)에서 제 2 RF 필터(166)로 흐르는 제 2 RF 전류의 양 또는 제 2 RF 필터(166)에 인가되는 전압의 크기를 검출하는 단계(S10), 센서(165)에서 검출된 값을 제어부(161)로 제공하는 단계; 상기 센서(165)에서 검출된 값을 기반으로 룩업 테이블 데이터를 이용하여 공정 상태 변화에 대응할 수 있는 조건을 매칭하는 단계(S30); 매칭된 조건에 대응하는 상기 가변 커패시터(C2)의 값을 변경하는 단계(S40);를 포함한다. Illustratively, the process of changing the variable capacitance may include detecting the amount of the second RF current flowing from the sensor 165 to the second RF filter 166 or the magnitude of the voltage applied to the second RF filter 166. (S10), providing the value detected by the sensor 165 to the control unit 161; Matching a condition that can correspond to a change in process state using lookup table data based on the value detected by the sensor 165 (S30); and changing the value of the variable capacitor C2 corresponding to the matched condition (S40).

상술한 내용을 반영하여, 다양한 실시예의 제어부(161)를 제공할 수 있다. Reflecting the above information, the control unit 161 of various embodiments may be provided.

일 예로, 제어부(161)는 상기 가변 커패시터(C2)의 커패시턴스 값과 박막의 응력 데이터의 관계에 대한 룩업 테이블 데이터를 이용하여 목표로 하는 박막의 응력에 대응하는 상기 가변 커패시터(C2)의 커패시턴스 값을 상기 제 1 전류 조절 회로부(160a) 및 상기 제 2 전류 조절 회로부(160b) 별로 각각 실시간으로 설정할 수 있다. For example, the control unit 161 determines the capacitance value of the variable capacitor C2 corresponding to the target stress of the thin film using lookup table data for the relationship between the capacitance value of the variable capacitor C2 and the stress data of the thin film. may be set in real time for each of the first current control circuit unit 160a and the second current control circuit unit 160b.

다른 예로, 제어부(161)는 상기 가변 커패시터(C2)의 커패시턴스 값과 박막의 증착율 데이터의 관계에 대한 룩업 테이블 데이터를 이용하여 목표로 하는 박막의 증착율 에 대응하는 상기 가변 커패시터(C2)의 커패시턴스 값을 상기 제 1 전류 조절 회로부(160a) 및 상기 제 2 전류 조절 회로부(160b) 별로 각각 실시간으로 설정할 수 있다.As another example, the controller 161 determines the capacitance value of the variable capacitor C2 corresponding to the target deposition rate of the thin film using lookup table data for the relationship between the capacitance value of the variable capacitor C2 and the deposition rate data of the thin film. may be set in real time for each of the first current control circuit unit 160a and the second current control circuit unit 160b.

또 다른 예로, 제어부(161)는 상기 가변 커패시터(C2)의 커패시턴스 값과 박막의 맵 균일도(map uniformity) 데이터의 관계에 대한 룩업 테이블 데이터를 이용하여 목표로 하는 박막의 맵 균일도(map uniformity)에 대응하는 상기 가변 커패시터(C2)의 커패시턴스 값을 상기 제 1 전류 조절 회로부(160a) 및 상기 제 2 전류 조절 회로부(160b) 별로 각각 실시간으로 설정할 수 있다.As another example, the control unit 161 determines the target map uniformity of the thin film using lookup table data for the relationship between the capacitance value of the variable capacitor C2 and the map uniformity data of the thin film. The corresponding capacitance value of the variable capacitor C2 may be set in real time for each of the first current control circuit unit 160a and the second current control circuit unit 160b.

그 밖에 또 다른 예로, 제어부(161)는 상기 가변 커패시터(C2)의 커패시턴스 값과 박막의 응력, 증착율 및 맵 균일도(map uniformity) 데이터의 관계에 대한 룩업 테이블 데이터를 이용하여 목표로 하는 박막의 응력, 증착율 및 맵 균일도(map uniformity)에 대응하는 상기 가변 커패시터(C2)의 커패시턴스 값을 상기 제 1 전류 조절 회로부(160a) 및 상기 제 2 전류 조절 회로부(160b) 별로 각각 실시간으로 설정할 수 있다.As another example, the control unit 161 determines the target stress of the thin film by using lookup table data for the relationship between the capacitance value of the variable capacitor C2 and the stress, deposition rate, and map uniformity data of the thin film. , the capacitance value of the variable capacitor C2 corresponding to the deposition rate and map uniformity may be set in real time for each of the first current control circuit unit 160a and the second current control circuit unit 160b.

한편, 변형된 예에서는, 상술한 가변 커패시터, 센서 및 제어부를 이용한 실시간 제어 방법이 제 1 RF 필터(164)에 적용될 수도 있다. 이 경우, 상기 가변 커패시터는 제 1 RF 필터(164)를 구성하는 제 1 커패시터(C1)일 수 있으며, 상기 센서는 제 1 RF 필터(164)로 흐르는 제 1 RF 전류의 양 또는 제 1 RF 필터(164)에 인가되는 전압의 크기를 검출할 수 있으며, 상기 제어부는 센서에서 검출된 값(V, I)을 기반으로 상기 가변 커패시턴스(C1)의 값을 실시간으로 설정할 수 있다. 제어부가 룩업 테이블 데이터를 이용하여 상기 가변 커패시턴스(C1)의 값을 설정하는 구성은 앞에서 설명한 내용으로 대체한다. Meanwhile, in a modified example, the above-described real-time control method using the variable capacitor, sensor, and controller may be applied to the first RF filter 164 . In this case, the variable capacitor may be the first capacitor C1 constituting the first RF filter 164, and the sensor may determine the amount of the first RF current flowing to the first RF filter 164 or the first RF filter The magnitude of the voltage applied to 164 can be detected, and the controller can set the value of the variable capacitance C1 in real time based on the values V and I detected by the sensor. The configuration in which the control unit sets the value of the variable capacitance C1 using lookup table data is replaced with the above-described configuration.

도 5는 본 발명의 변형된 일 실시예에 따른 기판 처리 장치를 개략적으로 보여주는 도면이다. 5 is a view schematically showing a substrate processing apparatus according to a modified embodiment of the present invention.

도 5에 개시된 기판처리장치(100)는, 도 1과 달리, 정전력 전원 공급부(150)를 더 포함할 수 있다. 척 구조체(130)는 기판(S)에 정전기력을 인가하여 그 상부에 고정하기 위해서 제 1 전극부(135a) 및 제 2 전극부(135b)로 이루어진 정전 전극(135)을 포함할 수 있다. 정전력 전원 공급부(150)는 정전 전극(135)에 DC 전력을 공급하도록 DC 전원(152)을 포함할 수 있다. 예를 들어, DC 전원(152)은 그 일단이 접지부에 연결되고, 타단이 노드(n1, n2)를 거쳐서 정전 전극(135)에 전기적으로 연결되도록 설치될 수 있다.Unlike FIG. 1 , the substrate processing apparatus 100 disclosed in FIG. 5 may further include a constant power power supply unit 150 . The chuck structure 130 may include an electrostatic electrode 135 composed of a first electrode part 135a and a second electrode part 135b in order to apply electrostatic force to the substrate S and fix it thereon. The constant power power supply 150 may include a DC power source 152 to supply DC power to the electrostatic electrode 135 . For example, the DC power source 152 may be installed so that one end thereof is connected to the ground and the other end is electrically connected to the electrostatic electrode 135 via the nodes n1 and n2.

부가적으로, 정전력 전원 공급부(150)와 조절 회로부(160)가 노드(n1, n2)를 공유하여 병렬적으로 정전 전극(135)에 연결되는 경우, 정전력 전원 공급부(150)는 정전 전극(135)을 통한 RF 전류가 DC 전원(152)으로 인입되는 것을 차단하기 위해 정전 전극(135) 및 DC 전원(152) 사이에 배치된 DC 필터(155)를 포함할 수 있다. 예를 들어, DC 필터(155)는 노드(n1, n2)와 DC 전원(152) 사이에 직렬 연결될 수 있다. 선택적으로, DC 필터(155)와 노드(n1, n2) 사이에 저항이 부가될 수 있다. DC 필터(155)는 RF 전류는 차단하면서 DC 전류는 통과시키도록 다양한 형태로 구성될 수 있다.Additionally, when the constant power power supply 150 and the control circuit 160 share nodes n1 and n2 and are connected to the electrostatic electrode 135 in parallel, the constant power power supply 150 is the electrostatic electrode A DC filter 155 disposed between the electrostatic electrode 135 and the DC power supply 152 may be included to block RF current through 135 from entering the DC power supply 152 . For example, DC filter 155 may be connected in series between nodes n1 and n2 and DC power supply 152 . Optionally, a resistor may be added between DC filter 155 and nodes n1 and n2. The DC filter 155 may be configured in various forms to pass DC current while blocking RF current.

한편, 조절 회로부(160)는 정전 전극(135) 및 접지부 사이에 정전력 전원 공급부(150)와 병렬로 연결될 수 있다. 예컨대, 조절 회로부(160)와 정전력 전원 공급부(150)는 노드(n1, n2)에서 서로 접속되어 정전 전극(135)으로 전기적으로 연결될 수 있다. 이와 같이 공유 구조로 연결하면, 정전 전극(135)에 연결되는 배선 구조를 단순화시킬 수 있어서, 정전 전극(135)의 부피를 줄일 수 있게 된다.Meanwhile, the control circuit unit 160 may be connected in parallel with the constant power power supply unit 150 between the electrostatic electrode 135 and the ground. For example, the control circuit unit 160 and the constant power supply unit 150 may be connected to each other at nodes n1 and n2 and electrically connected to the electrostatic electrode 135 . When connected in a shared structure in this way, the wiring structure connected to the electrostatic electrode 135 can be simplified, and thus the volume of the electrostatic electrode 135 can be reduced.

이와 같은 병렬 연결 구조에서, RF 전원(142, 144)에 의해서 생성되어 정전 전극(135)을 통해서 흐르는 RF 전류는 조절 회로부(160)를 통해서 통과되고 정전력 전원 공급부(150)로부터 노드(n1, n2)를 통해서 조절 회로부(160)로 인입되는 DC 전류는 차단할 필요가 있다. 이를 위해서, 조절 회로부(160)는 RF 전류를 통과시키기 위한 적어도 하나의 RF 필터와 DC 전류를 차단하기 위한 적어도 하나의 DC 차단 소자를 포함할 수 있다. DC 차단 소자는 RF 필터와 별도로 제공될 수 있을 뿐만 아니라, RF 필터 내의 일부 소자를 포함할 수도 있다.In this parallel connection structure, the RF current generated by the RF power supplies 142 and 144 and flowing through the electrostatic electrode 135 is passed through the control circuit unit 160 and is passed from the constant power power supply unit 150 to the node n1, The DC current flowing into the control circuit unit 160 through n2) needs to be blocked. To this end, the control circuit unit 160 may include at least one RF filter for passing RF current and at least one DC blocking element for blocking DC current. The DC blocking element may be provided separately from the RF filter, or may include some elements within the RF filter.

예를 들어, 도 3을 참조하면, 조절 회로부(160)는 RF 필터(164, 166)와 DC 차단 소자(168)를 포함할 수 있다. 제 1 RF 필터(164)가 제 1 주파수 대역(저주파, LF)의 RF 전류를 통과시키는 경우, DC 차단 소자(168)는 제 1 RF 필터(164)로 DC 전류가 흐르는 것을 차단하도록 노드(n1, n2)와 제 1 RF 필터(164) 사이에 직렬 연결될 수 있다. 제 2 RF 필터(166)가 제 2 주파수 대역(고주파, HF)의 RF 전류를 통과시키고 저주파 대역을 차단하도록 구성되는 경우, 제 2 RF 필터(166)가 DC 전류를 차단할 수 있어서 제 2 RF 필터(166)에는 별도로 DC 차단 소자의 연결을 생략할 수 있다. 이 경우, 제 2 RF 필터(166)가 DC 차단 소자를 내재하는 것으로 해석할 수도 있다.For example, referring to FIG. 3 , the control circuit unit 160 may include RF filters 164 and 166 and a DC blocking element 168 . When the first RF filter 164 passes the RF current of the first frequency band (low frequency, LF), the DC blocking element 168 blocks the DC current from flowing to the first RF filter 164. Node n1 , n2) and the first RF filter 164 may be connected in series. When the second RF filter 166 is configured to pass the RF current of the second frequency band (high frequency, HF) and block the low frequency band, the second RF filter 166 can block the DC current, so that the second RF filter 166, the connection of the DC blocking element can be omitted. In this case, it can also be interpreted that the second RF filter 166 has a DC blocking element.

예를 들어, 제 1 RF 필터(164)는 제 1 주파수 대역(저주파, LF)과 고조파 성분의 제 1 RF 전류(I1)를 통과시키는 대역 저지 필터(band rejection filter, BRF)를 포함하고, 제 2 RF 필터(166)는 제 2 주파수 대역(고주파, HF)의 제 2 RF 전류(I2)를 통과시키면서 DC 전류를 차단하는 대역 통과 필터(band pass filter, BPF)를 포함할 수 있다. 이러한 대역 저지 필터(BRF)는 특정 밴드 만을 저지하고 나머지 성분을 모두 통과시킨다는 점에서 노치 필터(notch filter)로 불릴 수도 있다. 예를 들어, 제 1 RF 필터는 제 2 주파수 대역(HF)은 저지하고 나머지를 통과시키는 대역 저지 필터로 구성될 수 있다. 한편, 제 1 RF 필터(164)는 제 2 주파수 대역(HF)보다 낮은 주파수 대역과 제 2 주파수 대역(HF)보다 높은 대역을 통과시킨 다는 점에서 이중 대역 통과 필터로 불릴 수도 있다.For example, the first RF filter 164 includes a band rejection filter (BRF) that passes the first RF current I1 of the first frequency band (low frequency, LF) and the harmonic component, and The 2 RF filter 166 may include a band pass filter (BPF) that blocks DC current while passing the second RF current I2 of the second frequency band (high frequency, HF). Such a band reject filter (BRF) may be called a notch filter in that it rejects only a specific band and passes all other components. For example, the first RF filter may be configured as a band reject filter that rejects a second frequency band (HF) and passes the rest. Meanwhile, the first RF filter 164 may be called a dual band pass filter in that it passes a frequency band lower than the second frequency band (HF) and a band higher than the second frequency band (HF).

한편, 척 구조체(130)는 기판(S)을 가열하기 위한 히터(137)를 포함할 수 있다. 히터 전원부(180)는 히터(137)에 AC 전력을 인가하도록 히터(137)에 연결될 수 있다. 나아가, 제 3 RF 필터(185)는 히터 전원부(180)와 히터(137) 사이에 연결되어, 히터 전원부(180)의 AC 전력과 히터(137) 사이의 임피던스 매칭 기능을 수행할 수 있다.Meanwhile, the chuck structure 130 may include a heater 137 for heating the substrate S. The heater power supply unit 180 may be connected to the heater 137 to apply AC power to the heater 137 . Furthermore, the third RF filter 185 may be connected between the heater power supply unit 180 and the heater 137 to perform an impedance matching function between AC power of the heater power supply unit 180 and the heater 137 .

이와 같이, 전술한 실시예들에서는 조절 회로부(160)를 통해서 척 구조체(130) 상의 또는 기판(S) 상의 플라즈마 분위기 또는 플라즈마 특성을 제어함으로써 공정 효율(예컨대, 증착 속도, 에칭 속도)을 높이거나 공정 균일도(예컨대, 증착 균일도, 에칭 균일도)를 높일 수 있다. 또한, 정전력 전원 공급부(150)와 조절 회로부(160)를 노드(n1, n2)에서 병렬로 연결하여 정전 전극(135)에 하나의 배선으로 연결함으로써 척 구조체(130) 단의 배선 구조를 간단하게 하면서도, RF 전류와 DC 전류가 서로 간섭되지 않도록 회로를 구성할 수 있게 된다.As described above, in the above-described embodiments, by controlling the plasma atmosphere or plasma characteristics on the chuck structure 130 or on the substrate S through the control circuit unit 160, process efficiency (eg, deposition rate, etching rate) is increased or Process uniformity (eg, deposition uniformity, etching uniformity) can be improved. In addition, the wiring structure of the stage of the chuck structure 130 is simplified by connecting the constant power power supply unit 150 and the control circuit unit 160 in parallel at the nodes n1 and n2 and connecting them to the electrostatic electrode 135 with a single wire. While doing so, it is possible to configure a circuit so that the RF current and the DC current do not interfere with each other.

지금까지 본 발명의 기술적 사상을 반영한 기판 처리 장치에 대하여 설명하였다. 2개 이상의 다중 메쉬 히터(Mesh Heater)용 임피던스 매칭 시스템을 제공하는 본 발명의 기판 처리 장치에 의하면, 1개의 메쉬 히터용 임피던스 매칭 시스템을 제공하는 기판 처리 장치에 비교하여, i) 2개 이상의 그라운드 라인(ground line) 각각의 임피던스 최적화를 통해 RF 전달 효율을 향상시킬 수 있으며, ii) 2개 이상의 그라운드 라인 각각의 임피던스 가변을 통한 공정 결과(박막의 두께, 응력)를 튜닝(Tuning)하는 것이 가능하며, iii) 2개 이상의 그라운드 라인 각각의 임피던스 가변을 통한 웨이퍼 맵 컨트롤(Wafer Map Control)이 가능하다.So far, a substrate processing apparatus reflecting the technical idea of the present invention has been described. According to the substrate processing apparatus of the present invention providing an impedance matching system for two or more multi-mesh heaters, compared to a substrate processing apparatus providing an impedance matching system for one mesh heater, i) two or more grounds RF transmission efficiency can be improved through impedance optimization of each ground line, and ii) process results (thickness and stress) can be tuned through impedance variation of each of two or more ground lines. and iii) Wafer Map Control is possible by varying the impedance of each of two or more ground lines.

다중 그라운드 라인에 연결된 임피던스 매칭 시스템 각각에서 가변 커패시터의 커패시턴스 값 스플릿(split) 테스트를 통해 전류 최대 흐름 위치를 찾는다. RF 전달 효율 최대 지점에서 증착율이 최대가 될 수 있다. 이로써 2개 이상의 그라운드 라인(ground line) 각각의 임피던스 최적화를 통해 RF 전달 효율을 향상시킬 수 있다. In each impedance matching system connected to multiple ground lines, the location of the maximum current flow is found through a split test of the capacitance value of the variable capacitor. The deposition rate may be maximized at the point where the RF transfer efficiency is maximized. Accordingly, RF transfer efficiency may be improved through impedance optimization of each of two or more ground lines.

다중 그라운드 라인에 연결된 임피던스 매칭 시스템 각각에서 가변 커패시터의 커패시턴스 값을 증가 혹은 감소시켜 원하는 공정 영역으로의 튜닝이 가능하다. 예를 들어, 박막의 두께를 낮추고 응력을 증대하고자 하는 경우, 제 1 전류 조절 회로부(160a)의 가변 커패시턴스 값과 제 2 전류 조절 회로부(160b)의 가변 커패시턴스 값을 모두 증가시키거나, 제 2 전류 조절 회로부(160b)의 가변 커패시턴스 값을 유지한 상태에서 제 1 전류 조절 회로부(160a)의 가변 커패시턴스 값을 증가시키거나, 제 1 전류 조절 회로부(160a)의 가변 커패시턴스 값을 유지한 상태에서 제 2 전류 조절 회로부(160b)의 가변 커패시턴스 값을 증가시킬 수 있다. 반대로, 박막의 두께를 높이고 응력을 감소시키고자 하는 경우, 제 1 전류 조절 회로부(160a)의 가변 커패시턴스 값과 제 2 전류 조절 회로부(160b)의 가변 커패시턴스 값을 모두 감소시키거나, 제 2 전류 조절 회로부(160b)의 가변 커패시턴스 값을 유지한 상태에서 제 1 전류 조절 회로부(160a)의 가변 커패시턴스 값을 감소시키거나, 제 1 전류 조절 회로부(160a)의 가변 커패시턴스 값을 유지한 상태에서 제 2 전류 조절 회로부(160b)의 가변 커패시턴스 값을 감소시킬 수 있다. 이로써, 2개 이상의 그라운드 라인 각각의 임피던스 가변을 통한 공정 결과(박막의 두께, 응력)를 튜닝(Tuning)하는 것이 가능하다. Tuning to a desired process region is possible by increasing or decreasing the capacitance value of the variable capacitor in each of the impedance matching systems connected to the multiple ground lines. For example, when reducing the thickness of the thin film and increasing the stress, both the variable capacitance value of the first current control circuit 160a and the variable capacitance value of the second current control circuit 160b are increased, or the second current The variable capacitance value of the first current control circuit part 160a is increased while the variable capacitance value of the control circuit part 160b is maintained, or the variable capacitance value of the first current control circuit part 160a is maintained while the variable capacitance value of the first current control circuit part 160a is maintained. A variable capacitance value of the current control circuit unit 160b may be increased. Conversely, when the thickness of the thin film is increased and the stress is reduced, both the variable capacitance value of the first current control circuit unit 160a and the variable capacitance value of the second current control circuit unit 160b are reduced, or the second current control circuit unit 160b is used. The variable capacitance value of the first current regulating circuit 160a is reduced while the variable capacitance value of the circuit 160b is maintained, or the second current regulating circuit 160a is maintained while the variable capacitance value is maintained. The variable capacitance value of the control circuit unit 160b may be reduced. Accordingly, it is possible to tune process results (thickness and stress of a thin film) by varying the impedance of each of the two or more ground lines.

다중 그라운드 라인에 연결된 임피던스 매칭 시스템 각각에서 가변 커패시터의 커패시턴스 값을 증가 혹은 감소시켜 원하는 웨이퍼 맵으로의 튜닝이 가능하다. 예를 들어, 웨이퍼 센터부의 박막 두께를 높이고 에지부의 박막 두께를 줄이고 싶을 경우 또는 웨이퍼 센터부의 박막 응력을 낮추고 에지부의 박막 응력을 높이고 싶을 경우, 제 1 전류 조절 회로부(160a)의 가변 커패시턴스 값을 감소시키고 제 2 전류 조절 회로부(160b)의 가변 커패시턴스 값을 증가시킬 수 있다. 혹은, 제 2 전류 조절 회로부(160b)의 가변 커패시턴스 값을 유지한 상태에서 제 1 전류 조절 회로부(160a)의 가변 커패시턴스 값을 감소시킬 수 있다. 혹은, 제 1 전류 조절 회로부(160a)의 가변 커패시턴스 값을 유지한 상태에서 제 2 전류 조절 회로부(160b)의 가변 커패시턴스 값을 증가시킬 수 있다. 또한, 다른 예를 들어, 웨이퍼 센터부의 박막 두께를 낮추고 에지부의 박막 두께를 높이고 싶을 경우 또는 웨이퍼 센터부의 박막 응력을 높이고 에지부의 박막 응력을 낮추고 싶을 경우, 제 1 전류 조절 회로부(160a)의 가변 커패시턴스 값을 증가시키고 제 2 전류 조절 회로부(160b)의 가변 커패시턴스 값을 감소시킬 수 있다. 혹은, 제 2 전류 조절 회로부(160b)의 가변 커패시턴스 값을 유지한 상태에서 제 1 전류 조절 회로부(160a)의 가변 커패시턴스 값을 증가시킬 수 있다. 혹은, 제 1 전류 조절 회로부(160a)의 가변 커패시턴스 값을 유지한 상태에서 제 2 전류 조절 회로부(160b)의 가변 커패시턴스 값을 감소시킬 수 있다.Tuning to a desired wafer map is possible by increasing or decreasing the capacitance value of the variable capacitor in each of the impedance matching systems connected to the multiple ground lines. For example, when it is desired to increase the thickness of the thin film at the center of the wafer and reduce the thickness of the thin film at the edge, or to decrease the stress of the thin film at the center of the wafer and increase the stress at the edge, the variable capacitance value of the first current control circuit 160a is reduced. and increase the variable capacitance value of the second current control circuit unit 160b. Alternatively, the variable capacitance value of the first current control circuit unit 160a may be reduced while maintaining the variable capacitance value of the second current control circuit unit 160b. Alternatively, the variable capacitance value of the second current control circuit unit 160b may be increased while maintaining the variable capacitance value of the first current control circuit unit 160a. In addition, for another example, when it is desired to decrease the thin film thickness of the wafer center portion and increase the thin film thickness of the edge portion, or to increase the thin film thickness of the wafer center portion and decrease the thin film stress of the edge portion, the variable capacitance of the first current control circuit unit 160a It is possible to increase the value and decrease the variable capacitance value of the second current control circuit unit 160b. Alternatively, the variable capacitance value of the first current control circuit unit 160a may be increased while maintaining the variable capacitance value of the second current control circuit unit 160b. Alternatively, the variable capacitance value of the second current control circuit unit 160b may be reduced while maintaining the variable capacitance value of the first current control circuit unit 160a.

본 발명은 도면에 도시된 실시예를 참고로 설명되었으나 이는 예시적인 것에 불과하며, 당해 기술분야에서 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 다른 실시예가 가능하다는 점을 이해할 것이다. 따라서 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위의 기술적 사상에 의하여 정해져야 할 것이다.Although the present invention has been described with reference to the embodiments shown in the drawings, this is merely exemplary, and those skilled in the art will understand that various modifications and equivalent other embodiments are possible therefrom. Therefore, the true technical protection scope of the present invention should be determined by the technical spirit of the appended claims.

Claims (13)

내부에 박막을 처리하기 위한 처리 공간을 한정하는 공정 챔버;
상기 공정 챔버에 설치되고, 상기 처리 공간으로 공정 가스를 공급하는 가스 분사부;
상기 공정 챔버 내부로 플라즈마 분위기를 형성하기 위하여 제 1 플라즈마 전극인 상기 가스 분사부에 적어도 하나의 RF 전력을 인가하도록 적어도 하나의 RF 전원을 포함하는 플라즈마 전원 공급부;
상기 적어도 하나의 RF 전원과 상기 공정 챔버 사이의 임피던스 매칭을 위하여, 상기 플라즈마 전원 공급부 및 상기 가스 분사부 사이에 연결된 임피던스 매칭부;
상기 공정 챔버에 상기 제 1 플라즈마 전극인 상기 가스 분사부와 대향 설치되는 제 2 플라즈마 전극을 포함하여 구성되되, 상기 제 2 플라즈마 전극은 제 1 영역에 배치된 제 1 전극부 및 상기 제 1 영역과 이격된 제 2 영역에 배치된 제 2 전극부를 구비하는, 척(chuck) 구조체; 및
상기 가스 분사부 및 상기 척 구조체 사이의 플라즈마 분위기를 제어하기 위해, 접지부와 상기 제 2 플라즈마 전극 사이에 연결되되, 상기 제 2 플라즈마 전극으로부터 접지부에 이르는 경로 상의 임피던스를 조절하도록 상기 제 2 플라즈마 전극으로 흐르는 RF 전류를 제어하도록 구성된 조절 회로부;를 포함하되,
상기 조절 회로부는, 접지부와 상기 제 1 전극부 사이에 연결되어 상기 제 1 전극부로부터 접지부에 이르는 경로 상의 제 1 임피던스를 가변적으로 조절하는 제 1 전류 조절 회로부와, 접지부와 상기 제 2 전극부 사이에 연결되어 상기 제 2 전극부로부터 접지부에 이르는 경로 상의 제 2 임피던스를 가변적으로 조절하는 제 2 전류 조절 회로부를 구비하며,
상기 제 1 전류 조절 회로부 및 상기 제 2 전류 조절 회로부는 상기 적어도 하나의 RF 전원에 의해서 생성되어 상기 척 구조체를 통해서 흐르는 적어도 하나의 RF 전류를 통과시키기 위한 적어도 하나의 RF 필터를 각각 구비하되,
상기 RF 필터는 상기 RF 필터의 임피던스를 가변적으로 조절할 수 있도록 구성된 적어도 하나의 가변 커패시터를 구비하며,
상기 조절 회로부는 상기 가변 커패시터의 커패시턴스 값과 박막의 응력 데이터의 관계에 대한 룩업 테이블 데이터를 이용하여 목표로 하는 박막의 응력에 대응하는 상기 가변 커패시터의 커패시턴스 값을 상기 제 1 전류 조절 회로부 및 상기 제 2 전류 조절 회로부 별로 각각 설정할 수 있는 제어부를 더 구비하는,
기판 처리 장치.
A process chamber defining a processing space for processing a thin film therein;
a gas injection unit installed in the process chamber and supplying a process gas to the process space;
a plasma power supply including at least one RF power supply to apply at least one RF power to the gas dispensing part, which is a first plasma electrode, to form a plasma atmosphere into the process chamber;
an impedance matching unit connected between the plasma power supply unit and the gas dispensing unit for impedance matching between the at least one RF power source and the process chamber;
It is configured to include a second plasma electrode installed in the process chamber facing the gas spraying part that is the first plasma electrode, wherein the second plasma electrode has a first electrode part disposed in a first region and a first region a chuck structure having a second electrode part disposed in a spaced apart second region; and
In order to control the plasma atmosphere between the gas ejection part and the chuck structure, the second plasma is connected between the ground part and the second plasma electrode to adjust an impedance on a path from the second plasma electrode to the ground part. Adjustment circuitry configured to control the RF current flowing to the electrodes;
The control circuit unit includes a first current control circuit unit connected between the ground unit and the first electrode unit and variably adjusting a first impedance on a path from the first electrode unit to the ground unit; A second current control circuit unit connected between the electrode units and variably adjusting a second impedance on a path from the second electrode unit to the ground unit,
The first current regulating circuit part and the second current regulating circuit part each include at least one RF filter for passing at least one RF current generated by the at least one RF power source and flowing through the chuck structure,
The RF filter includes at least one variable capacitor configured to variably adjust an impedance of the RF filter,
The adjusting circuit unit determines the capacitance value of the variable capacitor corresponding to the target stress of the thin film using lookup table data for a relationship between the capacitance value of the variable capacitor and the stress data of the thin film, and the first current adjusting circuit unit and the first current adjusting circuit unit. 2 further comprising a control unit that can be set for each current control circuit unit,
Substrate processing device.
제 1 항에 있어서,
상기 제 1 영역은 상기 척 구조체의 센터 영역이며, 상기 제 2 영역은 상기 센터 영역을 둘러싸는 에지 영역인 것을 특징으로 하는,
기판 처리 장치.
According to claim 1,
The first region is a center region of the chuck structure, and the second region is an edge region surrounding the center region.
Substrate processing device.
삭제delete 삭제delete 내부에 박막을 처리하기 위한 처리 공간을 한정하는 공정 챔버;
상기 공정 챔버에 설치되고, 상기 처리 공간으로 공정 가스를 공급하는 가스 분사부;
상기 공정 챔버 내부로 플라즈마 분위기를 형성하기 위하여 제 1 플라즈마 전극인 상기 가스 분사부에 적어도 하나의 RF 전력을 인가하도록 적어도 하나의 RF 전원을 포함하는 플라즈마 전원 공급부;
상기 적어도 하나의 RF 전원과 상기 공정 챔버 사이의 임피던스 매칭을 위하여, 상기 플라즈마 전원 공급부 및 상기 가스 분사부 사이에 연결된 임피던스 매칭부;
상기 공정 챔버에 상기 제 1 플라즈마 전극인 상기 가스 분사부와 대향 설치되는 제 2 플라즈마 전극을 포함하여 구성되되, 상기 제 2 플라즈마 전극은 제 1 영역에 배치된 제 1 전극부 및 상기 제 1 영역과 이격된 제 2 영역에 배치된 제 2 전극부를 구비하는, 척(chuck) 구조체; 및
상기 가스 분사부 및 상기 척 구조체 사이의 플라즈마 분위기를 제어하기 위해, 접지부와 상기 제 2 플라즈마 전극 사이에 연결되되, 상기 제 2 플라즈마 전극으로부터 접지부에 이르는 경로 상의 임피던스를 조절하도록 상기 제 2 플라즈마 전극으로 흐르는 RF 전류를 제어하도록 구성된 조절 회로부;를 포함하되,
상기 조절 회로부는, 접지부와 상기 제 1 전극부 사이에 연결되어 상기 제 1 전극부로부터 접지부에 이르는 경로 상의 제 1 임피던스를 가변적으로 조절하는 제 1 전류 조절 회로부와, 접지부와 상기 제 2 전극부 사이에 연결되어 상기 제 2 전극부로부터 접지부에 이르는 경로 상의 제 2 임피던스를 가변적으로 조절하는 제 2 전류 조절 회로부를 구비하며,
상기 제 1 전류 조절 회로부 및 상기 제 2 전류 조절 회로부는 상기 적어도 하나의 RF 전원에 의해서 생성되어 상기 척 구조체를 통해서 흐르는 적어도 하나의 RF 전류를 통과시키기 위한 적어도 하나의 RF 필터를 각각 구비하되,
상기 RF 필터는 상기 RF 필터의 임피던스를 가변적으로 조절할 수 있도록 구성된 적어도 하나의 가변 커패시터를 구비하며,
상기 조절 회로부는 상기 가변 커패시터의 커패시턴스 값과 박막의 증착율 데이터의 관계에 대한 룩업 테이블 데이터를 이용하여 목표로 하는 박막의 증착율에 대응하는 상기 가변 커패시터의 커패시턴스 값을 상기 제 1 전류 조절 회로부 및 상기 제 2 전류 조절 회로부 별로 각각 설정할 수 있는 제어부를 더 구비하는,
기판 처리 장치.
A process chamber defining a processing space for processing a thin film therein;
a gas injection unit installed in the process chamber and supplying a process gas to the process space;
a plasma power supply including at least one RF power supply to apply at least one RF power to the gas dispensing part, which is a first plasma electrode, to form a plasma atmosphere into the process chamber;
an impedance matching unit connected between the plasma power supply unit and the gas dispensing unit for impedance matching between the at least one RF power source and the process chamber;
It is configured to include a second plasma electrode installed in the process chamber facing the gas spraying part that is the first plasma electrode, wherein the second plasma electrode has a first electrode part disposed in a first region and a first region a chuck structure having a second electrode part disposed in a spaced apart second region; and
In order to control the plasma atmosphere between the gas ejection part and the chuck structure, the second plasma is connected between the ground part and the second plasma electrode to adjust an impedance on a path from the second plasma electrode to the ground part. Adjustment circuitry configured to control the RF current flowing to the electrodes;
The control circuit unit includes a first current control circuit unit connected between the ground unit and the first electrode unit and variably adjusting a first impedance on a path from the first electrode unit to the ground unit; A second current control circuit unit connected between the electrode units and variably adjusting a second impedance on a path from the second electrode unit to the ground unit,
The first current regulating circuit part and the second current regulating circuit part each include at least one RF filter for passing at least one RF current generated by the at least one RF power source and flowing through the chuck structure,
The RF filter includes at least one variable capacitor configured to variably adjust an impedance of the RF filter,
The control circuit unit determines the capacitance value of the variable capacitor corresponding to the target deposition rate of the thin film using lookup table data for a relationship between the capacitance value of the variable capacitor and the deposition rate data of the thin film, and the first current control circuit unit and the first current control circuit unit. 2 further comprising a control unit that can be set for each current control circuit unit,
Substrate processing device.
내부에 박막을 처리하기 위한 처리 공간을 한정하는 공정 챔버;
상기 공정 챔버에 설치되고, 상기 처리 공간으로 공정 가스를 공급하는 가스 분사부;
상기 공정 챔버 내부로 플라즈마 분위기를 형성하기 위하여 제 1 플라즈마 전극인 상기 가스 분사부에 적어도 하나의 RF 전력을 인가하도록 적어도 하나의 RF 전원을 포함하는 플라즈마 전원 공급부;
상기 적어도 하나의 RF 전원과 상기 공정 챔버 사이의 임피던스 매칭을 위하여, 상기 플라즈마 전원 공급부 및 상기 가스 분사부 사이에 연결된 임피던스 매칭부;
상기 공정 챔버에 상기 제 1 플라즈마 전극인 상기 가스 분사부와 대향 설치되는 제 2 플라즈마 전극을 포함하여 구성되되, 상기 제 2 플라즈마 전극은 제 1 영역에 배치된 제 1 전극부 및 상기 제 1 영역과 이격된 제 2 영역에 배치된 제 2 전극부를 구비하는, 척(chuck) 구조체; 및
상기 가스 분사부 및 상기 척 구조체 사이의 플라즈마 분위기를 제어하기 위해, 접지부와 상기 제 2 플라즈마 전극 사이에 연결되되, 상기 제 2 플라즈마 전극으로부터 접지부에 이르는 경로 상의 임피던스를 조절하도록 상기 제 2 플라즈마 전극으로 흐르는 RF 전류를 제어하도록 구성된 조절 회로부;를 포함하되,
상기 조절 회로부는, 접지부와 상기 제 1 전극부 사이에 연결되어 상기 제 1 전극부로부터 접지부에 이르는 경로 상의 제 1 임피던스를 가변적으로 조절하는 제 1 전류 조절 회로부와, 접지부와 상기 제 2 전극부 사이에 연결되어 상기 제 2 전극부로부터 접지부에 이르는 경로 상의 제 2 임피던스를 가변적으로 조절하는 제 2 전류 조절 회로부를 구비하며,
상기 제 1 전류 조절 회로부 및 상기 제 2 전류 조절 회로부는 상기 적어도 하나의 RF 전원에 의해서 생성되어 상기 척 구조체를 통해서 흐르는 적어도 하나의 RF 전류를 통과시키기 위한 적어도 하나의 RF 필터를 각각 구비하되,
상기 RF 필터는 상기 RF 필터의 임피던스를 가변적으로 조절할 수 있도록 구성된 적어도 하나의 가변 커패시터를 구비하며,
상기 조절 회로부는 상기 가변 커패시터의 커패시턴스 값과 박막의 맵 균일도(map uniformity) 데이터의 관계에 대한 룩업 테이블 데이터를 이용하여 목표로 하는 박막의 맵 균일도에 대응하는 상기 가변 커패시터의 커패시턴스 값을 상기 제 1 전류 조절 회로부 및 상기 제 2 전류 조절 회로부 별로 각각 설정할 수 있는 제어부를 더 구비하는,
기판 처리 장치.
A process chamber defining a processing space for processing a thin film therein;
a gas injection unit installed in the process chamber and supplying a process gas to the process space;
a plasma power supply including at least one RF power supply to apply at least one RF power to the gas dispensing part, which is a first plasma electrode, to form a plasma atmosphere into the process chamber;
an impedance matching unit connected between the plasma power supply unit and the gas dispensing unit for impedance matching between the at least one RF power source and the process chamber;
It is configured to include a second plasma electrode installed in the process chamber facing the gas spraying part that is the first plasma electrode, wherein the second plasma electrode has a first electrode part disposed in a first region and a first region a chuck structure having a second electrode part disposed in a spaced apart second region; and
In order to control the plasma atmosphere between the gas ejection part and the chuck structure, the second plasma is connected between the ground part and the second plasma electrode to adjust an impedance on a path from the second plasma electrode to the ground part. Adjustment circuitry configured to control the RF current flowing to the electrodes;
The control circuit unit includes a first current control circuit unit connected between the ground unit and the first electrode unit and variably adjusting a first impedance on a path from the first electrode unit to the ground unit; A second current control circuit unit connected between the electrode units and variably adjusting a second impedance on a path from the second electrode unit to the ground unit,
The first current regulating circuit part and the second current regulating circuit part each include at least one RF filter for passing at least one RF current generated by the at least one RF power source and flowing through the chuck structure,
The RF filter includes at least one variable capacitor configured to variably adjust an impedance of the RF filter,
The adjusting circuit unit determines the capacitance value of the variable capacitor corresponding to the target map uniformity of the thin film by using lookup table data for a relationship between the capacitance value of the variable capacitor and the map uniformity data of the thin film. Further comprising a control unit that can be set for each current control circuit unit and the second current control circuit unit,
Substrate processing device.
내부에 박막을 처리하기 위한 처리 공간을 한정하는 공정 챔버;
상기 공정 챔버에 설치되고, 상기 처리 공간으로 공정 가스를 공급하는 가스 분사부;
상기 공정 챔버 내부로 플라즈마 분위기를 형성하기 위하여 제 1 플라즈마 전극인 상기 가스 분사부에 적어도 하나의 RF 전력을 인가하도록 적어도 하나의 RF 전원을 포함하는 플라즈마 전원 공급부;
상기 적어도 하나의 RF 전원과 상기 공정 챔버 사이의 임피던스 매칭을 위하여, 상기 플라즈마 전원 공급부 및 상기 가스 분사부 사이에 연결된 임피던스 매칭부;
상기 공정 챔버에 상기 제 1 플라즈마 전극인 상기 가스 분사부와 대향 설치되는 제 2 플라즈마 전극을 포함하여 구성되되, 상기 제 2 플라즈마 전극은 제 1 영역에 배치된 제 1 전극부 및 상기 제 1 영역과 이격된 제 2 영역에 배치된 제 2 전극부를 구비하는, 척(chuck) 구조체; 및
상기 가스 분사부 및 상기 척 구조체 사이의 플라즈마 분위기를 제어하기 위해, 접지부와 상기 제 2 플라즈마 전극 사이에 연결되되, 상기 제 2 플라즈마 전극으로부터 접지부에 이르는 경로 상의 임피던스를 조절하도록 상기 제 2 플라즈마 전극으로 흐르는 RF 전류를 제어하도록 구성된 조절 회로부;를 포함하되,
상기 조절 회로부는, 접지부와 상기 제 1 전극부 사이에 연결되어 상기 제 1 전극부로부터 접지부에 이르는 경로 상의 제 1 임피던스를 가변적으로 조절하는 제 1 전류 조절 회로부와, 접지부와 상기 제 2 전극부 사이에 연결되어 상기 제 2 전극부로부터 접지부에 이르는 경로 상의 제 2 임피던스를 가변적으로 조절하는 제 2 전류 조절 회로부를 구비하며,
상기 제 1 전류 조절 회로부 및 상기 제 2 전류 조절 회로부는 상기 적어도 하나의 RF 전원에 의해서 생성되어 상기 척 구조체를 통해서 흐르는 적어도 하나의 RF 전류를 통과시키기 위한 적어도 하나의 RF 필터를 각각 구비하되,
상기 적어도 하나의 RF 전원은 듀얼 RF 전원을 제공하도록 제 1 주파수 대역의 제 1 RF 전원 및 상기 제 1 주파수 대역보다 큰 제 2 주파수 대역의 제 2 RF 전원을 포함하고,
상기 RF 필터는 적어도 상기 제 1 RF 전원에 의해서 생성되어 상기 척 구조체를 통해서 흐르는 제 1 주파수 대역의 제 1 RF 전류를 통과시키기 위한 제 1 RF 필터, 적어도 상기 제 2 RF 전원에 의해서 생성되어 상기 척 구조체를 통해서 흐르는 제 2 주파수 대역의 제 2 RF 전류를 통과시키기 위한 제 2 RF 필터를 포함하며,
상기 제 1 RF 필터는 서로 병렬로 연결된 제 1 인덕터 및 제 1 커패시터를 포함하고,
상기 제 2 RF 필터는 서로 직렬로 연결된 제 2 인덕터 및 제 2 커패시터를 포함하되,
상기 제 1 커패시터 및 상기 제 2 커패시터 중 적어도 어느 하나는 상기 RF 필터의 임피던스를 가변적으로 조절할 수 있도록 구성된 가변 커패시터인 것을 특징으로 하는,
기판 처리 장치.
A process chamber defining a processing space for processing a thin film therein;
a gas injection unit installed in the process chamber and supplying a process gas to the process space;
a plasma power supply including at least one RF power supply to apply at least one RF power to the gas dispensing part, which is a first plasma electrode, to form a plasma atmosphere into the process chamber;
an impedance matching unit connected between the plasma power supply unit and the gas dispensing unit for impedance matching between the at least one RF power source and the process chamber;
It is configured to include a second plasma electrode installed in the process chamber facing the gas spraying part that is the first plasma electrode, wherein the second plasma electrode has a first electrode part disposed in a first region and a first region a chuck structure having a second electrode part disposed in a spaced apart second region; and
In order to control the plasma atmosphere between the gas ejection part and the chuck structure, the second plasma is connected between the ground part and the second plasma electrode to adjust an impedance on a path from the second plasma electrode to the ground part. Adjustment circuitry configured to control the RF current flowing to the electrodes;
The control circuit unit includes a first current control circuit unit connected between the ground unit and the first electrode unit and variably adjusting a first impedance on a path from the first electrode unit to the ground unit; A second current control circuit unit connected between the electrode units and variably adjusting a second impedance on a path from the second electrode unit to the ground unit,
The first current regulating circuit part and the second current regulating circuit part each include at least one RF filter for passing at least one RF current generated by the at least one RF power source and flowing through the chuck structure,
The at least one RF power source includes a first RF power source in a first frequency band and a second RF power source in a second frequency band greater than the first frequency band to provide a dual RF power source;
The RF filter includes at least a first RF filter for passing a first RF current generated by the first RF power supply and flowing through the chuck structure, and a first RF filter generated by at least the second RF power supply to pass the first RF current flowing through the chuck structure. A second RF filter for passing a second RF current of a second frequency band flowing through the structure;
The first RF filter includes a first inductor and a first capacitor connected in parallel to each other,
The second RF filter includes a second inductor and a second capacitor connected in series with each other,
Characterized in that at least one of the first capacitor and the second capacitor is a variable capacitor configured to variably adjust the impedance of the RF filter.
Substrate processing device.
제 7 항에 있어서,
상기 제 1 주파수 대역은 300 kHz 내지 600 kHz 의 주파수 범위를 가지며, 상기 제 2 주파수 대역은 13.56 MHz 내지 27.12 MHz 의 주파수 범위를 갖는,
기판 처리 장치.
According to claim 7,
The first frequency band has a frequency range of 300 kHz to 600 kHz, and the second frequency band has a frequency range of 13.56 MHz to 27.12 MHz,
Substrate processing device.
삭제delete 제 7 항에 있어서,
상기 제 1 RF 필터는 상기 제 1 인덕터 및 상기 제 1 커패시터의 병렬 연결 구조와 접지부 사이에 직렬 연결된 제 3 커패시터를 더 포함하는, 기판 처리 장치.
According to claim 7,
The first RF filter further comprises a third capacitor connected in series between the parallel connection structure of the first inductor and the first capacitor and a ground.
제 1 항에 있어서,
상기 제 1 전극부 및 상기 제 2 전극부는 상기 척 구조체의 상부에 안착되는 기판에 정전기력을 인가하기 위한 정전 전극인 것을 특징으로 하는,
기판 처리 장치.
According to claim 1,
Characterized in that the first electrode part and the second electrode part are electrostatic electrodes for applying electrostatic force to a substrate seated on an upper portion of the chuck structure.
Substrate processing device.
제 11 항에 있어서,
상기 정전 전극에 DC 전력을 공급하도록 DC 전원을 포함하는 정전력 전원 공급부;를 더 포함하되,
상기 조절 회로부는 상기 정전력 전원 공급부로부터 인입되는 DC 전류는 차단하기 위한 적어도 하나의 DC 차단 소자를 더 구비하는,
기판 처리 장치.
According to claim 11,
Further comprising: a constant power power supply unit including a DC power source to supply DC power to the electrostatic electrode;
The control circuit further comprises at least one DC blocking element for blocking the DC current drawn from the constant power supply.
Substrate processing device.
제 1 항에 있어서,
상기 척 구조체는 기판을 가열시키기 위한 히터를 더 구비하고,
상기 히터에 AC 전력을 인가하도록 상기 히터에 연결된 히터 전원부; 및 상기 히터 전원부 및 상기 히터 사이에 연결된 제 3 RF 필터; 를 더 포함하는,
기판 처리 장치.
According to claim 1,
The chuck structure further includes a heater for heating the substrate,
a heater power supply connected to the heater to apply AC power to the heater; and a third RF filter connected between the heater power supply unit and the heater. Including more,
Substrate processing device.
KR1020180140219A 2018-11-14 2018-11-14 Apparatus for processing substrate KR102485400B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020180140219A KR102485400B1 (en) 2018-11-14 2018-11-14 Apparatus for processing substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020180140219A KR102485400B1 (en) 2018-11-14 2018-11-14 Apparatus for processing substrate

Publications (2)

Publication Number Publication Date
KR20200056200A KR20200056200A (en) 2020-05-22
KR102485400B1 true KR102485400B1 (en) 2023-01-06

Family

ID=70914198

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180140219A KR102485400B1 (en) 2018-11-14 2018-11-14 Apparatus for processing substrate

Country Status (1)

Country Link
KR (1) KR102485400B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102354879B1 (en) * 2020-08-04 2022-02-07 주식회사 유진테크 Batch type substrate processing apparatus

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0031704B1 (en) * 1979-12-26 1985-10-23 Western Electric Company, Incorporated Improvements in or relating to apparatus for a reative plasma process

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110069490A (en) * 2009-12-17 2011-06-23 주성엔지니어링(주) Method for chucking/dechucking of semiconductor substrate, apparatus and method for manufacturing of semiconductor device using the same
US10043636B2 (en) * 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
JP6869034B2 (en) * 2017-01-17 2021-05-12 東京エレクトロン株式会社 Plasma processing equipment

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0031704B1 (en) * 1979-12-26 1985-10-23 Western Electric Company, Incorporated Improvements in or relating to apparatus for a reative plasma process

Also Published As

Publication number Publication date
KR20200056200A (en) 2020-05-22

Similar Documents

Publication Publication Date Title
KR102269344B1 (en) Apparatus for processing substrate
JP6207780B2 (en) Pixelated capacity control ESC
JP6843485B2 (en) Multi-electrode board support assembly and phase control system
US20180261431A1 (en) Dual radio-frequency tuner for process control of a plasma process
US8450635B2 (en) Method and apparatus for inducing DC voltage on wafer-facing electrode
KR102120628B1 (en) Bottom and side plasma tuning having closed loop control
US20140290576A1 (en) Method and apparatus for tuning electrode impedance for high frequency radio frequency and terminating low frequency radio frequency to ground
US11328908B2 (en) Adjustment method for filter unit and plasma processing apparatus
KR20130106022A (en) Apparatus for treating substrate and method for operating the same
US20160017494A1 (en) Apparatus and method for tuning a plasma profile using a tuning ring in a processing chamber
KR102229983B1 (en) Apparatus for processing substrate
KR102485400B1 (en) Apparatus for processing substrate
US11521836B2 (en) Plasma processing apparatus
US20220351942A1 (en) Semiconductor processing apparatus and method
KR102269345B1 (en) Apparatus for controlling current of electrostatic chuck
KR102410815B1 (en) Apparatus for processing substrate
TWI767655B (en) Etching apparatus and etching method
JP6938746B1 (en) Etching device and etching method
CN211507566U (en) Plasma processing device with radio frequency power distribution adjusting function
CN115088054A (en) Apparatus and method for manipulating power at an edge ring in a plasma processing device
KR102193368B1 (en) Substrate processing apparatus
KR20210074917A (en) Method of forming thin film and Apparatus for treating substrate
US20220148854A1 (en) Filter circuit
KR20210059444A (en) Method of forming stacked thin films and Apparatus for treating substrate
KR102298032B1 (en) Apparatus and method for tuning electrode impedance for high frequency radio frequency and terminating low frequency radio frequency to ground

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant