KR102440415B1 - A substrate support assembly for multi-zone temperature control and a plasma processing system having the same - Google Patents

A substrate support assembly for multi-zone temperature control and a plasma processing system having the same Download PDF

Info

Publication number
KR102440415B1
KR102440415B1 KR1020200054800A KR20200054800A KR102440415B1 KR 102440415 B1 KR102440415 B1 KR 102440415B1 KR 1020200054800 A KR1020200054800 A KR 1020200054800A KR 20200054800 A KR20200054800 A KR 20200054800A KR 102440415 B1 KR102440415 B1 KR 102440415B1
Authority
KR
South Korea
Prior art keywords
electrode
line
heater
insulating layer
support assembly
Prior art date
Application number
KR1020200054800A
Other languages
Korean (ko)
Other versions
KR20210136488A (en
Inventor
최윤석
김용기
Original Assignee
주식회사 유진테크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 유진테크 filed Critical 주식회사 유진테크
Priority to KR1020200054800A priority Critical patent/KR102440415B1/en
Publication of KR20210136488A publication Critical patent/KR20210136488A/en
Application granted granted Critical
Publication of KR102440415B1 publication Critical patent/KR102440415B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0202Switches
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing

Abstract

본 발명의 일 태양에 따르면, 다구역 온도 제어를 위한 기판 지지 어셈블리로서, 반도체 스위치를 발열체로서 포함하고, 기판이 지지되는 상기 기판 지지 어셈블리의 상부 지지 표면을 중심으로 특정되는 복수의 구역 각각에 대하여 독립적으로 온도 제어가 가능한 복수의 제1 히터가 배치되는 히터층(heater layer)을 포함하고, 상기 히터층에는, 상기 제1 히터의 제1 전극과 전기적으로 연결되는 제1 라인, 상기 제1 히터의 제2 전극과 전기적으로 연결되는 제2 라인 및 상기 제1 히터의 제3 전극과 전기적으로 연결되는 제3 라인 중 어느 두 라인이 서로 교차하지 않고 서로 동일한 층에 측방향(laterally)으로 배치되는 기판 지지 어셈블리가 제공된다.According to one aspect of the present invention, there is provided a substrate support assembly for multi-zone temperature control, comprising a semiconductor switch as a heating element, for each of a plurality of zones specified about an upper support surface of the substrate support assembly on which a substrate is supported. a first line electrically connected to a first electrode of the first heater; Any two lines of a second line electrically connected to the second electrode of A substrate support assembly is provided.

Description

다구역 온도 제어를 위한 기판 지지 어셈블리 및 그 기판 지지 어셈블리를 포함하는 플라즈마 프로세싱 시스템{A SUBSTRATE SUPPORT ASSEMBLY FOR MULTI-ZONE TEMPERATURE CONTROL AND A PLASMA PROCESSING SYSTEM HAVING THE SAME}A SUBSTRATE SUPPORT ASSEMBLY FOR MULTI-ZONE TEMPERATURE CONTROL AND A PLASMA PROCESSING SYSTEM HAVING THE SAME

본 발명은 다구역 온도 제어를 위한 기판 지지 어셈블리 및 그 기판 지지 어셈블리를 포함하는 플라즈마 프로세싱 시스템에 관한 것이다.The present invention relates to a substrate support assembly for multi-zone temperature control and a plasma processing system including the substrate support assembly.

반도체 공정에서 웨이퍼에 대해 균일한 열 처리가 가능하고 파티클 발생을 최소화할 수 있는 정전 척(ESC)이 주로 사용되고 있다. 근래에 들어, 반도체 회로가 초미세화 및 고성능화되면서 반도체 공정 과정을 보다 정밀하게 제어할 수 있는 장비에 대한 수요가 증가하고 있다. 특히, 공정 과정에서 기판에 대한 온도 분포 균일도가 그 공정 결과에 상당한 영향을 끼치기 때문에, 척의 히터 구조를 어떻게 구성하는지가 주요 관심사로 부각되고 있으며 이에 대한 연구 개발 또한 활발하게 진행되고 있다.In a semiconductor process, an electrostatic chuck (ESC) capable of uniformly heat-treating a wafer and minimizing particle generation is mainly used. In recent years, as semiconductor circuits become ultra-miniaturized and high-performance, the demand for equipment capable of more precisely controlling the semiconductor process is increasing. In particular, since the uniformity of the temperature distribution on the substrate during the process significantly affects the process result, how to configure the heater structure of the chuck is highlighted as a major concern, and research and development are being actively conducted on it.

하지만, 종래 기술을 비롯하여 지금까지 소개된 기술에 의하면, 대부분의 척의 히터에는 저항 발열체가 매트릭스 형태로 배열되고, 그 저항 발열체 사이에는 크로스토크 방지를 위한 다이오드가 배치되었으며, 각 저항 발열체와 연결되는 스위치를 통해 각 저항 발열체에 공급되는 전력을 제어되는 방식이었다. 그러나, 기판에 대한 온도 분포를 정밀 제어하기 위하여 척 내에 설치되는 히터(예를 들어, 마이크로 히터) 구역 수가 증가(수 구역에서 수 백 구역(예를 들어, 144구역, 156구역 등)까지 증가)함에 따라, 이를 구현하기 위한 소자의 수가 기하급수적으로 급증하게 되었고, 더불어 척 제조를 위한 경제적인 비용 증가, 배치 공간의 부족, 노이즈 발생 등 다양한 문제가 발생하였다.However, according to the techniques introduced so far, including the prior art, a resistance heating element is arranged in a matrix form in most chuck heaters, a diode for preventing crosstalk is disposed between the resistance heating elements, and a switch connected to each resistance heating element It was a method in which the power supplied to each resistance heating element was controlled through the However, the number of heater (eg, micro-heater) zones installed in the chuck increases (from several zones to several hundred zones (eg, 144 zones, 156 zones, etc.)) to precisely control the temperature distribution to the substrate. Accordingly, the number of devices for implementing this increased exponentially, and various problems such as an increase in economical cost for manufacturing the chuck, lack of arrangement space, and noise generation occurred.

한국공개특허공보 제2002-43601호(2002.06.10)Korean Patent Laid-Open Publication No. 2002-43601 (Jun. 10, 2002)

본 발명은, 전술한 종래 기술의 문제점을 모두 해결하는 것을 그 목적으로 한다.An object of the present invention is to solve all the problems of the prior art described above.

또한, 본 발명은, 반도체 스위치를 히터의 발열체로서 이용함으로써, 다 구역 히터를 구현함에 있어서 부품 수를 최소화하고 그 복잡도를 낮출 수 있으며, 나아가 제조 비용 감소 및 유지 보수 작업의 간소화가 이루어질 수 있도록 하는 것을 또 다른 목적으로 한다.In addition, the present invention uses a semiconductor switch as a heating element of a heater, thereby minimizing the number of parts and lowering the complexity of implementing a multi-zone heater, and further reducing manufacturing cost and simplifying maintenance work. serve another purpose.

또한, 본 발명은, 반도체 스위치와 전기적으로 연결되는 라인 중 어느 두 라인이 서로 동일한 층에 교차하지 않게 측방향(laterally)으로 배치되도록 함으로써, 라인이 배치되는 층 수를 최소화하는 것을 또 다른 목적으로 한다.In addition, another object of the present invention is to minimize the number of layers in which the lines are disposed by allowing any two of the lines electrically connected to the semiconductor switch to be disposed laterally so as not to cross the same layer with each other. do.

상기 목적을 달성하기 위한 본 발명의 대표적인 구성은 다음과 같다.A representative configuration of the present invention for achieving the above object is as follows.

본 발명의 일 태양에 따르면, 다구역 온도 제어를 위한 기판 지지 어셈블리로서, 반도체 스위치를 발열체로서 포함하고, 기판이 지지되는 상기 기판 지지 어셈블리의 상부 지지 표면을 중심으로 특정되는 복수의 구역 각각에 대하여 독립적으로 온도 제어가 가능한 복수의 제1 히터가 배치되는 히터층(heater layer)을 포함하고, 상기 히터층에는, 상기 제1 히터의 제1 전극과 전기적으로 연결되는 제1 라인, 상기 제1 히터의 제2 전극과 전기적으로 연결되는 제2 라인 및 상기 제1 히터의 제3 전극과 전기적으로 연결되는 제3 라인 중 어느 두 라인이 서로 교차하지 않고 서로 동일한 층에 측방향(laterally)으로 배치되는 기판 지지 어셈블리가 제공된다.According to one aspect of the present invention, there is provided a substrate support assembly for multi-zone temperature control, comprising a semiconductor switch as a heating element, for each of a plurality of zones specified about an upper support surface of the substrate support assembly on which a substrate is supported. a first line electrically connected to a first electrode of the first heater; Any two lines of a second line electrically connected to the second electrode of A substrate support assembly is provided.

본 발명의 다른 태양에 따르면, 플라즈마 프로세싱 시스템으로서, 기판 지지 어셈블리를 포함하는 챔버를 포함하고, 상기 기판 지지 어셈블리는, 반도체 스위치를 발열체로서 포함하고, 기판이 지지되는 상기 기판 지지 어셈블리의 상부 지지 표면을 중심으로 특정되는 복수의 구역 각각에 대하여 독립적으로 온도 제어가 가능한 복수의 제1 히터가 배치되는 히터층(heater layer)을 포함하고, 상기 히터층에는, 상기 제1 히터의 제1 전극과 전기적으로 연결되는 제1 라인, 상기 제1 히터의 제2 전극과 전기적으로 연결되는 제2 라인 및 상기 제1 히터의 제3 전극과 전기적으로 연결되는 제3 라인 중 어느 두 라인이 서로 교차하지 않고 서로 동일한 층에 측방향(laterally)으로 배치되는 플라즈마 프로세싱 시스템이 제공된다.According to another aspect of the present invention, there is provided a plasma processing system comprising a chamber comprising a substrate support assembly, the substrate support assembly comprising a semiconductor switch as a heating element and an upper support surface of the substrate support assembly on which a substrate is supported. and a heater layer in which a plurality of first heaters capable of independently temperature control are disposed for each of a plurality of zones specified around Any two lines of a first line connected to , a second line electrically connected to the second electrode of the first heater, and a third line electrically connected to the third electrode of the first heater do not cross each other. A plasma processing system is provided that is laterally disposed on the same layer.

이 외에도, 본 발명을 구현하기 위한 다른 기판 지지 어셈블리 및 다른 플라즈마 프로세싱 시스템이 더 제공된다.In addition to this, other substrate support assemblies and other plasma processing systems for implementing the present invention are further provided.

본 발명에 의하면, 반도체 스위치를 히터의 발열체로서 이용함으로써, 다 구역 히터를 구현함에 있어서 부품 수를 최소화하고 그 복잡도를 낮출 수 있으며, 나아가 제조 비용 감소 및 유지 보수 작업의 간소화가 이루어질 수 있게 된다.According to the present invention, by using the semiconductor switch as the heating element of the heater, the number of parts can be minimized and the complexity can be reduced in implementing the multi-zone heater, and furthermore, the manufacturing cost can be reduced and the maintenance work can be simplified.

또한, 본 발명에 의하면, 반도체 스위치와 전기적으로 연결되는 라인 중 어느 두 라인이 서로 동일한 층에 교차하지 않게 측방향(laterally)으로 배치되도록 함으로써, 라인이 배치되는 층의 수를 최소화할 수 있게 된다.In addition, according to the present invention, any two lines of the lines electrically connected to the semiconductor switch are arranged laterally so as not to cross the same layer, so that the number of layers in which the lines are arranged can be minimized. .

도 1은 본 발명의 일 실시예에 따른 플라즈마 프로세싱 시스템(100)을 개략적으로 나타낸 것이다.
도 2는 본 발명의 일 실시예에 따른 기판 지지 어셈블리(200)의 구조를 예시적으로 나타내는 도면이다.
도 3 내지 도 5는 본 발명의 일 실시예에 따른 기판 지지 어셈블리(200)의 히터층(220)의 구조를 예시적으로 나타내는 도면이다.
도 6는 본 발명의 일 실시예에 따른 기판 지지 어셈블리(200)의 다른 구조를 예시적으로 나타내는 도면이다.
도 7 및 도 8은 본 발명의 일 실시예에 따른 기판 지지 어셈블리(200)의 온도 제어 방식을 예시적으로 나타내는 도면이다.
1 schematically illustrates a plasma processing system 100 according to an embodiment of the present invention.
2 is a diagram exemplarily showing a structure of a substrate support assembly 200 according to an embodiment of the present invention.
3 to 5 are views exemplarily showing the structure of the heater layer 220 of the substrate support assembly 200 according to an embodiment of the present invention.
6 is a diagram illustrating another structure of the substrate support assembly 200 according to an embodiment of the present invention.
7 and 8 are diagrams exemplarily illustrating a temperature control method of the substrate support assembly 200 according to an embodiment of the present invention.

후술하는 본 발명에 대한 상세한 설명은, 본 발명이 실시될 수 있는 특정 실시예를 예시로서 도시하는 첨부 도면을 참조한다. 이러한 실시예는 당업자가 본 발명을 실시할 수 있기에 충분하도록 상세히 설명된다. 본 발명의 다양한 실시예는 서로 다르지만 상호 배타적일 필요는 없음이 이해되어야 한다. 예를 들어, 본 명세서에 기재되어 있는 특정 형상, 구조 및 특성은 본 발명의 정신과 범위를 벗어나지 않으면서 일 실시예로부터 다른 실시예로 변경되어 구현될 수 있다. 또한, 각각의 실시예 내의 개별 구성요소의 위치 또는 배치도 본 발명의 정신과 범위를 벗어나지 않으면서 변경될 수 있음이 이해되어야 한다. 따라서, 후술하는 상세한 설명은 한정적인 의미로서 행하여지는 것이 아니며, 본 발명의 범위는 특허청구범위의 청구항들이 청구하는 범위 및 그와 균등한 모든 범위를 포괄하는 것으로 받아들여져야 한다. 도면에서 유사한 참조부호는 여러 측면에 걸쳐서 동일하거나 유사한 구성요소를 나타낸다.DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS [0012] DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS [0014] DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS [0016] Reference is made to the accompanying drawings, which show by way of illustration specific embodiments in which the present invention may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the present invention. It should be understood that the various embodiments of the present invention are different but need not be mutually exclusive. For example, certain shapes, structures, and characteristics described herein may be implemented with changes from one embodiment to another without departing from the spirit and scope of the present invention. In addition, it should be understood that the location or arrangement of individual components within each embodiment may be changed without departing from the spirit and scope of the present invention. Accordingly, the following detailed description is not to be taken in a limiting sense, and the scope of the present invention should be taken as encompassing the scope of the claims and all equivalents thereto. In the drawings, like reference numerals refer to the same or similar elements throughout the various aspects.

이하에서는, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명을 용이하게 실시할 수 있도록 하기 위하여, 본 발명의 여러 바람직한 실시예에 관하여 첨부된 도면을 참조하여 상세히 설명하기로 한다.Hereinafter, various preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings in order to enable those of ordinary skill in the art to easily practice the present invention.

플라즈마 프로세싱 시스템(100)의 구성Configuration of plasma processing system 100

도 1은 본 발명의 일 실시예에 따른 기판 지지 어셈블리(200)를 포함하는 플라즈마 프로세싱 시스템(100)의 개략적인 단면도를 나타낸 것이다. 여기서의 프로세싱은, 증착 프로세싱, 에칭 프로세싱, 어닐링 프로세싱, 표면 처리 프로세싱 등 플라즈마를 이용하여 처리할 수 있는 다양한 프로세싱을 포함하는 개념일 수 있다.1 shows a schematic cross-sectional view of a plasma processing system 100 including a substrate support assembly 200 in accordance with an embodiment of the present invention. The processing herein may be a concept including various processing that can be processed using plasma, such as deposition processing, etching processing, annealing processing, surface treatment processing, and the like.

본 발명의 일 실시예에 따른 플라즈마 프로세싱 시스템(100)은, 측벽, 상부 및 하부로 둘러싸여 밀폐될 수 있는 챔버(110)를 포함할 수 있다. 예를 들어, 이러한 챔버(110)는, 그 표면이 알루마이트(Alu- mite) 처리된 알루미늄으로 이루어지는 진공 챔버일 수 있으며, 전기적으로 접지되어 있을 수 있다.The plasma processing system 100 according to an embodiment of the present invention may include a chamber 110 that may be sealed by being surrounded by sidewalls, upper and lower portions. For example, the chamber 110 may be a vacuum chamber whose surface is made of anodized aluminum, and may be electrically grounded.

이러한 챔버(110) 내에는 기판(W)를 지지하기 위하여 그 챔버(110)의 하부로부터 상부를 향하여 연장되는 기판 지지 어셈블리(200)가 배치될 수 있다. 예를 들어, 이러한 기판 지지 어셈블리(200)는 원통형, 정육면체형 또는 직육면체형의 형태(또는, 이러한 형태가 ㄱ 또는 ㄹ 형태로 굽은 형태)일 수 있으며, 그 재질은 알루미늄(Al), 티탄(Ti), 탄화규소(SiC) 등으로 구성될 수 있다. 또한, 기판(W)를 지지하는 기판 지지 어셈블리(200)의 지지 표면 및 기판(W) 사이에는 가스 포트(미도시됨)에 의한 프로세싱 가스가 공급될 수 있으며, 예를 들어, 이러한 프로세싱 가스에는 헬륨(He) 가스, 아르곤(Ar) 가스 등의 전열 가스가 포함될 수 있다. 한편, 이러한 기판 지지 어셈블리(200)는 기판의 온도 제어, 냉각, 클램핑 등을 위하여 직류(DC) 또는 교류(AC) 소스와 전기적으로 연결될 수 있다.A substrate support assembly 200 extending from a lower portion of the chamber 110 to an upper portion to support the substrate W may be disposed in the chamber 110 . For example, the substrate support assembly 200 may be in the form of a cylinder, a cube, or a rectangular parallelepiped (or, such a shape is bent in an a or a shape), and the material thereof is aluminum (Al), titanium (Ti). ), silicon carbide (SiC), and the like. In addition, a processing gas may be supplied through a gas port (not shown) between the substrate W and the support surface of the substrate support assembly 200 supporting the substrate W, for example, the processing gas includes A heat transfer gas such as helium (He) gas or argon (Ar) gas may be included. Meanwhile, the substrate support assembly 200 may be electrically connected to a direct current (DC) or alternating current (AC) source for temperature control, cooling, clamping, and the like of the substrate.

또한, 챔버(110)의 외측 상부에는, 고리 모양 또는 동심원 형상으로 배치되며 RF 소스(미도시됨)와 전기적으로 연결되는 적어도 하나의 코일(120)이 배치될 수 있고, 그 적어도 하나의 코일(120)에 RF 전력이 인가되고 조절됨에 따라 챔버(110) 내에 플라즈마가 생성 및 제어될 수 있다.In addition, at least one coil 120 disposed in an annular or concentric circle shape and electrically connected to an RF source (not shown) may be disposed on the outer upper portion of the chamber 110, and the at least one coil ( As RF power is applied and adjusted to 120 , plasma may be generated and controlled in the chamber 110 .

또한, 제어기(130)는 챔버(110)의 내부 또는 외부에서 플로즈마 처리 장치(100)의 동작을 제어할 수 있으며, 예를 들어, 챔버(110) 내부로 프로세싱 가스가 공급되도록 하거나, RF 전력을 제어하여 플라즈마를 제어할 수 있으며, 기판 지지 어셈블리(200)의 가열 및 냉각 등을 제어할 수 있다. 한편, 본 발명의 일 실시예에 따른 제어기(130)는 위와 같은 동작을 제어하기 위하여 메모리 수단을 구비하고 마이크로 프로세서를 탑재하여 연산 능력을 갖출 수 있다.In addition, the controller 130 may control the operation of the plasma processing apparatus 100 inside or outside the chamber 110 , for example, such that a processing gas is supplied into the chamber 110 , or RF power. to control plasma, and to control heating and cooling of the substrate support assembly 200 . On the other hand, the controller 130 according to an embodiment of the present invention may be equipped with a memory means to control the above operation and a microprocessor mounted therein to have arithmetic capability.

이상에서는, 본 발명의 실시와 연관될 수 있는 플라즈마 프로세싱 시스템(100)의 개략적인 구성에 대하여 살펴보았다. 이하에서는, 본 발명의 일 실시예에 따른 기판 지지 어셈블리(200)의 구조를 중심으로 자세히 살펴본다.In the above, a schematic configuration of the plasma processing system 100 that may be related to the practice of the present invention has been described. Hereinafter, the structure of the substrate support assembly 200 according to an embodiment of the present invention will be described in detail.

기판 지지 어셈블리(200)의 구조Structure of the substrate support assembly 200

도 2는 본 발명의 일 실시예에 따른 기판 지지 어셈블리(200)를 구조를 예시적으로 나타내는 도면이다.2 is a diagram exemplarily showing a structure of a substrate support assembly 200 according to an embodiment of the present invention.

도 2를 참조하면, 본 발명의 일 실시예에 따른 기판 지지 어셈블리(200)는 정전 클램핑층(210), 히터층(220) 및 냉각 플레이트(230)를 포함하여 구성될 수 있다.Referring to FIG. 2 , the substrate support assembly 200 according to an embodiment of the present invention may include an electrostatic clamping layer 210 , a heater layer 220 , and a cooling plate 230 .

먼저, 본 발명의 일 실시예에 따른 정전 클램핑층(210)은 정전력(electrostatic force)을 이용하여 기판 지지 어셈블리(200)의 상부의 지지 표면(201)상에 기판(w)이 고정되도록 할 수 있다. 이와 같이 지지 표면(201)상에 기판(w)을 고정시킴으로써, 기판 내부 영역간에 발생될 수 있는 온도 편차를 최소화할 수 있다. 한편, 본 발명의 일 실시예에 따른 기판 지지 어셈블리(100)의 상부의 지지 표면(201)은, 종래의 진공 척, 정전 척, 서셉터 또는 다른 워크피스(workpiece)의 지지 표면과 일부 유사할 수 있다.First, the electrostatic clamping layer 210 according to an embodiment of the present invention uses an electrostatic force to fix the substrate w on the support surface 201 of the upper portion of the substrate support assembly 200 . can By fixing the substrate w on the support surface 201 in this way, it is possible to minimize a temperature deviation that may occur between regions inside the substrate. Meanwhile, the support surface 201 of the upper portion of the substrate support assembly 100 according to an embodiment of the present invention may be partially similar to the support surface of a conventional vacuum chuck, electrostatic chuck, susceptor, or other workpiece. can

구체적으로, 정전 클램핑층(210)은 모노폴라(mono-polar) 전극 또는 바이폴라(bi-polar) 전극 중 어느 하나의 정전 전극(211)이 배치되는 층을 포함하여 구성될 수 있으며, 그 정전 전극(211)에 고압의 정전압을 인가하여 발생되는 정전력으로 기판(w)을 기판 지지 어셈블리(200)의 상부의 지지 표면(201)상에 고정시킬 수 있다. 예를 들어, 이러한 정전 클램핑층(210)은 절연층 또는 세라믹층(예를 들어, 알루미나)을 기반으로 구성되는 것일 수 있다.Specifically, the electrostatic clamping layer 210 may include a layer on which either one of a mono-polar electrode or a bi-polar electrode 211 is disposed, and the electrostatic electrode The substrate w may be fixed on the support surface 201 of the upper portion of the substrate support assembly 200 by electrostatic force generated by applying a high-voltage constant voltage to the 211 . For example, the electrostatic clamping layer 210 may be formed based on an insulating layer or a ceramic layer (eg, alumina).

다음으로, 본 발명의 일 실시예에 따른 히터층(220)은 기판 지지 어셈블리(200)에 의해 지지되는 기판(w)에 대하여 프로세싱(예를 들어, 에칭, 열 처리 등)을 수행하는데 필요한 온도를 제공하기 위한 가열을 수행할 수 있다.Next, the heater layer 220 according to an embodiment of the present invention has a temperature required to perform processing (eg, etching, heat treatment, etc.) on the substrate w supported by the substrate support assembly 200 . Heating to provide

구체적으로, 히터층(220)은 기판이 지지되는 기판 지지 어셈블리(100)의 상부 지지 표면을 중심으로 특정되는 복수의 구역(예를 들어, 매트릭스 형태 또는 방사형 형태로 구분되는 144개의 구역 등)을 대상으로 독립적으로 온도 제어 가능한 복수의 제1 히터(221)가 배치되는 층 및 그 복수의 제1 히터(221)에 공급되는 전력을 제어하기 위한 적어도 3개의 라인이 배치되는 층을 포함하여 구성될 수 있다. 예를 들어, 복수의 제1 히터(221)가 배치되는 층과 적어도 3개의 라인이 배치되는 층은, 절연층을 기반으로 구성되는 층일 수 있으며, 제1 히터(221)에 의한 열전도를 높이기 위하여 금속층을 더 포함할 수 있다. 또한, 위와 같은 복수의 제1 히터(221)는 해당 층에서 소정의 패턴, 예를 들어, 복수의 행 및 복수의 열로 구성되는 매트리스(matrix) 형태, 방사형 형태, 동심원 형태 등으로 배치될 수 있다. 한편, 위의 적어도 3개의 라인은 구리(Cu), 알루미늄(Al), 텅스텐(W), 몰리브데넘(Mo) 등과 같은 낮은 저항률을 가진 재료로 구성될 수 있다. 한편, 본 발명의 일 실시예에 따른 각 구역의 크기는 모두 동일할 수 있으나, 중심부와 주변부 또는 중심으로부터의 거리 등에 따라 서로 다르게 특정될 수도 있다.Specifically, the heater layer 220 includes a plurality of regions (eg, 144 regions divided in a matrix form or a radial form, etc.) that are specified around the upper support surface of the substrate support assembly 100 on which the substrate is supported. A layer in which a plurality of first heaters 221 capable of independently temperature control to a target are disposed, and a layer in which at least three lines for controlling power supplied to the plurality of first heaters 221 are disposed. can For example, the layer in which the plurality of first heaters 221 are disposed and the layer in which at least three lines are disposed may be a layer configured based on an insulating layer, in order to increase heat conduction by the first heater 221 . It may further include a metal layer. In addition, the plurality of first heaters 221 as described above may be arranged in a predetermined pattern in the corresponding layer, for example, in a matrix form, a radial form, a concentric circle form, etc. composed of a plurality of rows and a plurality of columns. . Meanwhile, the at least three lines above may be made of a material having a low resistivity, such as copper (Cu), aluminum (Al), tungsten (W), molybdenum (Mo), or the like. Meanwhile, the size of each zone according to an embodiment of the present invention may be the same, but may be specified differently depending on the center and the periphery or the distance from the center.

예를 들어, 복수의 제1 히터(221) 각각은 반도체 스위치(예를 들어, 모스펫(MOSFET), 접합형 트랜지스터(Bipolar Junction Transistor; BJT) 등)를 발열체로서 포함하여 구성될 수 있으며, 그 반도체 스위치와 전기적으로 연결되는 3개의 전극을 포함(즉, 제1 전극, 제2 전극 및 제3 전극)하여 구성될 수 있다. 또한, 히터층(220)에는 위의 제1 전극(221)과 전기적으로 연결되는 제1 라인, 위의 제2 전극과 전기적으로 연결되는 제2 라인 및 위의 제3 전극과 전기적으로 연결되는 제3 라인 중 어느 두 라인이 서로 동일한 층에 서로 교차하지 않도록 측방향(laterally)으로 배치될 수 있다. 본 발명의 일 실시예에 따르면, 위와 같은 반도체 스위치가 모스펫(MOSFET)인 경우에는, 위의 제1 전극은 해당 모스펫의 드레인(drain) 전극(또는 소스(source) 전극)이고, 위의 제2 전극은 해당 모스펫의 소스 전극(또는 드레인 전극)이며, 위의 제3 전극은 해당 모스펫의 게이트(gate) 전극일 수 있고, 위와 같은 반도체 스위치가 접합형 트랜지스터(BJT)인 경우에는, 위의 제1 전극은 해당 접합형 트랜지스터의 컬렉터(collector) 전극(또는 이미터(emitter) 전극)이고, 위의 제2 전극은 해당 접합형 트랜지스터의 이미터 전극(또는 컬렉터 전극)이며, 위의 제3 전극은 해당 접합형 트랜지스터의 베이스(base) 전극일 수 있다.For example, each of the plurality of first heaters 221 may be configured to include a semiconductor switch (eg, a MOSFET, a bipolar junction transistor (BJT), etc.) as a heating element, and the semiconductor It may be configured by including three electrodes electrically connected to the switch (ie, the first electrode, the second electrode, and the third electrode). In addition, the heater layer 220 has a first line electrically connected to the first electrode 221, a second line electrically connected to the second electrode, and a third line electrically connected to the third electrode. Any two of the three lines may be arranged laterally so as not to cross each other on the same layer. According to an embodiment of the present invention, when the semiconductor switch as above is a MOSFET, the first electrode is a drain electrode (or a source electrode) of the MOSFET, and the second electrode is the second electrode. The electrode is the source electrode (or drain electrode) of the MOSFET, and the third electrode above may be the gate electrode of the MOSFET. The first electrode is a collector electrode (or emitter electrode) of the junction-type transistor, the second electrode is an emitter electrode (or collector electrode) of the junction-type transistor, and the third electrode may be a base electrode of the corresponding junction type transistor.

보다 구체적으로, 도 3a를 참조하면, 히터층(220)은 제1 내지 제3 절연층(310, 320, 330)을 포함하여 구성될 수 있고, 반도체 스위치(500)가 위의 제1 히터(221)로서 제1 절연층(310)에 측방향으로 배치될 수 있고, 반도체 스위치(500)의 제1 전극(500a)과 전기적으로 연결되는 제1 라인(301)이 위의 제1 절연층(310)의 하부의 제2 절연층(320)에 측방향으로 배치될 수 있고, 해당 반도체 스위치(500)의 제2 전극(500b)과 전기적으로 연결되는 제2 라인(302) 및 해당 반도체 스위치(500)의 제3 전극(500c)과 전기적으로 연결되는 제3 라인(303)이 위의 제2 절연층(320)의 하부의 제3 절연층(330)에 측방향으로 배치될 수 있다.More specifically, referring to FIG. 3A , the heater layer 220 may include the first to third insulating layers 310 , 320 , and 330 , and the semiconductor switch 500 is the first heater ( 221 , which may be disposed laterally on the first insulating layer 310 , and a first line 301 electrically connected to the first electrode 500a of the semiconductor switch 500 is connected to the first insulating layer ( The second line 302 may be disposed laterally on the second insulating layer 320 under the 310 and electrically connected to the second electrode 500b of the corresponding semiconductor switch 500 and the corresponding semiconductor switch ( A third line 303 electrically connected to the third electrode 500c of the 500 may be laterally disposed on the third insulating layer 330 under the second insulating layer 320 .

마찬가지로, 도 4a를 참조하면, 히터층(220)은 제1 내지 제3 절연층(410, 420, 430)을 포함하여 구성될 수 있고, 반도체 스위치(500)가 위의 제1 히터(221)로서 제1 절연층(410)에 측방향으로 배치될 수 있고, 반도체 스위치(500)의 제1 전극(500a)과 전기적으로 연결되는 제1 라인(401) 및 해당 반도체 스위치(500)의 제2 전극(500b)과 전기적으로 연결되는 제2 라인(402)이 위의 제1 절연층(410)의 하부의 제2 절연층(420)에 측방향으로 배치될 수 있고, 해당 반도체 스위치(500)의 제3 전극(500c)과 전기적으로 연결되는 제3 라인(403)이 위의 제2 절연층(420)의 하부의 제3 절연층(430)에 측방향으로 배치될 수 있다.Similarly, referring to FIG. 4A , the heater layer 220 may include the first to third insulating layers 410 , 420 , and 430 , and the semiconductor switch 500 is disposed on the first heater 221 . A first line 401 that may be disposed laterally on the first insulating layer 410 as a , and electrically connected to the first electrode 500a of the semiconductor switch 500 and a second of the semiconductor switch 500 . A second line 402 electrically connected to the electrode 500b may be laterally disposed on the second insulating layer 420 under the first insulating layer 410 above, and the corresponding semiconductor switch 500 . A third line 403 electrically connected to the third electrode 500c may be laterally disposed on the third insulating layer 430 under the second insulating layer 420 .

한편, 앞서 살펴본 바와 같이, 제1 라인 내지 위의 제3 라인(301, 302, 303, 401, 402, 403) 중 어느 하나의 라인이 공통 접지와 전기적으로 연결되도록 함으로써, 히터 제어를 위한 총 라인 수가 최소화될 수 있다.Meanwhile, as described above, by allowing any one of the first line to the third line 301 , 302 , 303 , 401 , 402 , and 403 to be electrically connected to the common ground, the total line for controlling the heater number can be minimized.

예를 들어, 도 3b 및 도 4b를 참조하면, 반도체 스위치(500)의 제1 전극(500b)과 전기적으로 연결되는 제2 라인(302) 또는 반도체 스위치(500)의 제1 전극(500a)과 전기적으로 연결되는 제1 라인(401)이 공통 접지와 전기적 연결될 수 있다. 보다 구체적으로, 위의 반도체 스위치가 모스펫이라면, 드레인 전극과 연결되는 라인 또는 소스 전극과 연결되는 라인이 공통 접지와 전기적으로 연결될 수 있다.For example, referring to FIGS. 3B and 4B , the second line 302 electrically connected to the first electrode 500b of the semiconductor switch 500 or the first electrode 500a of the semiconductor switch 500 and A first line 401 that is electrically connected may be electrically connected to a common ground. More specifically, if the above semiconductor switch is a MOSFET, a line connected to the drain electrode or a line connected to the source electrode may be electrically connected to the common ground.

한편, 본 발명에 따른 라인 배치 및 층 순서가 반드시 위의 열거된 것에 한정되는 것은 아니며, 본 발명의 목적을 달성할 수 있는 범위 내에서 얼마든지 변경될 수 있음을 밝혀 둔다.On the other hand, it should be noted that the line arrangement and the layer order according to the present invention are not necessarily limited to those listed above, and can be changed as much as possible within the range that can achieve the object of the present invention.

한편, 본 발명의 일 실시예에 따르면, 앞서 살펴본 제1 히터(221)가 반도체 스위치와 함께 저항을 발열체로서 더 포함하여 구성될 수도 있다.Meanwhile, according to an embodiment of the present invention, the first heater 221 described above may be configured to further include a resistor as a heating element together with a semiconductor switch.

예를 들어, 도 5a 및 도 5b를 참조하면, 제1 히터(221)는 반도체 스위치(500) 및 그 반도체 스위치(500)의 일 전극과 직렬로 연결되는 저항(510)을 더 포함하여 구성될 수 있다. 즉, 반도체 스위치(500)의 제1 전극(500a)과 전기적으로 연결되는 제1 라인(301), 해당 반도체 스위치(500)의 제2 전극(500b)과 저항(510)의 일단이 직렬 연결되고 그 저항(510)의 타단과 전기적으로 연결되는 제2 라인(302) 및 해당 반도체 스위치(500)의 제3 전극(500c)과 전기적으로 연결되는 제3 라인(303) 중 어느 두 라인이 서로 동일한 층에 서로 교차하지 않도록 측방향으로 배치될 수도 있다. 즉, 반도체 스위치(500)뿐만 아니라 저항(510)을 더 추가함으로써, 온도 제어 가능 범위를 넓힐 수도 있다.For example, referring to FIGS. 5A and 5B , the first heater 221 may further include a semiconductor switch 500 and a resistor 510 connected in series with one electrode of the semiconductor switch 500 . can That is, the first line 301 electrically connected to the first electrode 500a of the semiconductor switch 500, the second electrode 500b of the semiconductor switch 500, and one end of the resistor 510 are connected in series, and Any two lines of the second line 302 electrically connected to the other end of the resistor 510 and the third line 303 electrically connected to the third electrode 500c of the corresponding semiconductor switch 500 are identical to each other. It may also be arranged laterally so that the layers do not intersect each other. That is, by adding a resistor 510 as well as the semiconductor switch 500 , the temperature controllable range may be expanded.

한편, 본 발명에 따른 반도체 스위치와 저항 사이의 연결이 반드시 위의 열거된 직렬 연결에 한정되는 것은 아니며, 본 발명의 목적을 달성할 수 있는 범위 내에서 병렬 연결되는 것으로 얼마든지 변경될 수 있음을 밝혀 둔다.On the other hand, the connection between the semiconductor switch and the resistor according to the present invention is not necessarily limited to the above-listed series connection, and it can be changed to be connected in parallel within the range that can achieve the object of the present invention. make it clear

또한, 본 발명의 일 실시예에 따른 히터층(220)의 상부(도 6 참조) 또는 하부(도 2 참조)에는, 앞서 살펴본 제1 히터(221)에 비하여 고전력(예를 들어, 제2 히터(226)의 전력은 100W 내지 10000W이고 제1 히터(221)의 전력은 5W 내지 20W일 수 있음)이고 상대적으로 넓은 적어도 하나의 구역(즉, 제1 히터(221)의 복수의 구역의 수보다 적은 수의 구역)을 대상으로 독립적으로 온도 제어가 가능한 복수의 제2 히터(226)가 배치되는 층(225)이 더 포함될 수 있다. 예를 들어, 위와 같은 제2 히터(226)는, 평균 온도 변화, 단계적 온도 제어 등을 위하여 이용되는 히터일 수 있으며, 종래의 메인 히터 또는 프라이머리 히터와 일부 유사할 수 있다. 또한, 복수의 제2 히터(226)가 배치되는 층은, 복수의 제1 히터(221)가 배치되는 층과 마찬가지로 절연층을 기반으로 하는 층일 수 있으며, 제2 히터(226)에 의한 열전도를 높이기 위하여 금속층을 더 포함할 수 있다. 한편, 위와 같은 복수의 제2 히터(226)는 저항성 히터, 세라믹 히터, 탄소 히터 등의 다양한 발열체로 구성될 수 있으며, 해당 층에서 소정의 패턴, 예를 들어, 나선형 형태, 동심원 형태, ㄹ 형태 등으로 배치될 수 있다. 여기서, 본 발명에 따른 히터의 종류나 형태가 위의 열거된 것에 반드시 한정되는 것은 아니며, 본 발명의 목적을 달성할 수 있는 범위 내에서 다른 종류의 발열체나 다양한 패턴(예를 들어, 정사각형, 직사각형, 육각형, 환형, 방사형 등)으로 얼마든지 변경될 수 있음을 밝혀 둔다.In addition, in the upper portion (see FIG. 6 ) or the lower portion (see FIG. 2 ) of the heater layer 220 according to an embodiment of the present invention, higher power (eg, the second heater) compared to the first heater 221 as described above The power of 226 is 100W to 10000W, and the power of the first heater 221 may be 5W to 20W) and is relatively wider than the number of at least one zone (ie, the plurality of zones of the first heater 221 ). A layer 225 in which a plurality of second heaters 226 capable of independently temperature control for a small number of zones) is disposed may be further included. For example, the second heater 226 as described above may be a heater used for average temperature change, step-wise temperature control, and the like, and may be partially similar to a conventional main heater or primary heater. In addition, the layer in which the plurality of second heaters 226 are disposed may be a layer based on an insulating layer, similar to the layer in which the plurality of first heaters 221 are disposed, and heat conduction by the second heater 226 is reduced. It may further include a metal layer to increase. On the other hand, the plurality of second heaters 226 as described above may be composed of various heating elements such as a resistive heater, a ceramic heater, a carbon heater, and a predetermined pattern in the corresponding layer, for example, a spiral shape, a concentric circle shape, a r shape. etc. may be placed. Here, the type or shape of the heater according to the present invention is not necessarily limited to those listed above, and within the scope that can achieve the object of the present invention, other types of heating elements or various patterns (eg, square, rectangular , hexagonal, annular, radial, etc.)

다음으로, 냉각 플레이트(230)는 히터층(220)의 하부에 배치될 수 있으며, 기판(w), 기판 지지 어셈블리(200)(예를 들어, 히터층(220)) 또는 챔버(110) 내부의 온도를 제어하기 위한 냉각이 이루어지도록 할 수 있다.Next, the cooling plate 230 may be disposed under the heater layer 220 , the substrate w, the substrate support assembly 200 (eg, the heater layer 220 ), or the inside of the chamber 110 . Cooling to control the temperature of the can be made.

구체적으로, 냉각 플레이트(230)에는 냉각을 위한 적어도 하나의 채널(231)이 형성되어 있을 수 있으며, 그 적어도 하나의 채널(231)을 통해 냉매가 공급됨에 따라 냉각이 이루어질 수 있다. 예를 들어, 이러한 냉매는 물, 에틸렌글리콜, 실리콘 오일, 액체 테플론, 물과 글리콜과의 혼합물 등으로 구성될 수 있다. 다만, 이러한 냉각 플레이트(230)의 적어도 하나의 채널(231)은 위와 같은 냉매가 공급되는 것이 아니라 주변의 열을 흡수할 수 있는 냉각 소자(미도시됨)를 포함하여 구성될 수도 있으며, 그 냉각 소자에 공급되는 전력을 제어함으로써 냉각이 이루어질 수 있다.Specifically, at least one channel 231 for cooling may be formed in the cooling plate 230 , and cooling may be performed as a refrigerant is supplied through the at least one channel 231 . For example, such a refrigerant may be composed of water, ethylene glycol, silicone oil, liquid Teflon, a mixture of water and glycol, and the like. However, at least one channel 231 of the cooling plate 230 may be configured to include a cooling element (not shown) capable of absorbing surrounding heat rather than supplying the refrigerant as above, and cooling the cooling plate 230 . Cooling can be achieved by controlling the power supplied to the device.

한편, 냉각 플레이트(230)에는 정전 플레이트층(210) 또는 히터층(220)에 전력을 공급하기 위하여 서로 절연되는 적어도 하나의 도관(conduit) 또는 적어도 하나의 커넥터(connector)가 임베딩(embedding)될 수도 있다.Meanwhile, in the cooling plate 230 , at least one conduit or at least one connector insulated from each other for supplying power to the electrostatic plate layer 210 or the heater layer 220 is to be embedded. may be

이상에서는, 본 발명에 따른 기판 지지 어셈블리(200)의 예시적인 구조에 대하여 살펴보았다. 이하에서는, 본 발명의 일 실시예에 따른 기판 지지 어셈블리(200)의 히터의 온도 제어 방식을 살펴본다.In the above, an exemplary structure of the substrate support assembly 200 according to the present invention has been described. Hereinafter, a method of controlling the temperature of the heater of the substrate support assembly 200 according to an embodiment of the present invention will be described.

온도 제어 방식temperature control method

도 7을 참조하면, 히터층(221)에는 4개의 행 및 6개의 열로 배열(4 X 6 매트릭스 구조)되는 24개의 모스펫(500) 각각이 제1 히터(221)로서 배치되고, 각각의 모스펫(500)의 제1 전극(즉, 드레인 전극)(501)과 전기적으로 연결되는 제1 라인(701)은 각각 열 스위치(SW_A, SW_B, SW_C, SW_D, SW_E, SW_F)와 전기적으로 연결될 수 있고, 해당 각각의 모스펫(500)의 제3 전극(즉, 게이트 전극)(503)과 전기적으로 연결되는 제3 라인(703)은 각각 행 스위치(SW_1, SW_2, SW_3, SW_4)와 전기적으로 연결될 수 있다. 또한, 해당 각각의 모스펫(500)의 제2 전극(즉, 소스 전극)(502)과 전기적으로 연결되는 제2 라인(702)은 모두 공통 접지와 전기적으로 연결될 수 있다. 또한, 이러한 행 스위치(SW_1, SW_2, SW_3, SW_4)와 열 스위치(SW_A, SW_B, SW_C, SW_D, SW_E, SW_F)는 히터 제어를 위한 소정의 컨트롤러(미도시됨; 예를 들어, 이러한 컨트롤러는, 앞서 살펴본 제어기(130) 내에 포함될 수 있음)에서 제공되는 스위치 제어 신호(SW_sig)에 의하여 제어될 수 있다. 한편, 각각의 모스펫(500)은 행 스위치(SW_1, SW_2, SW_3, SW_4) 및 열 스위치(SW_A, SW_B, SW_C, SW_D, SW_E, SW_F)에 의해서 각각의 전압 소스(미도시됨)와 연결될 수 있다.Referring to FIG. 7 , in the heater layer 221 , each of the 24 MOSFETs 500 arranged in 4 rows and 6 columns (4 X 6 matrix structure) is disposed as the first heater 221 , and each MOSFET ( The first line 701 electrically connected to the first electrode (that is, the drain electrode) 501 of 500 is electrically connected to the thermal switches SW_A, SW_B, SW_C, SW_D, SW_E, SW_F, respectively. The third line 703 electrically connected to the third electrode (ie, the gate electrode) 503 of each MOSFET 500 may be electrically connected to the row switches SW_1 , SW_2 , SW_3 , SW_4 , respectively. . In addition, the second line 702 electrically connected to the second electrode (ie, the source electrode) 502 of each MOSFET 500 may be electrically connected to a common ground. In addition, these row switches (SW_1, SW_2, SW_3, SW_4) and column switches (SW_A, SW_B, SW_C, SW_D, SW_E, SW_F) are a predetermined controller for controlling the heater (not shown; for example, these controllers are , may be controlled by the switch control signal SW_sig provided from the controller 130 described above). Meanwhile, each MOSFET 500 may be connected to each voltage source (not shown) by row switches SW_1, SW_2, SW_3, SW_4 and column switches SW_A, SW_B, SW_C, SW_D, SW_E, SW_F. have.

예를 들어, 제1 스위치 제어 신호(SW_sig_1)에 의하여 행 스위치(SW_1)가 턴 온되고, 제2 스위치 제어 신호(SW_sig_2)에 의하여 열 스위치(SW_A)가 턴 온되는 경우를 가정해 볼 수 있다. 즉, 행 스위치(SW_1)가 턴 온되면, 해당 행의 모스펫 각각의 제3 전극(즉, 게이트 전극)(503)에는 해당 모스펫의 문턱 전압(VTH) 이상의 전압(VGS)이 제공될 수 있다. 위의 행(1) 스위치(SW_1)가 턴 온되는 동안에, 열 스위치(SW_A)가 턴 온되면, 해당 열(A)의 모스펫(500) 각각의 제1 전극(즉, 드레인 전극)(701)에는, 위의 전압(VGS)보다 큰 전압(VDS)이 제공될 수 있고, 이로 인해, 위의 행(1)과 열(A)이 교차되는 모스펫(500)에는 전류(ID)가 흐를 수 있게 된다. 또한, 위와 같이 모스펫(500)에 흐르는 전류(ID)는 모스펫(500) 내에서 열 에너지로 전환되어 줄 열로 변환될 수 있고, 그 줄 열에 의하여 해당 모스펫(500) 각각에 대응하는 구역에 가열이 이루어질 수 있게 된다. 즉, 위와 같이 행 스위치 및 열 스위치를 구성하는 스위치의 턴 온 시간을 제어함으로써, 모스펫(500) 각각에 대한 온도 제어가 가능하게 된다. 또한, 행 스위치 및 열 스위치의 조합에 따라 각각의 모스펫에서 소모되는 전력을 참조하여, 복수의 구역에 대하여 원하는 온도 프로 파일(또는 타깃 온도)로 조절하기 위한 행 스위치 및 열 스위치의 턴 온 시간이 특정될 수 있다.For example, it may be assumed that the row switch SW_1 is turned on by the first switch control signal SW_sig_1 and the column switch SW_A is turned on by the second switch control signal SW_sig_2. . That is, when the row switch SW_1 is turned on, a voltage V GS greater than or equal to the threshold voltage V TH of the MOSFET may be provided to the third electrode (ie, the gate electrode) 503 of each MOSFET in the row. have. When the column switch SW_A is turned on while the switch SW_1 is turned on in the row 1 above, the first electrode (ie, the drain electrode) 701 of each of the MOSFETs 500 in the column A is turned on. can be provided with a voltage V DS greater than the above voltage V GS , whereby a current I D is generated in the MOSFET 500 where the above row 1 and column A are crossed. be able to flow In addition, the current I D flowing through the MOSFET 500 as above may be converted into thermal energy within the MOSFET 500 and converted into Joule heat, and the area corresponding to each of the MOSFET 500 is heated by the Joule heat. this can be done That is, by controlling the turn-on time of the switches constituting the row switch and the column switch as described above, temperature control for each of the MOSFETs 500 is possible. In addition, with reference to the power consumed by each MOSFET according to the combination of the row switch and the column switch, the turn-on time of the row switch and the column switch for adjusting the desired temperature profile (or target temperature) for a plurality of zones is determined. can be specified.

한편, 본 발명에 따른 전력 제공 방식이 앞서 살펴본 스위치 방식에 반드시 한정되는 것은 아니며, 본 발명의 목적을 달성할 수 있는 범위 내에서 펄스 전압 등으로 다양하게 변형될 수 있다.On the other hand, the power supply method according to the present invention is not necessarily limited to the above-described switch method, and may be variously modified into a pulse voltage or the like within a range capable of achieving the object of the present invention.

예를 들어, 도 8을 참조하면, 히터층(221)에는 4개의 행 및 6개의 열로 배열(4 X 6 매트릭스 구조)되는 24개의 모스펫(500) 및 저항(510) 각각이 제1 히터(221)로서 배치되고, 각각의 모스펫(500)의 제1 전극(즉, 드레인 전극)(501)과 전기적으로 연결되는 제1 라인(801)에는 전압 소스로부터 각각 소정 듀티 타임(duty time)에 따른 펄스 전압(PV_A_1, PV_B_1, PV_C_1, PV_D_1, PV_E_1, PV_F_1)이 제공될 수 있고, 해당 각각의 모스펫(500)의 제3 전극(즉, 게이트 전극)(503)과 전기적으로 연결되는 제3 라인(803)에는 전압 소스로부터 각각 소정 듀티 타임(duty time)에 따른 펄스 전압(PV_3_1, PV_3_2, PV_3_3, PV_3_4)이 제공될 수 있다. 또한, 해당 각각의 모스펫(500)의 제2 전극(즉, 소스 전극)과 저항(510)의 일단이 직렬로 연결되고 그 저항(510)의 타단과 전기적으로 연결되는 제2 라인(802)은 모두 공통 접지와 전기적으로 연결될 수 있다. 또한, 이러한 제1 라인(801) 및 제3 라인(803)에 각각 공급되는 펄스 전압(PV_A_1, PV_B_1, PV_C_1, PV_D_1, PV_E_1, PV_F_1, PV_3_1, PV_3_2, PV_3_3, PV_3_4)의 듀티 타임은 히터 제어를 위한 소정의 컨트롤러(미도시됨; 예를 들어, 이러한 컨트롤러는, 앞서 살펴본 제어기(130) 내에 포함될 수 있음)에 의하여 제어될 수 있다.For example, referring to FIG. 8 , in the heater layer 221 , each of the 24 MOSFETs 500 and the resistor 510 arranged in 4 rows and 6 columns (4 X 6 matrix structure) is the first heater 221 . ) and a pulse according to a predetermined duty time from a voltage source on the first line 801 electrically connected to the first electrode (ie, drain electrode) 501 of each MOSFET 500 . Voltages PV_A_1, PV_B_1, PV_C_1, PV_D_1, PV_E_1, PV_F_1 may be provided, and a third line 803 electrically connected to a third electrode (ie, a gate electrode) 503 of each MOSFET 500 . ), pulse voltages PV_3_1 , PV_3_2 , PV_3_3 , and PV_3_4 according to a predetermined duty time may be provided from the voltage source, respectively. In addition, the second line 802 in which the second electrode (ie, the source electrode) of each MOSFET 500 and one end of the resistor 510 are connected in series and the other end of the resistor 510 is electrically connected. All can be electrically connected to a common ground. In addition, the duty times of the pulse voltages (PV_A_1, PV_B_1, PV_C_1, PV_D_1, PV_E_1, PV_F_1, PV_3_1, PV_3_2, PV_3_3, PV_3_4) respectively supplied to the first line 801 and the third line 803 control the heater control. It may be controlled by a predetermined controller (not shown; for example, such a controller may be included in the controller 130 described above).

예를 들어, 제1 라인(801a, 801b, 801c, 801d, 801e, 801f)에 제1 듀티 타임으로 펄스 전압(PV_A_1, PV_B_1, PV_C_1, PV_D_1, PV_E_1, PV_F_1)이 순차적으로 제공되고, 제3 라인(803a, 803b, 803c, 803d)에 제2 듀티 타임으로 펄스 전압(PV_3_1, PV_3_2, PV_3_3, PV_3_4)이 순차적으로 제공되는 경우를 가정해 볼 수 있다. 즉, 제3 라인(803a, 803b, 803c, 803d)에 제2 듀티 타임으로 펄스 전압(PV_3_1, PV_3_2, PV_3_3, PV_3_4)이 제공되면, 해당 제3 라인(803a, 803b, 803c, 803d)의 모스펫(500) 각각의 제3 전극(즉, 게이트 전극)(503)에는 해당 모스펫의 문턱 전압(VTH) 이상의 게이트 전압(VG)이 순차적으로 해당 듀티 타임에 대응하여 공급될 수 있다. 또한, 제1 라인(801a, 801b, 801c, 801d, 801e, 801f)에 제1 듀티 타임으로 펄스 전압(PV_A_1, PV_B_1, PV_C_1, PV_D_1, PV_E_1, PV_F_1)이 제공되면, 제1 라인(801a, 801b, 801c, 801d, 801e, 801f)의 모스펫(500) 각각의 제1 전극(즉, 드레인 전극)(501)에는 드레인 전압(VD)이 순차적으로 해당 듀티 타임에 대응하여 공급될 수 있다. 이 경우에, 위의 제1 라인(801) 및 제3 라인(803)에 제공되는 펄스 전압(PV_A_1, PV_B_1, PV_C_1, PV_D_1, PV_E_1, PV_F_1, PV_3_1, PV_3_2, PV_3_3, PV_3_4)의 듀티 타임이 서로 오버랩이 이루어지는 모스펫(500) 및 저항(510)에는 전류(ID)가 흐를 수 있다. 따라서, 위의 모스펫(500) 및 저항(510)에 흐르는 전류(ID)는 모스펫(500) 및 저항(510)에서 열 에너지로 전환되어 줄 열로 변환될 수 있고, 그 줄 열에 의하여 해당 모스펫(500) 및 저항(510) 각각에 대응하는 구역에 가열이 이루어질 수 있게 된다.For example, the pulse voltages PV_A_1, PV_B_1, PV_C_1, PV_D_1, PV_E_1, PV_F_1 are sequentially provided to the first lines 801a, 801b, 801c, 801d, 801e, and 801f at the first duty time, and the third line It may be assumed that the pulse voltages PV_3_1, PV_3_2, PV_3_3, and PV_3_4 are sequentially provided to (803a, 803b, 803c, and 803d) as the second duty time. That is, when the pulse voltages PV_3_1, PV_3_2, PV_3_3, and PV_3_4 are provided to the third lines 803a, 803b, 803c, and 803d at the second duty time, the MOSFETs of the corresponding third lines 803a, 803b, 803c, and 803d A gate voltage (V G ) equal to or greater than the threshold voltage (V TH ) of the corresponding MOSFET may be sequentially supplied to each third electrode (ie, the gate electrode) 503 in response to the corresponding duty time. In addition, when the pulse voltages PV_A_1, PV_B_1, PV_C_1, PV_D_1, PV_E_1, PV_F_1 are provided to the first lines 801a, 801b, 801c, 801d, 801e, and 801f at the first duty time, the first lines 801a and 801b , 801c, 801d, 801e, and 801f), the drain voltage V D may be sequentially supplied to the first electrode (ie, the drain electrode) 501 of the MOSFET 500 corresponding to the corresponding duty time. In this case, the duty times of the pulse voltages PV_A_1, PV_B_1, PV_C_1, PV_D_1, PV_E_1, PV_F_1, PV_3_1, PV_3_2, PV_3_3, PV_3_4 provided to the first line 801 and the third line 803 are mutually different. A current I D may flow through the overlapping MOSFET 500 and the resistor 510 . Accordingly, the current I D flowing through the MOSFET 500 and the resistor 510 may be converted into thermal energy in the MOSFET 500 and the resistor 510 and converted into Joule heat, and the corresponding MOSFET ( 500) and a region corresponding to each of the resistors 510 may be heated.

한편, 이상에서 살펴본 제1 라인(801)에 제공되는 펄스 전압 및 제3 라인(803)에 제공되는 펄스 전압은, 위의 소정의 컨트롤러에 의해, 각 모스펫(500)을 대상으로 순차적으로 타겟 평균 전력이 공급되거나, 시분할 멀티플렉싱 방식에 의해 복수의 모스펫(500)을 대상으로 타겟 평균 전력이 공급될 수 있다.Meanwhile, the pulse voltage provided to the first line 801 and the pulse voltage provided to the third line 803 as described above are sequentially target averages for each MOSFET 500 by the predetermined controller. Power may be supplied, or target average power may be supplied to the plurality of MOSFETs 500 by a time division multiplexing method.

예를 들어, 먼저, 제3 라인(803d)에 소정 듀티 타임(이러한 듀티 타임은, 각 모스펫을 타겟 온도로 제어하기 위하여 기산출될 수 있음)으로 펄스 전압(PV_3_4)이 제공되는 동안에(이 경우에, 다른 제3 라인(803a, 803b, 803c)에는 펄스 전압이 제공되지 않을 수 있음), 제1 라인(801a, 801b, 801c, 801d, 801e, 801f)에 소정 듀티 타임(이러한 듀티 타임은, 각 모스펫을 타겟 온도로 제어하기 위하여 기산출될 수 있음)으로 시분할되어 펄스 전압이 제공되면, 제1 모스펫(504)과 그 저항, 제2 모스펫(505)과 그 저항, 제3 모스펫(506)과 그 저항, 제4 모스펫(507)과 그 저항, 제5 모스펫(508)과 그 저항 및 제6 모스펫(509)과 그 저항에 위의 시분할되는 듀티 타임에 대응하여 전류(ID)가 흘러 가열이 이루어질 수 있게 된다. 그 다음에, 제3 라인(803c)에 소정 듀티 타임(이러한 듀티 타임은, 각 모스펫을 타겟 온도로 제어하기 위하여 기산출될 수 있음)으로 펄스 전압(PV_3_3)이 제공되는 동안에(이 경우에, 다른 제3 라인(803a, 803b, 803d)에는 펄스 전압이 제공되지 않을 수 있음), 제1 라인(801a, 801b, 801c, 801d, 801e, 801f)에 소정 듀티 타임(이러한 듀티 타임은, 각 모스펫을 타겟 온도로 제어하기 위하여 기산출될 수 있음)으로 시분할되어 펄스 전압이 제공되면, 제1 모스펫(504a)과 그 저항, 제2 모스펫(505a)과 그 저항, 제3 모스펫(506a)과 그 저항, 제4 모스펫(507a)과 그 저항, 제5 모스펫(508a)과 그 저항 및 제6 모스펫(509a)과 그 저항에 위의 시분할되는 듀티 타임에 대응하여 전류(ID)가 흘러 가열이 이루어질 수 있게 된다. 그 다음에, 다른 제3 라인(803a, 803b)에 대하여도 위의 과정이 반복적으로 수행됨에 따라 모든 모스펫(500)을 대상으로 온도 제어가 가능하게 된다.For example, first, while the pulse voltage PV_3_4 is provided to the third line 803d for a predetermined duty time (the duty time may be pre-calculated to control each MOSFET to a target temperature) (in this case) In the third line (803a, 803b, 803c), the pulse voltage may not be provided), the first line (801a, 801b, 801c, 801d, 801e, 801f) has a predetermined duty time (this duty time is, When the pulse voltage is provided by time-divisioning each MOSFET to control the target temperature to a target temperature), the first MOSFET 504 and its resistance, the second MOSFET 505 and its resistance, and the third MOSFET 506 and its resistance, the fourth MOSFET 507 and its resistance, the fifth MOSFET 508 and its resistance, and the sixth MOSFET 509 and its resistance, a current (I D ) flows in response to the above time-divided duty time Heating can be achieved. Then, while the pulse voltage PV_3_3 is provided to the third line 803c at a predetermined duty time (this duty time can be pre-calculated to control each MOSFET to a target temperature) (in this case, The other third lines 803a, 803b, 803d may not be provided with a pulse voltage), and a predetermined duty time on the first line 801a, 801b, 801c, 801d, 801e, 801f (these duty times are for each MOSFET) may be pre-calculated to control the target temperature) and time-divided to provide a pulse voltage, the first MOSFET 504a and its resistance, the second MOSFET 505a and its resistance, and the third MOSFET 506a and its resistance In response to the above time-divided duty time in the resistor, the fourth MOSFET 507a and its resistance, the fifth MOSFET 508a and its resistance, and the sixth MOSFET 509a and its resistance, a current I D flows and heating is performed. can be done Then, as the above process is repeatedly performed for other third lines 803a and 803b, temperature control is possible for all MOSFETs 500 .

다른 예를 들어, 제3 라인(803c, 803d)에 소정 듀티 타임(이러한 듀티 타임은, 각 모스펫을 타겟 온도로 제어하기 위하여 기산출될 수 있음)으로 펄스 전압(PV_3_3, PV_3_4)이 제공되는 동안에(이 경우에, 다른 제3 라인(803a, 803b)에는 펄스 전압이 제공되지 않을 수 있음), 제1 라인(801a, 801b, 801c, 801d, 801e, 801f)에 소정 듀티 타임(이러한 듀티 타임은, 각 모스펫을 타겟 온도로 제어하기 위하여 기산출될 수 있음)으로 시분할되어 펄스 전압 제공되면, 제1 모스펫(504, 504a)과 그 각각의 저항, 제2 모스펫(505, 505a)과 그 각각의 저항, 제3 모스펫(506, 506a)과 그 각각의 저항, 제4 모스펫(507, 507a)과 그 각각의 저항, 제5 모스펫(508, 508a)과 그 각각의 저항 및 제6 모스펫(509, 509a)과 그 각각의 저항에 위의 시분할되는 듀티 타임에 대응하여 전류(ID)가 흘러 가열이 이루어질 수 있게 된다. 그 다음에, 다른 제3 라인(803a, 803b)에 대하여도 위의 과정이 반복적으로 수행됨에 따라 모든 모스펫(500)을 대상으로 온도 제어가 가능하게 된다.As another example, while the pulse voltages PV_3_3 and PV_3_4 are provided to the third lines 803c and 803d for a predetermined duty time (the duty time may be pre-calculated to control each MOSFET to a target temperature) (In this case, the pulse voltage may not be provided to the other third lines 803a and 803b), the first lines 801a, 801b, 801c, 801d, 801e, 801f have a predetermined duty time (this duty time , which may be pre-calculated to control each MOSFET to a target temperature) and time-divided to provide a pulse voltage, the first MOSFETs 504 and 504a and their respective resistors, the second MOSFETs 505 and 505a and their respective resistors, the third MOSFETs 506 and 506a and their respective resistors, the fourth MOSFETs 507 and 507a and their respective resistors, the fifth MOSFETs 508 and 508a and their respective resistors, and the sixth MOSFET 509, 509a) and its respective resistors, in response to the above time-divided duty time, a current (I D ) flows to allow heating. Then, as the above process is repeatedly performed for other third lines 803a and 803b, temperature control is possible for all MOSFETs 500 .

이상 설명된 본 발명에 따른 실시예는 다양한 컴퓨터 구성요소를 통하여 실행될 수 있는 프로그램 명령어의 형태로 구현되어 컴퓨터 판독 가능한 기록 매체에 기록될 수 있다. 상기 컴퓨터 판독 가능한 기록 매체는 프로그램 명령어, 데이터 파일, 데이터 구조 등을 단독으로 또는 조합하여 포함할 수 있다. 상기 컴퓨터 판독 가능한 기록 매체에 기록되는 프로그램 명령어는 본 발명을 위하여 특별히 설계되고 구성된 것이거나 컴퓨터 소프트웨어 분야의 당업자에게 공지되어 사용 가능한 것일 수 있다. 컴퓨터 판독 가능한 기록 매체의 예에는, 하드 디스크, 플로피 디스크 및 자기 테이프와 같은 자기 매체, CD-ROM 및 DVD와 같은 광기록 매체, 플롭티컬 디스크(floptical disk)와 같은 자기-광 매체(magneto-optical medium), 및 ROM, RAM, 플래시 메모리 등과 같은, 프로그램 명령어를 저장하고 실행하도록 특별히 구성된 하드웨어 장치가 포함된다. 프로그램 명령어의 예에는, 컴파일러에 의하여 만들어지는 것과 같은 기계어 코드뿐만 아니라 인터프리터 등을 사용하여 컴퓨터에 의해서 실행될 수 있는 고급 언어 코드도 포함된다. 하드웨어 장치는 본 발명에 따른 처리를 수행하기 위하여 하나 이상의 소프트웨어 모듈로 변경될 수 있으며, 그 역도 마찬가지이다.The embodiments according to the present invention described above may be implemented in the form of program instructions that can be executed through various computer components and recorded in a computer-readable recording medium. The computer-readable recording medium may include program instructions, data files, data structures, etc. alone or in combination. The program instructions recorded on the computer-readable recording medium may be specially designed and configured for the present invention, or may be known and used by those skilled in the art of computer software. Examples of the computer-readable recording medium include hard disks, magnetic media such as floppy disks and magnetic tapes, optical recording media such as CD-ROMs and DVDs, and magneto-optical media such as floppy disks. medium), and hardware devices specially configured to store and execute program instructions, such as ROM, RAM, flash memory, and the like. Examples of program instructions include not only machine language codes such as those generated by a compiler, but also high-level language codes that can be executed by a computer using an interpreter or the like. A hardware device may be converted into one or more software modules to perform processing in accordance with the present invention, and vice versa.

이상에서 본 발명이 구체적인 구성요소 등과 같은 특정 사항과 한정된 실시예 및 도면에 의하여 설명되었으나, 이는 본 발명의 보다 전반적인 이해를 돕기 위하여 제공된 것일 뿐, 본 발명이 상기 실시예에 한정되는 것은 아니며, 본 발명이 속하는 기술분야에서 통상적인 지식을 가진 자라면 이러한 기재로부터 다양한 수정과 변경을 꾀할 수 있다.In the above, the present invention has been described with reference to specific matters such as specific components and limited embodiments and drawings, but these are provided to help a more general understanding of the present invention, and the present invention is not limited to the above embodiments, Those of ordinary skill in the art to which the invention pertains can make various modifications and changes from these descriptions.

따라서, 본 발명의 사상은 상기 설명된 실시예에 국한되어 정해져서는 아니 되며, 후술하는 특허청구범위뿐만 아니라 이 특허청구범위와 균등한 또는 이로부터 등가적으로 변경된 모든 범위는 본 발명의 사상의 범주에 속한다고 할 것이다.Therefore, the spirit of the present invention should not be limited to the above-described embodiments, and the scope of the spirit of the present invention is not limited to the scope of the scope of the present invention. will be said to belong to

100: 플라즈마 프로세싱 시스템
110: 챔버
120: 코일
130: 제어기
200: 기판 지지 어셈블리
210: 정전 클램핑층
220: 히터층
230: 냉각 플레이트
500: 반도체 스위치
510: 저항
100: plasma processing system
110: chamber
120: coil
130: controller
200: substrate support assembly
210: electrostatic clamping layer
220: heater layer
230: cooling plate
500: semiconductor switch
510: resistance

Claims (20)

다구역 온도 제어를 위한 기판 지지 어셈블리로서,
반도체 스위치를 발열체로서 포함하고, 기판이 지지되는 상기 기판 지지 어셈블리의 상부 지지 표면을 중심으로 특정되는 복수의 구역 각각에 대하여 독립적으로 온도 제어가 가능한 복수의 제1 히터가 배치되는 히터층(heater layer)을 포함하고,
상기 히터층에는, 상기 제1 히터의 제1 전극과 전기적으로 연결되는 제1 라인, 상기 제1 히터의 제2 전극과 전기적으로 연결되는 제2 라인 및 상기 제1 히터의 제3 전극과 전기적으로 연결되는 제3 라인 중 어느 두 라인이 서로 교차하지 않고 서로 동일한 층에 측방향(laterally)으로 배치되는
기판 지지 어셈블리.
A substrate support assembly for multi-zone temperature control, comprising:
A heater layer including a semiconductor switch as a heating element, and in which a plurality of first heaters capable of independently controlling temperature are disposed in each of a plurality of zones specified around an upper support surface of the substrate support assembly on which a substrate is supported. ), including
The heater layer includes a first line electrically connected to the first electrode of the first heater, a second line electrically connected to the second electrode of the first heater, and a third electrode of the first heater electrically. Any two of the connected third lines do not intersect each other and are arranged laterally on the same layer.
substrate support assembly.
제1항에 있어서,
상기 히터층은 제1 절연층, 제2 절연층 및 제3 절연층을 포함하고,
상기 복수의 제1 히터가 상기 제1 절연층에 배치되고,
상기 제1 라인 및 상기 제2 라인이 상기 제1 절연층 하부의 제2 절연층에 배치되고 상기 제3 라인이 상기 제2 절연층의 하부의 제3 절연층에 배치되거나,
상기 제1 라인이 상기 제1 절연층 하부의 제2 절연층에 배치되고 상기 제2 라인 및 상기 제3 라인이 상기 제2 절연층의 하부의 제3 절연층에 배치되는
기판 지지 어셈블리.
According to claim 1,
The heater layer includes a first insulating layer, a second insulating layer and a third insulating layer,
The plurality of first heaters are disposed on the first insulating layer,
the first line and the second line are disposed in a second insulating layer under the first insulating layer and the third line is disposed in a third insulating layer under the second insulating layer;
wherein the first line is disposed in a second insulating layer under the first insulating layer and the second line and the third line are disposed in a third insulating layer under the second insulating layer
substrate support assembly.
제1항에 있어서,
상기 제1 히터는, 상기 반도체 스위치와 직렬 또는 병렬 연결되는 저항을 발열체로서 더 포함하는
기판 지지 어셈블리.
According to claim 1,
The first heater may further include a resistor connected in series or in parallel with the semiconductor switch as a heating element.
substrate support assembly.
제1항에 있어서,
상기 복수의 제1 히터는, 상기 히터층에 복수의 행 및 복수의 열로 구성되는 매트리스 형태로 배치되는
기판 지지 어셈블리.
According to claim 1,
The plurality of first heaters are arranged in the form of a mattress consisting of a plurality of rows and a plurality of columns on the heater layer
substrate support assembly.
제1항에 있어서,
상기 제1 라인, 상기 제2 라인 및 상기 제3 라인 중 어느 하나가 공통 접지와 전기적으로 연결되는
기판 지지 어셈블리.
According to claim 1,
any one of the first line, the second line, and the third line is electrically connected to a common ground
substrate support assembly.
제1항에 있어서,
상기 반도체 스위치는 모스펫(MOSFET)이고, 상기 제1 전극 및 상기 제2 전극은 상기 모스펫의 드레인(drain) 전극 및 상기 모스펫의 소스(source) 전극이며, 상기 제3 전극은 상기 모스펫의 게이트(gate) 전극인
기판 지지 어셈블리.
According to claim 1,
The semiconductor switch is a MOSFET, the first electrode and the second electrode are a drain electrode of the MOSFET and a source electrode of the MOSFET, and the third electrode is a gate of the MOSFET ) electrode
substrate support assembly.
제1항에 있어서,
상기 반도체 스위치는 접합형 트랜지스터(BJT)이고, 상기 제1 전극 및 상기 제2 전극은 상기 접합형 트랜지스터의 컬렉터(collector) 전극 및 상기 접합형 트랜지스터의 이미터(emitter) 전극이며, 상기 제3 전극은 상기 접합형 트랜지스터의 베이스(base) 전극인
기판 지지 어셈블리.
According to claim 1,
the semiconductor switch is a junction-type transistor (BJT), the first electrode and the second electrode are a collector electrode of the junction-type transistor and an emitter electrode of the junction-type transistor, and the third electrode is the base electrode of the junction type transistor
substrate support assembly.
제1항에 있어서,
상기 히터층은, 제1 히터에 비하여 고전력이고 상대적으로 넓은 적어도 하나의 구역을 대상으로 독립적으로 온도 제어가 가능한 복수의 제2 히터가 배치되는 층을 더 포함하는
기판 지지 어셈블리.
According to claim 1,
The heater layer further includes a layer in which a plurality of second heaters capable of independently controlling the temperature of at least one area having high power compared to the first heater and relatively wide are disposed.
substrate support assembly.
제1항에 있어서,
상기 기판을 클램핑하기 위한 정전 전극이 배치되는 정전 클램핑층을 더 포함하는
기판 지지 어셈블리.
According to claim 1,
and an electrostatic clamping layer on which an electrostatic electrode for clamping the substrate is disposed.
substrate support assembly.
제1항에 있어서,
시분할 멀티플렉싱에 의해, 상기 제1 라인, 상기 제2 라인 및 상기 제3 라인에 공급되는 전력이 제어되는
기판 지지 어셈블리.
According to claim 1,
Power supplied to the first line, the second line, and the third line is controlled by time division multiplexing.
substrate support assembly.
플라즈마 프로세싱 시스템으로서,
기판 지지 어셈블리를 포함하는 챔버를 포함하고,
상기 기판 지지 어셈블리는,
반도체 스위치를 발열체로서 포함하고, 기판이 지지되는 상기 기판 지지 어셈블리의 상부 지지 표면을 중심으로 특정되는 복수의 구역 각각에 대하여 독립적으로 온도 제어가 가능한 복수의 제1 히터가 배치되는 히터층(heater layer)을 포함하고,
상기 히터층에는, 상기 제1 히터의 제1 전극과 전기적으로 연결되는 제1 라인, 상기 제1 히터의 제2 전극과 전기적으로 연결되는 제2 라인 및 상기 제1 히터의 제3 전극과 전기적으로 연결되는 제3 라인 중 어느 두 라인이 서로 교차하지 않고 서로 동일한 층에 측방향(laterally)으로 배치되는
플라즈마 프로세싱 시스템.
A plasma processing system comprising:
a chamber comprising a substrate support assembly;
The substrate support assembly comprises:
A heater layer including a semiconductor switch as a heating element, and in which a plurality of first heaters capable of independently controlling temperature are disposed in each of a plurality of zones specified around an upper support surface of the substrate support assembly on which a substrate is supported. ), including
The heater layer includes a first line electrically connected to the first electrode of the first heater, a second line electrically connected to the second electrode of the first heater, and a third electrode of the first heater electrically. Any two of the connected third lines do not intersect each other and are arranged laterally on the same layer.
Plasma processing system.
제11항에 있어서,
상기 히터층은 제1 절연층, 제2 절연층 및 제3 절연층을 포함하고,
상기 복수의 제1 히터가 상기 제1 절연층에 배치되고,
상기 제1 라인 및 상기 제2 라인이 상기 제1 절연층 하부의 제2 절연층에 배치되고 상기 제3 라인이 상기 제2 절연층의 하부의 제3 절연층에 배치되거나,
상기 제1 라인이 상기 제1 절연층 하부의 제2 절연층에 배치되고 상기 제2 라인 및 상기 제3 라인이 상기 제2 절연층의 하부의 제3 절연층에 배치되는
플라즈마 프로세싱 시스템.
12. The method of claim 11,
The heater layer includes a first insulating layer, a second insulating layer and a third insulating layer,
The plurality of first heaters are disposed on the first insulating layer,
the first line and the second line are disposed in a second insulating layer under the first insulating layer and the third line is disposed in a third insulating layer under the second insulating layer;
wherein the first line is disposed in a second insulating layer under the first insulating layer and the second line and the third line are disposed in a third insulating layer under the second insulating layer
Plasma processing system.
제11항에 있어서,
상기 제1 히터는, 상기 반도체 스위치와 직렬 또는 병렬 연결되는 저항을 발열체로서 더 포함하는
플라즈마 프로세싱 시스템.
12. The method of claim 11,
The first heater may further include a resistor connected in series or in parallel with the semiconductor switch as a heating element.
Plasma processing system.
제11항에 있어서,
상기 복수의 제1 히터는, 상기 히터층에 복수의 행 및 복수의 열로 구성되는 매트리스 형태로 배치되는
플라즈마 프로세싱 시스템.
12. The method of claim 11,
The plurality of first heaters are arranged in the form of a mattress consisting of a plurality of rows and a plurality of columns on the heater layer
Plasma processing system.
제11항에 있어서,
상기 제1 라인, 상기 제2 라인 및 상기 제3 라인 중 어느 하나가 공통 접지와 전기적으로 연결되는
플라즈마 프로세싱 시스템.
12. The method of claim 11,
any one of the first line, the second line, and the third line is electrically connected to a common ground
Plasma processing system.
제11항에 있어서,
상기 반도체 스위치는 모스펫(MOSFET)이고, 상기 제1 전극 및 상기 제2 전극은 상기 모스펫의 드레인(drain) 전극 및 상기 모스펫의 소스(source) 전극이며, 상기 제3 전극은 상기 모스펫의 게이트(gate) 전극인
플라즈마 프로세싱 시스템.
12. The method of claim 11,
The semiconductor switch is a MOSFET, the first electrode and the second electrode are a drain electrode of the MOSFET and a source electrode of the MOSFET, and the third electrode is a gate of the MOSFET ) electrode
Plasma processing system.
제11항에 있어서,
상기 반도체 스위치는 접합형 트랜지스터(BJT)이고, 상기 제1 전극 및 상기 제2 전극은 상기 접합형 트랜지스터의 컬렉터(collector) 전극 및 상기 접합형 트랜지스터의 이미터(emitter) 전극이며, 상기 제3 전극은 상기 접합형 트랜지스터의 베이스(base) 전극인
플라즈마 프로세싱 시스템.
12. The method of claim 11,
the semiconductor switch is a junction-type transistor (BJT), the first electrode and the second electrode are a collector electrode of the junction-type transistor and an emitter electrode of the junction-type transistor, and the third electrode is the base electrode of the junction type transistor
Plasma processing system.
제11항에 있어서,
상기 히터층은, 제1 히터에 비하여 고전력이고 상대적으로 넓은 적어도 하나의 구역을 대상으로 독립적으로 온도 제어가 가능한 복수의 제2 히터가 배치되는 층을 더 포함하는
플라즈마 프로세싱 시스템.
12. The method of claim 11,
The heater layer further includes a layer in which a plurality of second heaters capable of independently controlling the temperature of at least one area having high power compared to the first heater and relatively wide are disposed.
Plasma processing system.
제11항에 있어서,
상기 기판을 클램핑하기 위한 정전 전극이 배치되는 정전 클램핑층을 더 포함하는
플라즈마 프로세싱 시스템.
12. The method of claim 11,
and an electrostatic clamping layer on which an electrostatic electrode for clamping the substrate is disposed.
Plasma processing system.
제11항에 있어서,
시분할 멀티플렉싱에 의해, 상기 제1 라인, 상기 제2 라인 및 상기 제3 라인에 공급되는 전력이 제어되는
플라즈마 프로세싱 시스템.
12. The method of claim 11,
Power supplied to the first line, the second line, and the third line is controlled by time division multiplexing.
Plasma processing system.
KR1020200054800A 2020-05-07 2020-05-07 A substrate support assembly for multi-zone temperature control and a plasma processing system having the same KR102440415B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020200054800A KR102440415B1 (en) 2020-05-07 2020-05-07 A substrate support assembly for multi-zone temperature control and a plasma processing system having the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020200054800A KR102440415B1 (en) 2020-05-07 2020-05-07 A substrate support assembly for multi-zone temperature control and a plasma processing system having the same

Publications (2)

Publication Number Publication Date
KR20210136488A KR20210136488A (en) 2021-11-17
KR102440415B1 true KR102440415B1 (en) 2022-09-13

Family

ID=78703131

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200054800A KR102440415B1 (en) 2020-05-07 2020-05-07 A substrate support assembly for multi-zone temperature control and a plasma processing system having the same

Country Status (1)

Country Link
KR (1) KR102440415B1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102622739B1 (en) * 2022-02-10 2024-01-09 주식회사 유진테크 Batch type substrate processing apparatus
KR102640939B1 (en) * 2022-03-04 2024-02-27 주식회사 유진테크 Batch type substrate processing apparatus

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019187202A (en) 2018-04-17 2019-10-24 株式会社ジェイテクト Motor device

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1219141B1 (en) 1999-09-29 2010-12-15 Tokyo Electron Limited Multi-zone resistance heater
WO2013033348A1 (en) * 2011-08-30 2013-03-07 Watlow Electric Manufacturing Company System and method for controlling a thermal array
US10237916B2 (en) * 2015-09-30 2019-03-19 Tokyo Electron Limited Systems and methods for ESC temperature control
KR20170123830A (en) * 2016-04-29 2017-11-09 세메스 주식회사 Apparatus for controlling temperature of substrate, apparatus for treating substrate comprising the same, and method of controlling the same
KR102323082B1 (en) * 2019-12-30 2021-11-09 세메스 주식회사 Apparatus for controlling temperature of substrate, and apparatus for treating substrate comprising the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019187202A (en) 2018-04-17 2019-10-24 株式会社ジェイテクト Motor device

Also Published As

Publication number Publication date
KR20210136488A (en) 2021-11-17

Similar Documents

Publication Publication Date Title
JP7023321B2 (en) Pixel temperature controlled board support assembly
KR102440415B1 (en) A substrate support assembly for multi-zone temperature control and a plasma processing system having the same
KR102471635B1 (en) Ultra-uniform heated substrate support assembly
TWI634605B (en) Multiplexed heater array using ac drive for semiconductor processing
CN103201826B (en) The hot plate with planar heater zone for semiconductor processes
TWI633622B (en) Temperature controlled substrate support assembly
TWI541517B (en) Methods of fault detection for multiplexed heater array
US10699883B2 (en) Plasma processing apparatus, method of operating plasma processing apparatus, and power supply device
TW201448108A (en) Multi zone heating and cooling ESC for plasma process chamber
CN106057708A (en) Heating plate with diode planar heater zones for semiconductor processing
KR102615915B1 (en) Systems and methods for esc temperature control
KR20140063840A (en) Thermal plate with planar thermal zones for semiconductor processing
KR20150003318A (en) Current peak spreading schemes for multiplexed heated array
TWM448051U (en) Electrostatic chuck capable of sectionally regulating temperature
US11728198B2 (en) Electrostatic chuck and wafer etching device including the same
JP2015162586A (en) Electrostatic chuck and temperature control method of electrostatic chuck
CN115053323A (en) Electrostatic edge ring seating system for substrate processing
KR102440417B1 (en) A heater system for multi-zone temperature control and a substrate support assembly comprising the same
WO2015194675A1 (en) Heating device, heating method, temperature adjustment mechanism, and semiconductor manufacturing device
CN114496692B (en) Heating assembly, substrate bearing assembly and plasma processing device thereof
KR101329315B1 (en) Substrate supporting unit and substrate treating apparatus including the unit
WO2024085761A1 (en) Heating element for a substrate processing system
JP2022545261A (en) Semiconductor processing equipment with improved uniformity
TW202114475A (en) Heating system and method of creating or fabricating heating system
CN115910737A (en) Support unit and apparatus for processing substrate

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant