KR102424642B1 - Inner spacer features for multi-gate transistors - Google Patents

Inner spacer features for multi-gate transistors Download PDF

Info

Publication number
KR102424642B1
KR102424642B1 KR1020200138271A KR20200138271A KR102424642B1 KR 102424642 B1 KR102424642 B1 KR 102424642B1 KR 1020200138271 A KR1020200138271 A KR 1020200138271A KR 20200138271 A KR20200138271 A KR 20200138271A KR 102424642 B1 KR102424642 B1 KR 102424642B1
Authority
KR
South Korea
Prior art keywords
layer
channel
inner spacer
connecting portion
outer layer
Prior art date
Application number
KR1020200138271A
Other languages
Korean (ko)
Other versions
KR20210132570A (en
Inventor
본-퐁 유
치-하오 유
치아-핀 린
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/937,164 external-priority patent/US11289584B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210132570A publication Critical patent/KR20210132570A/en
Application granted granted Critical
Publication of KR102424642B1 publication Critical patent/KR102424642B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

본 개시 내용에 따른 반도체 디바이스는 제 1 접속 부분, 제 2 접속 부분, 및 상기 제 1 접속 부분과 상기 제 2 접속 부분 사이에 배치된 채널 부분을 포함한 채널 부재와, 상기 제 1 접속 부분 위에 배치되어 상기 제 1 접속 부분과 접촉하는 제 1 내부 스페이서 피처와, 상기 제 1 접속 부분 아래에 배치되어 상기 제 1 접속 부분과 접촉하는 제 2 내부 스페이서 피처와, 상기 채널 부재의 채널 부분 주위를 랩핑하는 게이트 구조물을 포함한다. 상기 채널 부재는 상기 채널 부재의 상단 표면 상에서 상기 채널 부분과 상기 제 1 접속 부분 사이의 계면에 배치된 제 1 릿지를 더 포함한다. 상기 제 1 릿지는 상기 제 1 내부 스페이서 피처와 상기 게이트 구조물 사이에서 부분적으로 연장된다.A semiconductor device according to the present disclosure includes a channel member including a first connecting portion, a second connecting portion, and a channel portion disposed between the first connecting portion and the second connecting portion, disposed over the first connecting portion, a first inner spacer feature in contact with the first connecting portion; a second inner spacer feature disposed below the first connecting portion and in contact with the first connecting portion; and a gate wrapping around the channel portion of the channel member. include structures. The channel member further includes a first ridge disposed at an interface between the channel portion and the first connecting portion on a top surface of the channel member. The first ridge extends partially between the first inner spacer feature and the gate structure.

Figure R1020200138271
Figure R1020200138271

Description

다중 게이트 트랜지스터를 위한 내부 스페이서 피처{INNER SPACER FEATURES FOR MULTI-GATE TRANSISTORS}INNER SPACER FEATURES FOR MULTI-GATE TRANSISTORS

우선권 데이터priority data

본 출원은 "다중 게이트 트랜지스터를 위한 내부 스페이서 피처(INNER SPACER FEATURES FOR MULTI-GATE TRANSISTORS)"(대리인 번호 2020-0185/24061.4197PV01)라는 명칭으로 2020 년 4 월 24 일에 출원된 미국 가특허 출원 번호 제63/015,198호에 대한 우선권을 주장하며, 그 전체 내용은 이로써 본원에 참고로 포함된다.This application is entitled "INNER SPACER FEATURES FOR MULTI-GATE TRANSISTORS" (Attorney No. 2020-0185/24061.4197PV01), U.S. Provisional Patent Application No., filed April 24, 2020 No. 63/015,198, the entire contents of which are hereby incorporated by reference.

분야Field

본 발명은 다중 게이트 트랜지스터를 위한 내부 스페이서 피처에 관한 것이다.The present invention relates to an internal spacer feature for a multi-gate transistor.

반도체 집적 회로(IC) 산업은 기하급수적으로 성장했다. IC 재료 및 디자인의 기술적 진보로 인해 IC 세대들이 생성되었으며, 각 세대는 이전 세대보다 작고 복잡한 회로를 갖는다. IC 진화 과정에서, 기능 밀도(functional density)(즉, 칩 면적 당 상호 접속된 디바이스들의 수)는 일반적으로 증가한 반면 지오메트리 사이즈(geometry size)(즉, 제조 공정을 사용하여 생성될 수 있는 최소 컴포넌트(또는 라인))는 감소했다. 이러한 스케일링 다운(scaling down) 공정은 일반적으로 생산 효율을 높이고 관련 비용을 낮추는 이점을 제공한다. 이러한 스케일링 다운은 IC 처리 및 제조의 복잡성도 증가시켰다. The semiconductor integrated circuit (IC) industry has grown exponentially. Technological advances in IC materials and design have created generations of ICs, each with smaller and more complex circuitry than the previous generation. During IC evolution, functional density (i.e., number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component that can be created using a manufacturing process) or line)) decreased. This scaling down process generally offers the advantage of increasing production efficiencies and lowering associated costs. This scaling down also increased the complexity of IC processing and manufacturing.

예를 들어, 집적 회로(IC) 기술이 더 작은 기술 노드로 발전함에 따라, 게이트-채널 커플링(gate-channel coupling)을 높이고, 오프-상태 전류(off-state current)를 줄이며, 그리고 단-채널 효과(short-channel effects)(SCE)를 줄임으로써 게이트 제어를 개선하기 위한 다중 게이트 디바이스가 도입되었다. 다중 게이트 디바이스는 일반적으로 채널 영역의 한 면을 초과하는 면 위에 게이트 구조물 또는 그 일부가 배치되는 디바이스를 지칭한다. 핀과 같은 전계 효과 트랜지스터(FinFET) 및 다중-브리지-채널(multi-bridge-channel)(MBC) 트랜지스터는 고성능 및 저 누설 애플리케이션을 위한 인기 있고 유망한 후보가 된 다중 게이트 디바이스의 예이다. FinFET는 한 면을 초과하는 면 상에서 게이트로 감싸진 상승된 높이의 채널(elevated channel)을 가지고 있다(예를 들어, 게이트는 기판에서부터 연장되는 반도체 재료의 "핀"의 상단(top)과 측벽들(sidewalls)을 감싸고 있다). MBC 트랜지스터는 두 개 이상의 측면 상의 채널 영역에 대한 액세스를 제공하기 위해 채널 영역 주위로 부분적으로 또는 완전히 연장될 수 있는 게이트 구조물을 가지고 있다. 게이트 구조물이 채널 영역을 둘러싸고 있기 때문에, MBC 트랜지스터는 또한 서라운딩 게이트 트랜지스터(surrounding gate transistor)(SGT) 또는 게이트 올 어라운드(gate-all-around)(GAA) 트랜지스터라고 지칭될 수도 있다. MBC 트랜지스터의 채널 영역은 나노와이어, 나노시트, 또는 다른 나노구조물로 형성될 수 있으며, 이러한 이유로 MBC 트랜지스터는 또한 나노와이어 트랜지스터 또는 나노시트 트랜지스터라고 지칭될 수도 있다. For example, as integrated circuit (IC) technology advances to smaller technology nodes, it increases gate-channel coupling, reduces off-state current, and Multi-gate devices have been introduced to improve gate control by reducing short-channel effects (SCE). A multi-gate device generally refers to a device in which a gate structure or a portion thereof is disposed over more than one side of a channel region. Fin-like field effect transistors (FinFETs) and multi-bridge-channel (MBC) transistors are examples of popular and promising candidates for multi-gate devices for high-performance and low-leakage applications. A FinFET has an elevated channel that is covered by a gate on more than one side (eg, the gate is the top and sidewalls of a “fin” of semiconductor material extending from the substrate). (covering sidewalls). MBC transistors have a gate structure that can extend partially or fully around the channel region to provide access to the channel region on two or more sides. Because the gate structure surrounds the channel region, the MBC transistor may also be referred to as a surrounding gate transistor (SGT) or gate-all-around (GAA) transistor. The channel region of an MBC transistor may be formed of nanowires, nanosheets, or other nanostructures, for which reason MBC transistors may also be referred to as nanowire transistors or nanosheet transistors.

내부 스페이서 피처(inner spacer features)는 에피택셜 소스/드레인 피처로부터 게이트 구조물을 이격시키기 위해 MBC 트랜지스터 내에 구현되었다. 내부 스페이서 피처의 디자인은 충분한 내에칭성을 갖는 것과 낮은 유전 상수를 유지하는 것 사이에서 어려운 균형을 맞출 필요가 있다. 전자와 관련하여 내부 스페이서 피처는 소스/드레인 피처에 대한 손상을 방지하기 위해 희생층의 에칭 공정에 대한 저항성을 가질 필요가 있다. 후자와 관련하여, 내에칭성 유전체 재료는 바람직한 유전 상수보다 높은 경향이 있으며, 보다 높은 유전 상수는 게이트 구조물과 소스/드레인 피처 사이의 기생 캐패시턴스를 증가시킬 수 있다. 따라서, 종래의 내부 스페이서 피처는 일반적으로 의도된 목적에 적합할 수 있지만 모든 측면에서 만족스럽지는 않다.Inner spacer features were implemented in the MBC transistor to space the gate structure from the epitaxial source/drain features. The design of the inner spacer features requires a difficult balance between having sufficient etch resistance and maintaining a low dielectric constant. Regarding the former, the inner spacer features need to be resistant to the etching process of the sacrificial layer to prevent damage to the source/drain features. With respect to the latter, etch-resistant dielectric materials tend to have higher than desirable dielectric constants, which can increase the parasitic capacitance between the gate structure and the source/drain features. Thus, while conventional inner spacer features may generally be suitable for their intended purpose, they are not satisfactory in all respects.

본 개시 내용은 첨부 도면과 함께 읽게 되면 이하의 상세한 설명으로부터 최상으로 이해된다. 강조되는 것은 본 산업의 표준 관행에 따라 다양한 피처들이 축척대로 도시되지는 않고 예시적인 목적으로만 사용된다는 것이다. 실제로, 다양한 피처들의 치수는 설명의 명확성을 위해 임의로 증가 또는 감소될 수 있다.
도 1은 본 개시 내용의 하나 이상의 실시예에 따라 반도체 디바이스를 형성하는 방법의 흐름도를 도시하고 있다.
도 2 내지 도 15는 본 개시 내용의 하나 이상의 양태에 따른 도 1의 방법에 따라 제조 공정 동안 가공물의 부분 단면도를 도시하고 있다.
도 16은 본 개시 내용의 하나 이상의 양태에 따른 반도체 디바이스의 채널 영역의 확대된 단면도를 도시하고 있다.
BRIEF DESCRIPTION OF THE DRAWINGS The present disclosure is best understood from the following detailed description when read in conjunction with the accompanying drawings. It is emphasized that, in accordance with standard practice in the industry, various features are not drawn to scale and are used for illustrative purposes only. Indeed, the dimensions of the various features may be arbitrarily increased or decreased for clarity of description.
1 depicts a flow diagram of a method of forming a semiconductor device in accordance with one or more embodiments of the present disclosure.
2-15 illustrate partial cross-sectional views of a workpiece during a manufacturing process according to the method of FIG. 1 in accordance with one or more aspects of the present disclosure.
16 illustrates an enlarged cross-sectional view of a channel region of a semiconductor device in accordance with one or more aspects of the present disclosure.

이하의 개시 내용은 제공된 요지의 상이한 피처들을 구현하기 위한 많은 상이한 실시예 또는 예를 제공한다. 이하에서는 본 개시 내용을 단순화하기 위해 특정 예의 컴포넌트들 및 배열체들이 기술된다. 이들은 물론 예에 불과할 뿐이며 제한하려는 것이 아니다. 예를 들어, 이하의 설명에서 제 2 피처 위에 또는 제 2 피처 상에 제 1 피처를 형성하는 것은 제 1 피처 및 제 2 피처가 직접 접촉하여 형성되는 실시예를 포함할 수 있고, 또한 제 1 피처 및 제 2 피처가 직접 접촉하지 않을 수 있도록 제 1 피처와 제 2 피처 사이에 추가적인 피처들이 형성될 수 있는 실시예를 포함할 수 있다. 또한, 본 개시 내용은 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순성 및 명확성을 위한 것이며, 그 자체가 논의된 다양한 실시예들 및/또는 구성들 간의 관계를 나타내는 것은 아니다.The following disclosure provides many different embodiments or examples for implementing different features of the presented subject matter. Specific example components and arrangements are described below to simplify the present disclosure. These are, of course, examples only and are not intended to be limiting. For example, in the description below, forming a first feature on or on a second feature may include embodiments in which the first feature and the second feature are formed in direct contact, and also the first feature and embodiments in which additional features may be formed between the first and second features such that the second features may not be in direct contact. In addition, this disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for simplicity and clarity, and does not in itself represent a relationship between the various embodiments and/or configurations discussed.

또한, "바로 아래", "아래", "하부", "위", "상부" 등과 같은 공간 관련 용어는 본원에서 하나의 요소 또는 피처의 다른 요소(들) 또는 피처(들)에 대한 관계를 도면에 도시한 바와 같이 기술하기 위한 설명의 편의를 위해 사용될 수 있다. 이들 공간 관련 용어는 도면에 도시된 방향 외에도 사용 중인 또는 동작 중인 디바이스의 다양한 방향을 포함하도록 의도된다. 장치는 다른 방식으로 배향될 수 있고(90도 또는 다른 방향으로 회전될 수 있고), 그에 따라 본원에서 사용되는 공간 관련 서술자가 마찬가지로 해석될 수 있다. 또한, 숫자 또는 숫자 범위가 "약", "대략" 등으로 설명될 때, 그러한 용어는 달리 명시되지 않는 한, 설명된 숫자의 +/- 10 % 내에 있는 숫자를 포함하는 것으로 의도된다. 예를 들어, 용어 "약 5 nm"는 4.5 nm 내지 5.5 nm의 치수 범위를 포함한다.Also, spatially related terms such as “immediately below,” “below,” “below,” “above,” “above,” and the like, refer herein to the relationship of one element or feature to another element(s) or feature(s). It may be used for convenience of description for description as shown in the drawings. These spatially related terms are intended to include various orientations of the device in use or in operation in addition to the orientation shown in the figures. The device may be otherwise oriented (rotated 90 degrees or in other orientations), and the spatially related descriptors used herein may likewise be interpreted accordingly. Also, when a number or range of numbers is described as “about,” “approximately,” or the like, such terms are intended to include numbers that are within +/−10% of the recited number, unless otherwise specified. For example, the term “about 5 nm” includes a range of dimensions from 4.5 nm to 5.5 nm.

본 개시 내용은 일반적으로 다중 게이트 트랜지스터 및 제조 방법에 관한 것이고, 특히 MBC 트랜지스터의 내부 스페이서 피처에 관한 것이다. BACKGROUND This disclosure relates generally to multi-gate transistors and methods of fabrication, and more particularly to internal spacer features of MBC transistors.

위에서 설명한 바와 같이, MBC 트랜지스터는 또한 SGT, GAA 트랜지스터, 나노시트 트랜지스터, 또는 나노와이어 트랜지스터라고 지칭될 수도 있다. 이들은 n 타입 또는 p 타입일 수 있다. 본 개시 내용에 따른 MBC 디바이스는 나노와이어 채널 부재, 막대 형상의 채널 부재, 나노시트 채널 부재, 나노구조물의 채널 부재, 브리지 형상의 채널 부재, 및/또는 다른 적합한 채널 구성 내에 배치된 채널 영역을 가질 수 있다. 내부 스페이서 피처는 소스/드레인 피처로부터 게이트 구조물을 이격시키기 위해 채널 부재들 간에 구현되었다. 내부 스페이서 피처는 희생층의 두 단부를 덮고, 채널 릴리스 공정(channel release process) 동안 내부 스페이서 피처는 희생층에 대한 에칭을 포함하고, 소스/드레인 피처가 손상되는 것을 방지한다. 이러한 이유로, 이상적인 내부 스페이서 피처는 희생층을 제거하기 위한 에칭 공정을 늦출 수 있도록 충분한 내에칭성을 가져야 한다. 유전체 재료의 유전 상수는 내에칭성의 신뢰할 수 있는 프록시이므로, 내에칭성이 우수한 유전체 재료는 보다 큰 유전 상수를 갖는 경향이 있다. 다른 관심 사항은 고유전율(하이-k) 재료의 사용을 방지하는 것이다. 예를 들어, 고유전율(하이-k) 유전체 재료로 형성된 내부 스페이서 피처는 게이트 구조물과 소스/드레인 피처 간에 보다 높은 기생 캐패시턴스를 유발할 수 있다. 내에칭성이 높고 유전 상수가 낮은 유전체 재료를 찾는 것에 대해 아직 유망한 결과를 얻지 못했으며, 업계는 다양한 대안 솔루션을 모색하고 있다.As discussed above, MBC transistors may also be referred to as SGTs, GAA transistors, nanosheet transistors, or nanowire transistors. They may be n-type or p-type. MBC devices according to the present disclosure may have channel regions disposed within nanowire channel members, rod-shaped channel members, nanosheet channel members, nanostructured channel members, bridge-shaped channel members, and/or other suitable channel configurations. can An inner spacer feature was implemented between the channel members to space the gate structure from the source/drain feature. The inner spacer features cover the two ends of the sacrificial layer, and during the channel release process the inner spacer features include etching to the sacrificial layer and prevent the source/drain features from being damaged. For this reason, an ideal inner spacer feature should have sufficient etch resistance to slow the etch process to remove the sacrificial layer. Since the dielectric constant of a dielectric material is a reliable proxy of etch resistance, a dielectric material with good etch resistance tends to have a higher dielectric constant. Another concern is to avoid the use of high-k (high-k) materials. For example, inner spacer features formed of high-k (high-k) dielectric materials can lead to higher parasitic capacitances between the gate structure and the source/drain features. The search for dielectric materials with high etch resistance and low dielectric constant has not yet yielded promising results, and the industry is exploring various alternative solutions.

본 개시 내용은 반도체 디바이스의 실시예를 제공한다. 반도체 디바이스는 2 개의 소스/드레인 피처 사이에서 연장되는 복수의 채널 부재를 포함한다. 각각의 채널 부재는 게이트 구조물에 의해 둘러싸여진 채널 부분(channel portion)과 게이트 스페이서 층과 내부 스페이서 피처 사이 또는 2 개의 내부 스페이서 피처들 사이에 끼워진 접속 부분(connection portion)으로 분할된다. 본 개시 내용에 따른 내부 스페이서 피처는 내부 층 및 외부 층을 포함한다. 외부 층의 유전 상수는 내부 층의 유전 상수보다 크다. 외부 층 및 내부 층은 실리콘, 탄소, 산소, 및 질소를 포함할 수 있다. 외부 층의 산소 함유량은 내부 층의 산소 함유량보다 적고, 외부 층의 질소 함유량은 내부 층의 질소 함유량보다 많다. 게이트 구조물과 마주하는 외부 층의 일부는 게이트 구조물이 내부 층과 접촉하도록 희생층과 함께 에칭되어 제거될 수 있다. 본 개시 내용의 채널 부재는 직선이 아닐 수 있다. 일부 구현예에서, 채널 부재는 내부 스페이서 피처와 게이트 구조물 사이의 계면에서 제 1 릿지 및 대향하는 제 2 릿지를 포함할 수 있다. 일부 예에서, 제 1 및 제 2 릿지는 내부 스페이서 피처와 게이트 구조물 사이에서 부분적으로 연장될 수 있다. 외부 층으로 인해, 본 개시 내용의 내부 스페이서 피처는 소스/드레인 피처에 대한 손상을 방지하기에 충분한 내에칭성을 가질 수 있다. 소스/드레인 피처와 게이트 구조물 사이의 외부 층의 일부가 제거될 수 있다. 내부 층의 유전 상수가 외부 층의 유전 상수보다 작기 때문에, 외부 층의 일부를 제거하면 기생 캐패시턴스를 줄일 수 있고 디바이스 성능을 향상시킬 수 있다.The present disclosure provides embodiments of semiconductor devices. A semiconductor device includes a plurality of channel members extending between two source/drain features. Each channel member is divided into a channel portion surrounded by the gate structure and a connection portion sandwiched between the gate spacer layer and the inner spacer feature or between two inner spacer features. An inner spacer feature according to the present disclosure includes an inner layer and an outer layer. The dielectric constant of the outer layer is greater than the dielectric constant of the inner layer. The outer and inner layers may include silicon, carbon, oxygen, and nitrogen. The oxygen content of the outer layer is less than that of the inner layer, and the nitrogen content of the outer layer is greater than the nitrogen content of the inner layer. A portion of the outer layer facing the gate structure may be etched away with the sacrificial layer to bring the gate structure into contact with the inner layer. The channel members of the present disclosure may not be straight. In some implementations, the channel member can include a first ridge and an opposing second ridge at the interface between the inner spacer feature and the gate structure. In some examples, the first and second ridges may extend partially between the inner spacer feature and the gate structure. Due to the outer layer, the inner spacer features of the present disclosure may have sufficient etch resistance to prevent damage to the source/drain features. A portion of the outer layer between the source/drain features and the gate structure may be removed. Since the dielectric constant of the inner layer is smaller than that of the outer layer, removing a portion of the outer layer can reduce the parasitic capacitance and improve device performance.

이제, 본 개시 내용의 다양한 양태가 도면을 참조하여 더 상세하게 설명될 것이다. 도 1은 본 개시 내용의 하나 이상의 실시예에 따라 가공물로부터 반도체 디바이스를 형성하는 방법(100)의 흐름도를 도시하고 있다. 방법(100)은 단지 예일 뿐이며 본 개시 내용을 방법(100)에 명시적으로 도시된 것으로 제한하려는 의도는 아니다. 방법(100) 이전, 도중, 및 이후에 추가 단계가 제공될 수 있으며, 설명된 일부 단계는 방법의 추가 실시예를 위해 대체, 제거, 또는 이동될 수 있다. 단순함을 위해 모든 단계가 본원에 상세히 설명되지는 않는다. 이하에서는 방법(100)의 실시예에 따른 상이한 제조 스테이지들에서 가공물의 부분 단면도와 함께 방법(100)을 설명한다.BRIEF DESCRIPTION OF THE DRAWINGS Various aspects of the present disclosure will now be described in greater detail with reference to the drawings. 1 depicts a flow diagram of a method 100 of forming a semiconductor device from a workpiece in accordance with one or more embodiments of the present disclosure. Method 100 is by way of example only and is not intended to limit the present disclosure to what is explicitly shown in method 100 . Additional steps may be provided before, during, and after the method 100, and some steps described may be replaced, eliminated, or moved for further embodiments of the method. Not all steps are described in detail herein for the sake of simplicity. The method 100 is described below with partial cross-sectional views of a workpiece at different manufacturing stages according to an embodiment of the method 100 .

도 1 및 도 2를 참조하면, 방법(100)은 가공물(200)이 제공되는 블럭(102)을 포함한다. 주목되는 것은 가공물(200)이 반도체 디바이스 내로 제조될 것이기 때문에, 가공물(200)은 또한 문맥에서 요구하는 바와 같이 반도체 디바이스(200)로 지칭될 수도 있다는 것이다. 가공물(200)은 기판(202)을 포함할 수 있다. 도면에 명시적으로 도시되지는 않았지만, 기판(202)은 상이한 도전성 타입의 트랜지스터를 제조하기 위한 n 타입 웰 영역 및 p 타입 웰 영역을 포함할 수 있다. 일 실시예에서, 기판(202)은 실리콘(Si) 기판일 수 있다. 일부 다른 실시예에서, 기판(202)은 게르마늄(Ge), 실리콘 게르마늄(SiGe), 또는 III-V 반도체 재료와 같은 다른 반도체를 포함할 수 있다. 예의 III-V 반도체 재료는 갈륨 비화물(GaAs), 인듐 인화물(InP), 갈륨 인화물(GaP), 갈륨 질화물(GaN), 갈륨 비화물 인화물(GaAsP), 알루미늄 인듐 비화물(AlInAs), 알루미늄 갈륨 비화물(AlGaAs), 갈륨 인듐 인화물(GaInP), 및 인듐 갈륨 비화물(InGaAs)을 포함할 수 있다. 기판(202)은 또한 실리콘-온-인슐레이터(silicon-on-insulator)(SOI) 구조물을 갖도록 실리콘 산화물 층과 같은 절연층을 포함할 수 있다. n 타입 웰 및 p 타입 웰의 각각은, 존재시, 기판(202) 내에 형성되고, 도핑 프로파일을 포함한다. n 타입 웰은 인(P) 또는 비소(As)와 같은 n 타입 도펀트의 도핑 프로파일을 포함할 수 있다. p 타입 웰은 붕소(B)와 같은 p 타입 도펀트의 도핑 프로파일을 포함할 수 있다. n 타입 웰 및 p 타입 웰에서의 도핑은 이온 주입 또는 열 확산을 사용하여 형성될 수 있으며, 기판(202)의 일부로 간주될 수 있다. 의심을 피하기 위해, X 방향, Y 방향, 및 Z 방향은 서로 수직이다. 1 and 2 , the method 100 includes a block 102 in which a workpiece 200 is provided. It is noted that since the workpiece 200 will be fabricated into a semiconductor device, the workpiece 200 may also be referred to as a semiconductor device 200 as the context requires. The workpiece 200 may include a substrate 202 . Although not explicitly shown in the figures, the substrate 202 may include n-type well regions and p-type well regions for fabricating transistors of different conductivity types. In one embodiment, the substrate 202 may be a silicon (Si) substrate. In some other embodiments, the substrate 202 may include other semiconductors such as germanium (Ge), silicon germanium (SiGe), or a III-V semiconductor material. Example III-V semiconductor materials are gallium arsenide (GaAs), indium phosphide (InP), gallium phosphide (GaP), gallium nitride (GaN), gallium arsenide phosphide (GaAsP), aluminum indium arsenide (AlInAs), aluminum gallium It may include arsenide (AlGaAs), gallium indium phosphide (GaInP), and indium gallium arsenide (InGaAs). The substrate 202 may also include an insulating layer, such as a silicon oxide layer, to have a silicon-on-insulator (SOI) structure. Each of the n-type well and the p-type well, when present, is formed in the substrate 202 and includes a doping profile. The n-type well may include a doping profile of an n-type dopant, such as phosphorus (P) or arsenic (As). The p-type well may include a doping profile of a p-type dopant, such as boron (B). Doping in the n-type well and the p-type well may be formed using ion implantation or thermal diffusion, and may be considered part of the substrate 202 . For the avoidance of doubt, the X direction, Y direction, and Z direction are perpendicular to each other.

도 2에 도시된 바와 같이, 가공물(200)은 또한 기판(202) 위에 배치된 스택(204)을 포함한다. 스택(204)은 복수의 희생층(206)이 인터리빙된 복수의 채널층(208)을 포함한다. 채널층(208) 및 희생층(206)은 상이한 반도체 조성을 가질 수 있다. 일부 구현예에서, 채널층(208)은 실리콘(Si)으로 형성되고, 희생층(206)은 실리콘 게르마늄(SiGe)으로 형성된다. 이러한 구현예에서, 희생층(206) 내에서의 추가 게르마늄 함유량은 채널층(208)에 대한 실질적인 손상없이 희생층(206)의 선택적 제거 또는 리세싱을 가능하게 한다. 일부 실시예에서, 희생층(206) 및 채널층(208)은 에피택셜 공정을 사용하여 퇴적될 수 있다. 적합한 에피택셜 공정은 기상 에피택시(vapor-phase epitaxy)(VPE), 초고 진공 화학 기상 퇴적(ultra-high vacuum chemical vapor deposition)(UHV-CVD), 분자 빔 에피택시(molecular beam epitaxy)(MBE), 및/또는 다른 적합한 공정을 포함한다. 도 2에 도시된 바와 같이, 희생층(206) 및 채널층(208)은 스택(204)을 형성하기 위해, 교번적으로 차례로 퇴적된다. 주목되는 것은 세 개(3)의 층의 희생층(206) 및 세 개(3)의 층의 채널층(208)이 도 3에 도시된 바와 같이 교번적으로 수직으로 배열되며, 이는 단지 설명을 위한 것이며 청구항에 구체적으로 언급되는 것을 넘어서게 제한하려는 의도는 아니라는 것이다. 임의의 수의 희생층 및 채널층이 스택(204)에 형성될 수 있음을 이해할 수 있다. 층들의 수는 디바이스(200)에 대한 원하는 수의 채널 부재에 따라 달라진다. 일부 실시예에서, 채널층(208)의 수는 2와 10 사이에 있다. 패터닝 목적을 위해, 하드 마스크 층(210)이 스택(204) 위에 퇴적될 수 있다. 하드 마스크 층(210)은 단일 층 또는 다중 층일 수 있다. 일 예에서, 하드 마스크 층(210)은 실리콘 산화물 층 및 실리콘 질화물 층을 포함한다. 2 , the workpiece 200 also includes a stack 204 disposed over a substrate 202 . Stack 204 includes a plurality of channel layers 208 interleaved with a plurality of sacrificial layers 206 . The channel layer 208 and the sacrificial layer 206 may have different semiconductor compositions. In some embodiments, the channel layer 208 is formed of silicon (Si), and the sacrificial layer 206 is formed of silicon germanium (SiGe). In this embodiment, the additional germanium content in the sacrificial layer 206 allows for selective removal or recessing of the sacrificial layer 206 without substantial damage to the channel layer 208 . In some embodiments, the sacrificial layer 206 and the channel layer 208 may be deposited using an epitaxial process. Suitable epitaxial processes include vapor-phase epitaxy (VPE), ultra-high vacuum chemical vapor deposition (UHV-CVD), molecular beam epitaxy (MBE). , and/or other suitable processes. As shown in FIG. 2 , a sacrificial layer 206 and a channel layer 208 are alternately deposited one after the other to form a stack 204 . It is noted that the three (3) layer sacrificial layer 206 and the three (3) layer channel layer 208 are alternately vertically arranged as shown in FIG. 3 , which is for illustrative purposes only. for the purpose of limiting it beyond what is specifically recited in the claims. It will be appreciated that any number of sacrificial layers and channel layers may be formed in the stack 204 . The number of layers depends on the desired number of channel members for the device 200 . In some embodiments, the number of channel layers 208 is between 2 and 10. For patterning purposes, a hard mask layer 210 may be deposited over the stack 204 . The hard mask layer 210 may be a single layer or multiple layers. In one example, the hard mask layer 210 includes a silicon oxide layer and a silicon nitride layer.

도 1 및 도 3을 참조하면, 방법(100)은 스택(204)으로부터 핀 형상 구조물(212)이 형성되는 블럭(104)을 포함한다. 일부 실시예에서, 스택(204) 및 기판(202)의 일부는 핀 형상 구조물(212)을 형성하도록 패터닝된다. 도 3에 도시된 바와 같이, 핀 형상 구조물(212)은 기판(202)으로부터 Z 방향을 따라 수직으로 연장된다. 핀 형상 구조물(212)은 기판(202)으로부터 형성된 베이스 부분 및 스택(204)으로부터 형성된 스택 부분을 포함한다. 핀 형상 구조물(212)은 이중 패터닝(double-patterning) 또는 다중 패터닝(multi-patterning) 공정을 포함하는 적합한 공정을 사용하여 패터닝될 수 있다. 일반적으로, 이중 패터닝 또는 다중 패터닝 공정은 포토리소그래피 공정과 자체 정렬 공정(self-aligned process)을 결합하여, 예를 들어, 단일 직접 포토리소그래피 공정(single, direct photolithography process)을 사용하여 얻을 수 있는 것보다 작은 피치들(pitches)을 갖는 패턴들을 생성할 수 있다. 예를 들어, 일 실시예에서 재료 층이 기판 위에 형성되고, 포토리소그래피 공정을 사용하여 패터닝된다. 스페이서들은 자체 정렬 공정을 사용하여 패터닝된 재료 층 옆에 형성된다. 그 후, 재료 층이 제거되고, 나머지 스페이서 또는 맨드릴이 그 후 스택(204) 및 기판(202)을 에칭함으로써 핀 형상 구조물(212)을 패터닝하는 데 사용될 수 있다. 에칭 공정은 건식 에칭, 습식 에칭, 반응성 이온 에칭(RIE) 및/또는 다른 적합한 공정을 포함할 수 있다.1 and 3 , the method 100 includes a block 104 in which a fin-shaped structure 212 is formed from a stack 204 . In some embodiments, portions of stack 204 and substrate 202 are patterned to form fin-shaped structures 212 . As shown in FIG. 3 , the fin-shaped structure 212 extends vertically from the substrate 202 in the Z direction. The fin-shaped structure 212 includes a base portion formed from a substrate 202 and a stack portion formed from a stack 204 . The fin-shaped structure 212 may be patterned using any suitable process including a double-patterning or multi-patterning process. In general, double patterning or multiple patterning processes combine a photolithography process with a self-aligned process, for example, one that can be obtained using a single, direct photolithography process. It is possible to create patterns with smaller pitches. For example, in one embodiment a layer of material is formed over a substrate and patterned using a photolithographic process. Spacers are formed next to the patterned material layer using a self-aligning process. The material layer is then removed, and the remaining spacers or mandrels can then be used to pattern the fin-shaped structure 212 by etching the stack 204 and substrate 202 . The etching process may include dry etching, wet etching, reactive ion etching (RIE) and/or other suitable processes.

도 3에 도시된 바와 같이, 블럭(104)에서의 동작은 또한 핀 형상 구조물(212)의 베이스 부분에 인접하고 그 주위에 격리 피처(214)를 형성하는 것을 포함한다. 격리 피처(214)는 핀 형상 구조물(212)과 다른 핀 형상 구조물(212) 사이에 배치된다. 격리 피처(214)는 또한 얕은 트렌치 격리(STI) 피처(214)로 지칭될 수도 있다. 일 예의 공정에서, 유전체 층이 먼저 가공물(200) 위에 퇴적되어 핀 형상 구조물(212)과 이웃하는 핀 형상 구조물 사이의 트렌치를 유전체 재료로 채우게 된다. 일부 실시예에서, 유전체 층은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 불소 도핑된 실리케이트 글래스(fluorine-doped silicate glass)(FSG), 로우-k 유전체, 이들의 조합, 및/또는 다른 적합한 재료를 포함할 수 있다. 다양한 예에서, 유전체 층은 CVD 공정, 대기압 이하(subatmospheric) CVD (SACVD) 공정, 유동성(flowable) CVD 공정, 원자 층 퇴적(atomic layer deposition)(ALD) 공정, 물리 기상 퇴적(physical vapor deposition)(PVD) 공정, 스핀-온-코팅, 및/또는 다른 적합한 공정에 의해 퇴적될 수 있다. 그 후 퇴적된 유전체 재료는, 예를 들어, 화학 기계적 연마(chemical mechanical polishing)(CMP) 공정에 의해 박형화되고 평탄화된다. 평탄화된 유전체 층은 건식 에칭 공정, 습식 에칭 공정, 및/또는 이들의 조합에 의해 추가로 리세싱되어 격리 피처(214)를 형성하게 된다. 도 3에 도시된 바와 같이, 핀 형상 구조물(212)의 스택 부분은 격리 피처(214) 위로 상승한다. 도 3에 명시적으로 도시되지 않았지만, 하드 마스크 층(210)은 또한 격리 피처(214)의 형성 동안 제거될 수 있다.As shown in FIG. 3 , the operation at block 104 also includes forming an isolation feature 214 adjacent to and around the base portion of the fin-shaped structure 212 . The isolation feature 214 is disposed between the fin-shaped structure 212 and the other fin-shaped structure 212 . The isolation feature 214 may also be referred to as a shallow trench isolation (STI) feature 214 . In one example process, a dielectric layer is first deposited over the workpiece 200 to fill the trench between the fin-shaped structure 212 and the neighboring fin-shaped structure with a dielectric material. In some embodiments, the dielectric layer is made of silicon oxide, silicon nitride, silicon oxynitride, fluorine-doped silicate glass (FSG), a low-k dielectric, combinations thereof, and/or other suitable materials. may include In various examples, the dielectric layer is formed by a CVD process, a subatmospheric CVD (SACVD) process, a flowable CVD process, an atomic layer deposition (ALD) process, physical vapor deposition ( PVD) process, spin-on-coating, and/or other suitable process. The deposited dielectric material is then thinned and planarized by, for example, a chemical mechanical polishing (CMP) process. The planarized dielectric layer is further recessed by a dry etch process, a wet etch process, and/or a combination thereof to form the isolation features 214 . As shown in FIG. 3 , the stack portion of the fin-shaped structure 212 rises above the isolation feature 214 . Although not explicitly shown in FIG. 3 , the hard mask layer 210 may also be removed during formation of the isolation feature 214 .

도 1, 도 4, 및 도 5를 참조하면, 방법(100)은 더미 게이트 스택(220)이 핀 형상 구조물(220) 위에 형성되는 블럭(106)을 포함한다. 일부 실시예에서, 더미 게이트 스택(220)이 기능적 게이트 구조물을 위한 플레이스 홀더(placeholders)로서 작용하는 게이트 대체 공정(또는 게이트-최종 공정(gate-last process))이 채택된다. 다른 공정 및 구성이 가능하다. 일부 실시예에서, 더미 게이트 스택(220)은 격리 피처(214) 위에 형성되고, 핀 형상 구조물(212) 위에 적어도 부분적으로 배치된다. 도 4에 도시된 바와 같이, 더미 게이트 스택(220)은 핀 형상 구조물(212)를 감싸도록 Y 방향을 따라 길이 방향으로 연장된다. 더미 게이트 스택(220)은 더미 유전체 층(216) 및 더미 게이트 전극(218)을 포함한다. 더미 게이트 스택(220)이 핀 형상 구조물(212) 위에 배치되는 방법을 설명하기 위해, 단면 A-A'를 따른 단면도가 도 5에 제공된다. 도 5에 도시된 바와 같이, 더미 게이트 스택(220) 아래에 있는 핀 형상 구조물(212)의 부분은 채널 영역(202C)이 된다. 채널 영역(202C) 및 더미 게이트 스택(220)은 또한 더미 게이트 스택(220)에 의해 수직으로 중첩되지 않는 소스/드레인 영역(202SD)을 한정한다. 채널 영역(202C)은 2 개의 소스/드레인 영역(202SD) 사이에 배치된다. 주목되는 것은 도 5의 단면도가 핀 형상 구조물(212)을 통해 슬라이스되기 때문에, 격리 피처(214)는 도 5에는 도시되어 있지 않다는 것이다. 1 , 4 , and 5 , the method 100 includes a block 106 in which a dummy gate stack 220 is formed over the fin-shaped structure 220 . In some embodiments, a gate replacement process (or gate-last process) is employed in which the dummy gate stack 220 acts as placeholders for the functional gate structure. Other processes and configurations are possible. In some embodiments, the dummy gate stack 220 is formed over the isolation feature 214 and is disposed at least partially over the fin-shaped structure 212 . As shown in FIG. 4 , the dummy gate stack 220 extends in the longitudinal direction along the Y direction to surround the fin-shaped structure 212 . The dummy gate stack 220 includes a dummy dielectric layer 216 and a dummy gate electrode 218 . To illustrate how the dummy gate stack 220 is disposed over the fin-shaped structure 212 , a cross-sectional view taken along section A-A′ is provided in FIG. 5 . As shown in FIG. 5 , the portion of the fin-shaped structure 212 under the dummy gate stack 220 becomes the channel region 202C. Channel region 202C and dummy gate stack 220 also define source/drain regions 202SD that are not vertically overlapped by dummy gate stack 220 . The channel region 202C is disposed between the two source/drain regions 202SD. It is noted that the isolation feature 214 is not shown in FIG. 5 because the cross-sectional view of FIG. 5 is sliced through the fin-shaped structure 212 .

일부 실시예에서, 더미 게이트 스택(220)은 층 퇴적, 패터닝, 에칭, 및 다른 적합한 처리 단계와 같은 다양한 공정 단계에 의해 형성된다. 예시적인 층 퇴적 공정은 저압 CVD (LPCVD), CVD, 플라즈마 강화 CVD (PECVD), PVD, ALD, 열 산화, 전자빔 증발, 또는 다른 적합한 퇴적 기술, 또는 이들의 조합을 포함한다. 예를 들어, 패터닝 공정은 리소그래피 공정(예컨대, 포토리소그래피 또는 전자빔 리소그래피)을 포함할 수 있으며, 이는 포토레지스트 코팅(예컨대, 스핀-온 코팅), 소프트 베이킹, 마스크 정렬, 노광, 노광 후 베이킹, 포토레지스트 현상, 헹굼, 건조(예컨대, 스핀-건조 및/또는 하드 베이킹), 다른 적합한 리소그래피 기술, 및/또는 이들의 조합을 더 포함할 수 있다. 일부 실시예에서, 에칭 공정은 건식 에칭(예컨대, RIE 에칭), 습식 에칭, 및/또는 다른 에칭 방법을 포함할 수 있다. 일 예의 공정에서, 더미 유전체 층(216), 더미 게이트 전극(218)을 위한 더미 전극 층, 및 게이트 상단 하드 마스크 층(222)은 핀 형상 구조물(212)를 포함하여 가공물(200) 위에 순차적으로 퇴적된다. 일부 경우에, 게이트 상단 하드 마스크 층(222)은 다중 층일 수 있고, 제 1 하드 마스크(223), 및 제 1 하드 마스크(223) 위의 제 2 하드 마스크(224)를 포함할 수 있다. 제 1 하드 마스크(223)는 실리콘 산화물을 포함할 수 있고, 제 2 하드 마스크(224)는 실리콘 질화물을 포함할 수 있다. 퇴적은 전술한 예시적인 층 퇴적 공정들 중 하나를 사용하여 수행될 수 있다. 더미 유전체 층(216) 및 더미 전극 층은 그 후 포토리소그래피 공정을 사용하여 패터닝되어, 더미 게이트 스택(220)을 형성하게 된다. 일부 실시예에서, 더미 유전체 층(216)은 실리콘 산화물을 포함할 수 있고, 더미 게이트 전극(218)은 다결정 실리콘(폴리실리콘)을 포함할 수 있다. In some embodiments, dummy gate stack 220 is formed by various processing steps, such as layer deposition, patterning, etching, and other suitable processing steps. Exemplary layer deposition processes include low pressure CVD (LPCVD), CVD, plasma enhanced CVD (PECVD), PVD, ALD, thermal oxidation, electron beam evaporation, or other suitable deposition techniques, or combinations thereof. For example, the patterning process may include a lithographic process (eg, photolithography or electron beam lithography), which may include photoresist coating (eg, spin-on coating), soft baking, mask alignment, exposure, post exposure baking, photo resist development, rinsing, drying (eg, spin-drying and/or hard baking), other suitable lithographic techniques, and/or combinations thereof. In some embodiments, the etching process may include dry etching ( eg, RIE etching), wet etching, and/or other etching methods. In an example process, a dummy dielectric layer 216 , a dummy electrode layer for a dummy gate electrode 218 , and a gate top hard mask layer 222 are sequentially over the workpiece 200 including the fin-shaped structure 212 . are deposited In some cases, the gate top hard mask layer 222 may be multiple layers and may include a first hard mask 223 and a second hard mask 224 over the first hard mask 223 . The first hard mask 223 may include silicon oxide, and the second hard mask 224 may include silicon nitride. Deposition may be performed using one of the exemplary layer deposition processes described above. The dummy dielectric layer 216 and the dummy electrode layer are then patterned using a photolithography process to form a dummy gate stack 220 . In some embodiments, dummy dielectric layer 216 may include silicon oxide and dummy gate electrode 218 may include polycrystalline silicon (polysilicon).

더미 게이트 스택(220)의 형성 후에, 게이트 스페이서 층(226)이 더미 게이트 스택(220)의 측벽들 옆에 형성된다. 일부 실시예에서, 게이트 스페이서 층(226)의 형성은 가공물(200) 위에 하나 이상의 유전체 층의 컨포멀 퇴적(conformal deposition) 및 가공물(200)의 상단-대면 표면(top-facing surfaces)으로부터 게이트 스페이서 층(226)의 에치백(etch-back)을 포함한다. 일 예의 공정에서, 하나 이상의 유전체 층은 CVD, SACVD, 또는 ALD를 사용하여 퇴적되고, 이방성 에칭 공정에서 에치백되어 게이트 스페이서 층(226)을 형성하게 된다. 게이트 스페이서 층(226)은 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, 실리콘 산질화물, 실리콘 탄질화물(silicon carbonitride), 실리콘 산탄화물(silicon oxycarbide), 실리콘 산탄질화물(silicon oxycarbonitride), 및/또는 이들의 조합을 포함할 수 있다.After formation of the dummy gate stack 220 , a gate spacer layer 226 is formed next to the sidewalls of the dummy gate stack 220 . In some embodiments, the formation of the gate spacer layer 226 is accomplished by conformal deposition of one or more dielectric layers over the workpiece 200 and the gate spacer from top-facing surfaces of the workpiece 200 . and an etch-back of layer 226 . In one example process, one or more dielectric layers are deposited using CVD, SACVD, or ALD and etched back in an anisotropic etch process to form gate spacer layer 226 . The gate spacer layer 226 may be formed of silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, silicon carbonitride, silicon oxycarbide, silicon oxycarbonitride, and/or combinations thereof. may include

도 1 및 도 6을 참조하면, 방법(100)은 소스/드레인 트렌치(228)가 핀 형상 구조물(212) 내에 형성되는 블럭(108)을 포함한다. 도 6에 도시된 실시예에서, 게이트 상단 하드 마스크 층(222) 및 게이트 스페이서 층(226)에 의해 마스킹되지 않은 핀 형상 구조물(212)의 소스/드레인 영역(202SD)은 소스/드레인 트렌치(228)를 형성하도록 리세싱된다. 블럭(108)에서의 에칭 공정은 건식 에칭 공정 또는 적합한 에칭 공정일 수 있다. 예를 들어, 건식 에칭 공정은 산소 함유 가스, 수소, 불소 함유 가스(예컨대, CF4, SF6, CH2F2, CHF3, 및/또는 C2F6), 염소 함유 가스(예컨대, Cl2, CHCl3, CCl4, 및/또는 BCl3), 브롬 함유 가스(예컨대, HBr 및/또는 CHBR3), 요오드 함유 가스, 다른 적합한 가스 및/또는 플라즈마, 및/또는 이들의 조합을 구현할 수 있다. 도 6에 도시된 바와 같이, 희생층(206) 및 채널층(208)의 측벽들은 소스/드레인 트렌치(228)에서 노출된다. 1 and 6 , the method 100 includes a block 108 in which source/drain trenches 228 are formed in a fin-shaped structure 212 . In the embodiment shown in FIG. 6 , the source/drain regions 202SD of the fin-shaped structure 212 that are not masked by the gate top hard mask layer 222 and the gate spacer layer 226 are the source/drain trenches 228 . ) are recessed to form The etching process at block 108 may be a dry etching process or a suitable etching process. For example, the dry etching process may include an oxygen-containing gas, hydrogen, a fluorine-containing gas (eg, CF 4 , SF 6 , CH 2 F 2 , CHF 3 , and/or C 2 F 6 ), a chlorine-containing gas (eg, Cl 2 , CHCl 3 , CCl 4 , and/or BCl 3 ), bromine containing gases (eg, HBr and/or CHBR 3 ), iodine containing gases, other suitable gases and/or plasmas, and/or combinations thereof may be implemented. have. As shown in FIG. 6 , sidewalls of the sacrificial layer 206 and the channel layer 208 are exposed in the source/drain trench 228 .

도 1 및 도 7을 참조하면, 방법(100)은 내부 스페이서 리세스(230)가 형성되는 블럭(110)을 포함한다. 블럭(110)에서, 소스/드레인 트렌치(228)에서 노출된 희생층(206)은 내부 스페이서 리세스(230)를 형성하기 위해 선택적으로 그리고 부분적으로 리세싱되는 반면, 노출된 채널층(208)은 적절히 에칭된다. 채널층(208)이 본질적으로 실리콘(Si)으로 구성되고 희생층(206)이 본질적으로 실리콘 게르마늄(SiGe)으로 구성되는 실시예에서, 희생층(206)의 선택적 및 부분적 리세싱은 SiGe 산화 공정 및 이에 후속되는 SiGe 산화물 제거 공정을 포함할 수 있다. 해당 실시예에서, SiGe 산화 공정은 오존(O3)의 사용을 포함할 수 있다. 일부 다른 실시예에서, 선택적 리세싱은 선택적 등방성 에칭 공정(예컨대, 선택적 건식 에칭 공정 또는 선택적 습식 에칭 공정)일 수 있고, 희생층(206)이 리세싱되는 정도는 에칭 공정의 지속 기간에 의해 제어된다. 선택적 건식 에칭 공정은 불소 가스 또는 하이드로플루오로카본과 같은 하나 이상의 불소 기반 에칭제의 사용을 포함할 수 있다. 선택적 습식 에칭 공정은 불화 수소(HF) 또는 NH4OH 에칭제를 포함할 수 있다. 도 7에 도시된 바와 같이, 채널층(208)은 블럭(110)에서 적절히 에칭될 수 있고, 내부 스페이서 리세스(230)는 Z 방향을 따라 채널층(208) 내로 부분적으로 연장될 수 있다. 각각의 내부 스페이서 리세스(230)는 (X 방향을 따라) 약 2 nm와 약 5 nm 사이의 깊이 및 (Z 방향을 따라) 약 7 nm와 약 12 nm 사이의 높이를 갖는다. 다르게 말하면, 각각의 내부 스페이서 리세스(230)는 그 깊이보다 더 큰 높이를 갖는다.1 and 7 , the method 100 includes a block 110 in which an inner spacer recess 230 is formed. At a block 110 , the exposed sacrificial layer 206 in the source/drain trenches 228 is selectively and partially recessed to form an inner spacer recess 230 , while the exposed channel layer 208 is recessed. is properly etched. In an embodiment in which the channel layer 208 consists essentially of silicon (Si) and the sacrificial layer 206 consists essentially of silicon germanium (SiGe), the selective and partial recessing of the sacrificial layer 206 is a SiGe oxidation process. and a subsequent SiGe oxide removal process. In that embodiment, the SiGe oxidation process may include the use of ozone (O 3 ). In some other embodiments, the selective recessing may be a selective isotropic etching process (eg, a selective dry etching process or a selective wet etching process), and the extent to which the sacrificial layer 206 is recessed is controlled by the duration of the etching process. do. The selective dry etching process may include the use of one or more fluorine-based etchants such as fluorine gas or hydrofluorocarbons. The selective wet etch process may include a hydrogen fluoride (HF) or NH 4 OH etchant. As shown in FIG. 7 , the channel layer 208 may be suitably etched in the block 110 , and the inner spacer recesses 230 may extend partially into the channel layer 208 along the Z direction. Each inner spacer recess 230 has a depth of between about 2 nm and about 5 nm (along the X direction) and a height of between about 7 nm and about 12 nm (along the Z direction). In other words, each inner spacer recess 230 has a height greater than its depth.

도 1 및 도 8을 참조하면, 방법(100)은 가공물(200) 위에 제 1 스페이서 재료층(232)이 형성되는 블럭(112)을 포함한다. 제 1 스페이서 재료층(232)은 ALD를 사용하여 퇴적될 수 있으며, 실리콘(Si), 탄소(C), 산소(O), 및 질소를 포함할 수 있다. 일부 실시예에서, 제 1 스페이서 재료층(232)은 실리콘 산탄질화물(silicon oxycarbonitride)을 포함할 수 있고, 약 30 %와 약 50 % 사이의 실리콘 함유량, 약 5 %와 약 15 % 사이의 탄소 함유량, 약 5 %와 약 15 % 사이의 산소 함유량, 및 약 40 %와 약 60 % 사이의 질소 함유량을 포함할 수 있다. 일부 대안적인 실시예에서, 제 1 스페이서 재료층(232)은 실리콘 탄질화물을 포함할 수 있다. 질소 함유량이 약 40 %와 약 60 % 사이에 있기 때문에, 제 1 스페이서 재료층(232)은 약 5와 약 8 사이의 제 1 유전 상수, 및 약 2 g/cm3 와 약 4 g/cm3 사이의 제 1 밀도를 갖는다. 제 1 스페이서 재료층(232)은 약 0.5 nm와 약 2 nm 사이의 두께로 퇴적된다. 제 1 스페이서 재료층(232)의 두께는, 채널 릴리스 공정 동안 소스/드레인 피처에 대한 손상을 방지할 만큼 충분히 두껍고 동시에 채널 릴리스 공정 후에 희생층(206)과 함께 제거될 수 있을 만큼 충분히 얇도록 선택된다. 1 and 8 , the method 100 includes a block 112 in which a first layer of spacer material 232 is formed over a workpiece 200 . The first spacer material layer 232 may be deposited using ALD and may include silicon (Si), carbon (C), oxygen (O), and nitrogen. In some embodiments, the first spacer material layer 232 may include silicon oxycarbonitride, a silicon content of between about 30% and about 50%, a carbon content of between about 5% and about 15%. , an oxygen content of between about 5% and about 15%, and a nitrogen content of between about 40% and about 60%. In some alternative embodiments, the first spacer material layer 232 may include silicon carbonitride. Since the nitrogen content is between about 40% and about 60%, the first spacer material layer 232 has a first dielectric constant of between about 5 and about 8, and between about 2 g/cm 3 and about 4 g/cm 3 . has a first density between A first layer of spacer material 232 is deposited to a thickness of between about 0.5 nm and about 2 nm. The thickness of the first spacer material layer 232 is selected to be thick enough to prevent damage to the source/drain features during the channel release process and thin enough to be removed along with the sacrificial layer 206 after the channel release process. do.

도 1 및 도 9를 참조하면, 방법(100)은 제 1 스페이서 재료층(232) 위에 제 2 스페이서 재료층(234)이 형성되는 블럭(114)을 포함한다. 제 2 스페이서 재료층(234)은 ALD를 사용하여 퇴적될 수 있다. 제 2 스페이서 재료층(234)은 또한 제 1 스페이서 재료층(232)과 같이 실리콘(Si), 탄소(C), 산소(O), 및 질소를 포함할 수도 있지만, 제 2 스페이서 재료층(234)의 조성은 제 1 스페이서 재료층(232)의 조성과는 상이하다. 일부 실시예에서, 제 2 스페이서 재료층(234)은 실리콘 산탄질화물을 포함할 수 있고, 약 30 %와 약 50 % 사이의 실리콘 함유량, 약 5 %와 약 15 % 사이의 탄소 함유량, 약 40 %와 약 60 % 사이의 산소 함유량, 및 약 10 %와 약 20 % 사이의 질소 함유량을 포함할 수 있다. 이들 실시예에서, 제 2 스페이서 재료층(234)의 산소 함유량은 제 1 스페이서 재료층(232)의 산소 함유량보다 크고, 제 2 스페이서 재료층(234)의 질소 함유량은 제 1 스페이서 재료층(232)의 질소 함유량보다 적다. 일부 대안적인 실시예에서, 제 2 스페이서 재료층(234)은 실리콘 산탄화물, 다공성 실리콘 산탄화물, 또는 불소 도핑된 실리콘 산화물을 포함할 수 있다. 산소 함유량이 약 40 %와 약 60 % 사이에 있기 때문에, 제 2 스페이서 재료층(234)은 약 1.5와 약 4 사이의 제 2 유전 상수, 및 약 1 g/cm3 와 약 3 g/cm3 사이의 제 2 밀도를 갖는다. 비교로서, 제 1 스페이서 재료층(232)의 제 1 유전 상수는 제 2 스페이서 재료층(234)의 제 2 유전 상수보다 크다. 또한, 제 1 스페이서 재료층(232)의 제 1 밀도는 제 2 스페이서 재료층(234)의 제 2 밀도보다 높다. 제 2 스페이서 재료층(234)은 제 1 스페이서 재료층(232)보다 두꺼울 수 있다. 일부 구현예에서, 제 2 스페이서 재료층(234)은 약 1 nm와 약 3 nm 사이에 있을 수 있다. 1 and 9 , the method 100 includes a block 114 in which a second layer of spacer material 234 is formed over the first layer of spacer material 232 . The second spacer material layer 234 may be deposited using ALD. The second spacer material layer 234 may also include silicon (Si), carbon (C), oxygen (O), and nitrogen as the first spacer material layer 232 , but the second spacer material layer 234 . ) is different from that of the first spacer material layer 232 . In some embodiments, the second spacer material layer 234 may include silicon oxycarbonitride, a silicon content of between about 30% and about 50%, a carbon content of between about 5% and about 15%, about 40%. and an oxygen content of between about 60%, and a nitrogen content of between about 10% and about 20%. In these embodiments, the oxygen content of the second spacer material layer 234 is greater than the oxygen content of the first spacer material layer 232 , and the nitrogen content of the second spacer material layer 234 is higher than that of the first spacer material layer 232 . ) is less than the nitrogen content of In some alternative embodiments, the second spacer material layer 234 may include silicon oxycarbide, porous silicon oxycarbide, or fluorine-doped silicon oxide. Since the oxygen content is between about 40% and about 60%, the second spacer material layer 234 has a second dielectric constant between about 1.5 and about 4, and between about 1 g/cm 3 and about 3 g/cm 3 . has a second density between As a comparison, the first dielectric constant of the first spacer material layer 232 is greater than the second dielectric constant of the second spacer material layer 234 . Also, the first density of the first layer of spacer material 232 is higher than the second density of the second layer of spacer material 234 . The second spacer material layer 234 may be thicker than the first spacer material layer 232 . In some implementations, the second spacer material layer 234 may be between about 1 nm and about 3 nm.

도 1 및 도 10을 참조하면, 방법(100)은 제 1 스페이서 재료층(232) 및 제 2 스페이서 재료층(234)이 에치백되어 내부 스페이서 피처(240)를 형성하게 되는 블럭(116)을 포함한다. 블럭(116)에서, 에치백 공정은 채널층(208), 기판(202), 및 게이트 스페이서 층(226) 상의 제 1 스페이서 재료층(232) 및 제 2 스페이서 재료층(234)을 제거하여 내부 스페이서 리세스(230) 내에 내부 스페이서 피처(240)를 형성하게 된다. 일부 실시예에서, 블럭(116)에서의 에치백 공정은 산소 함유 가스, 수소, 질소, 불소 함유 가스(예컨대, CF4, SF6, CH2F2, CHF3, 및/또는 C2F6), 염소 함유 가스(예컨대, Cl2, CHCl3, CCl4, 및/또는 BCl3), 브롬 함유 가스(예컨대, HBr 및/또는 CHBR3), 요오드 함유 가스(예컨대, CF3I), 다른 적합한 가스 및/또는 플라즈마, 및/또는 이들의 조합의 사용을 포함하는 건식 에칭 공정일 수 있다. 전술한 바와 같이, 각각의 내부 스페이서 리세스(230)는 (X 방향을 따라) 약 2 nm와 약 5 nm 사이의 깊이, 및 (Z 방향을 따라) 약 7 nm와 약 12 nm 사이의 높이를 갖는다. 각각의 내부 스페이서 피처(240)는 내부 스페이서 리세스(230) 내에 형성되기 때문에, 각각의 내부 스페이서 피처는 또한 (X 방향을 따라) 약 2 nm와 약 5 nm 사이의 깊이 및 (Z 방향을 따라) 약 7 nm와 약 12 nm 사이의 높이를 갖는다. 다르게 말하면, 각각의 내부 스페이서 피처(240)는 (X 방향에 따른) 그 깊이보다 더 큰 (Z 방향에 따른) 높이를 갖는다. 도 10에 도시된 바와 같이, 각각의 내부 스페이서 피처(240)는 제 1 스페이서 재료층(232)으로 형성된 외부 층 및 제 2 스페이서 재료층(234)으로 형성된 내부 층을 포함한다. 참조의 편의를 위해, 외부 층은 제 1 스페이서 재료층(232)과 동일한 참조 번호를 공유하고, 내부 층은 제 2 스페이서 재료층(234)과 동일한 참조 번호를 공유한다. 블럭(116)에서의 동작의 종료시, 외부 층(232)은 내부 층(234)을 끌어안고 내부층(234)을 채널층(208) 및 희생층(206)으로부터 이격시킨다.1 and 10 , the method 100 includes a block 116 in which a first layer of spacer material 232 and a second layer of spacer material 234 are etched back to form inner spacer features 240 . include At block 116 , the etch-back process removes the first spacer material layer 232 and the second spacer material layer 234 on the channel layer 208 , the substrate 202 , and the gate spacer layer 226 to the interior An inner spacer feature 240 is formed in the spacer recess 230 . In some embodiments, the etch-back process at block 116 is an oxygen-containing gas, hydrogen, nitrogen, fluorine-containing gas (eg, CF 4 , SF 6 , CH 2 F 2 , CHF 3 , and/or C 2 F 6 ). ), chlorine containing gases (eg Cl 2 , CHCl 3 , CCl 4 , and/or BCl 3 ), bromine containing gases (eg HBr and/or CHBR 3 ), iodine containing gases (eg CF 3 I), other It may be a dry etching process comprising the use of suitable gases and/or plasmas, and/or combinations thereof. As described above, each inner spacer recess 230 has a depth of between about 2 nm and about 5 nm (along the X direction) and a height of between about 7 nm and about 12 nm (along the Z direction). have Because each inner spacer feature 240 is formed within the inner spacer recess 230, each inner spacer feature also has a depth of between about 2 nm and about 5 nm (along the X direction) and (along the Z direction). ) has a height between about 7 nm and about 12 nm. In other words, each inner spacer feature 240 has a height (along the Z-direction) greater than its depth (along the X-direction). As shown in FIG. 10 , each inner spacer feature 240 includes an outer layer formed of a first spacer material layer 232 and an inner layer formed of a second spacer material layer 234 . For convenience of reference, the outer layer shares the same reference number as the first spacer material layer 232 , and the inner layer shares the same reference number as the second spacer material layer 234 . At the end of the operation at block 116 , the outer layer 232 embraces the inner layer 234 and separates the inner layer 234 from the channel layer 208 and the sacrificial layer 206 .

도 1 및 도 11을 참조하면, 방법(100)은 소스/드레인 피처(242)가 소스/드레인 트렌치(228) 내에 형성되는 블럭(118)을 포함한다. 일부 실시예에서, 소스/드레인 피처(242)는 VPE, UHV-CVD, MBE, 및/또는 다른 적합한 공정과 같은 에피택셜 공정을 이용하여 형성될 수 있다. 에피택셜 성장 공정은 기판(202) 및 채널층(208)의 조성과 상호 작용하는 기체 및/또는 액체 전구체를 사용할 수 있다. 형성될 MBC 트랜지스터의 도전성 타입에 따라, 소스/드레인 피처(242)는 n 타입 소스/드레인 피처 또는 p 타입 소스/드레인 피처일 수 있다. n 타입 소스/드레인 피처의 예는 Si, GaAs, GaAsP, SiP, 또는 다른 적합한 재료를 포함할 수 있으며, 에피택셜 공정 동안 인(P), 비소(As)와 같은 n 타입 도펀트를 도입함으로써 인시츄(in-situ) 도핑될 수 있거나, 주입 공정(, 접합 주입 공정)을 사용하여 엑시츄(ex-sity) 도핑될 수 있다. p 타입 소스/드레인 피처의 예는 Si, Ge, AlGaAs, SiGe, 붕소 도핑된 SiGe, 또는 다른 적합한 재료를 포함할 수 있으며, 에피택셜 공정 동안 인(P), 비소(As)와 같은 n 타입 도펀트를 도입함으로써 인시츄 도핑될 수 있거나, 주입 공정(, 접합 주입 공정)을 사용하여 엑시츄 도핑될 수 있다. 1 and 11 , the method 100 includes a block 118 in which a source/drain feature 242 is formed in a source/drain trench 228 . In some embodiments, source/drain features 242 may be formed using an epitaxial process such as VPE, UHV-CVD, MBE, and/or other suitable processes. The epitaxial growth process may use gas and/or liquid precursors that interact with the composition of the substrate 202 and channel layer 208 . Depending on the conductivity type of the MBC transistor to be formed, source/drain features 242 may be n-type source/drain features or p-type source/drain features. Examples of n-type source/drain features may include Si, GaAs, GaAsP, SiP, or other suitable materials, in situ by introducing n-type dopants such as phosphorus (P), arsenic (As) during epitaxial processing. It may be doped (in-situ), or it may be doped ex-situ using an implantation process ( ie , a junction implantation process). Examples of p-type source/drain features may include Si, Ge, AlGaAs, SiGe, boron-doped SiGe, or other suitable materials, with n-type dopants such as phosphorus (P), arsenic (As) during epitaxial processing. may be doped in situ by introducing

도 1 및 도 12를 참조하면, 방법(100)은 가공물(200) 위에 컨택 에칭 정지 층(contact etch stop layer)(CESL)(244) 및 층간 유전체(interlayer dielectric)(ILD) 층(246)이 퇴적되는 블럭(120)을 포함한다. CESL(244)은 실리콘 질화물, 실리콘 산화물, 실리콘 산질화물, 및/또는 본 기술 분야에 알려진 다른 재료를 포함할 수 있고, ALD, 플라즈마 강화 화학 기상 퇴적(PECVD) 공정, 및/또는 다른 적합한 퇴적 또는 산화 공정에 의해 형성될 수 있다. 도 12에 도시된 바와 같이, CESL(244)은 소스/드레인 피처(242)의 상단 표면 상에 그리고 게이트 스페이서 층(226)의 측벽들을 따라 퇴적될 수 있다. CESL(244)이 또한 게이트 스페이서 층(226) 및 게이트 상단 하드 마스크 층(222)의 상단 표면 위에 퇴적되지만, 도 12는 게이트 상단 하드 마스크 층(222)이 제거된 후의 단면도만을 도시한다. 블럭(120)은 또한 CESL(244) 위에 ILD 층(246)을 퇴적하는 것을 포함한다. 일부 실시예에서, ILD 층(246)은 테트라에틸오르토실리케이트(tetraethylorthosilicate)(TEOS) 산화물, 도핑되지 않은 실리케이트 글래스, 또는 도핑된 실리콘 산화물(예컨대, 보로포스포실리케이트 글래스(borophosphosilicate glass)(BPSG), 융합된 실리카 글래스(fused silica glass)(FSG), 포스포실리케이트 글래스(phosphosilicate glass)(PSG), 붕소 도핑된 실리콘 글래스(boron doped silicon glass)(BSG))와 같은 재료, 및/또는 다른 적합한 유전체 재료를 포함한다. ILD 층(246)은 PECVD 공정 또는 또는 다른 적합한 퇴적 기술에 의해 퇴적될 수 있다. 일부 실시예에서, ILD 층(246)의 형성 후에, 가공물(200)은 어닐링되어 ILD 층(246)의 무결성을 개선할 수 있다. 과잉 재료를 제거하고 더미 게이트 스택(220)의 상단 표면을 노출시키기 위해, 도 12에 도시된 바와 같이, 화학 기계적 연마(chemical mechanical polishing)(CMP) 공정과 같은 평탄화 공정이 수행될 수 있다. 게이트 상단 하드 마스크 층(222)은 평탄화 공정에 의해 제거된다.1 and 12 , the method 100 includes a contact etch stop layer (CESL) 244 and an interlayer dielectric (ILD) layer 246 over a workpiece 200 . and a block 120 to be deposited. CESL 244 may include silicon nitride, silicon oxide, silicon oxynitride, and/or other materials known in the art, and may include ALD, plasma enhanced chemical vapor deposition (PECVD) processes, and/or other suitable deposition or It may be formed by an oxidation process. 12 , CESL 244 may be deposited on the top surface of source/drain feature 242 and along sidewalls of gate spacer layer 226 . CESL 244 is also deposited over the top surfaces of gate spacer layer 226 and gate top hard mask layer 222 , although FIG. 12 only shows a cross-sectional view after gate top hard mask layer 222 has been removed. Block 120 also includes depositing an ILD layer 246 over CESL 244 . In some embodiments, the ILD layer 246 is tetraethylorthosilicate (TEOS) oxide, undoped silicate glass, or doped silicon oxide (eg, borophosphosilicate glass (BPSG); materials such as fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG)), and/or other suitable dielectric materials includes materials. The ILD layer 246 may be deposited by a PECVD process or other suitable deposition technique. In some embodiments, after formation of the ILD layer 246 , the workpiece 200 may be annealed to improve the integrity of the ILD layer 246 . A planarization process, such as a chemical mechanical polishing (CMP) process, may be performed, as shown in FIG. 12 , to remove excess material and expose the top surface of the dummy gate stack 220 . The gate top hard mask layer 222 is removed by a planarization process.

도 1 및 도 13을 참조하면, 방법(100)은 더미 게이트 스택(220)이 제거되는 블럭(122)을 포함한다. 일부 실시예에서, 더미 게이트 스택(220)의 제거는 채널 영역(202C) 위에 게이트 트렌치(248)를 생성한다. (후술될) 게이트 구조물(250)은 이어서, 후술되는 바와 같이 게이트 트렌치(248) 내에 형성될 수 있다. 더미 게이트 스택(220)의 제거는 더미 게이트 스택(220) 내의 재료에 대해 선택적인 하나 이상의 에칭 공정을 포함할 수 있다. 예를 들어, 더미 게이트 스택(220)의 제거는 선택적 습식 에칭, 선택적 건식 에칭, 또는 이들의 조합과 같은 것을 사용하여 수행될 수 있다. 더미 게이트 스택(220)의 제거 후, 채널 영역(202C) 내의 채널층(208) 및 희생층(206)의 측벽들은 게이트 트렌치(248)에서 노출된다.1 and 13 , the method 100 includes a block 122 from which the dummy gate stack 220 is removed. In some embodiments, removal of dummy gate stack 220 creates gate trench 248 over channel region 202C. A gate structure 250 (described below) may then be formed in the gate trench 248 as described below. Removal of the dummy gate stack 220 may include one or more etching processes selective to the material within the dummy gate stack 220 . For example, the removal of the dummy gate stack 220 may be performed using a selective wet etch, a selective dry etch, or a combination thereof. After removal of dummy gate stack 220 , sidewalls of channel layer 208 and sacrificial layer 206 in channel region 202C are exposed in gate trench 248 .

도 1 및 도 14를 참조하면, 방법(100)은 채널 영역(202C) 내의 희생층(206)이 선택적으로 제거되어 채널 부재(2080)를 릴리스하게 되는 블럭(124)을 포함한다. 더미 게이트 스택(220)의 제거 후, 방법(100)의 블럭(124)은 채널 영역(202C)에서 채널층(208) 간의 희생층(206)을 선택적으로 제거하는 동작을 포함할 수 있다. 희생층(206)의 선택적 제거는 채널층(208)을 릴리스하여 채널 부재(2080)를 형성하게 된다. 희생층(206)의 선택적 제거는 선택적 건식 에칭, 선택적 습식 에칭, 또는 다른 선택적 에칭 공정에 의해 구현될 수 있다. 일부 실시예에서, 선택적 습식 에칭은 APM 에칭(예컨대, 암모니아 수산화물-과산화수소-물 혼합물)을 포함한다. 일부 실시예에서, 선택적 제거는 SiGe 산화 및 이에 후속하는 실리콘 게르마늄 산화물 제거를 포함한다. 예를 들어, 오존 세정에 의해 산화가 제공될 수 있고, 그 후 NH4OH와 같은 에칭제에 의해 실리콘 게르마늄 산화물이 제거될 수 있다. 도 14에 도시된 바와 같이, 블럭(124)에서 희생층(206)의 선택적 제거는 선택적이지만, 여전히 채널 부재(2080)를 적절히 에칭하여 Z 방향을 따라 채널 부재(2080)의 두께를 감소시킬 수 있다. 채널 부재(2080)의 이러한 적절한 에칭은 부재 간 개구(inter-member openings)(249)를 형성할 수 있다. 더미 게이트 스택(220)의 길이 방향을 따라(Y 방향을 따라) 볼 때, 각각의 부재 간 개구(249)는 레이스 트랙(racetrack)과 같은 형상을 갖는다. 본 개시 내용에 따르면, 희생층(206)의 선택적 에칭은 또한 부재 간 개구(249)에 인접한 외부 층(232)을 제거하고, 이에 의해 부재 간 개구(249)에서 내부 층(234)을 노출시킨다. 블럭(124)에서의 동작은 내부 층(234)과 채널 부재(2080) 사이의 외부 층(232)의 부분을 제거하지는 않는다. 그 결과, 내부 층(234)은 외부 층(232)에 의해 채널 부재(2080)로부터 이격된 상태로 유지된다.1 and 14 , the method 100 includes a block 124 in which the sacrificial layer 206 in the channel region 202C is selectively removed to release the channel member 2080 . After removal of dummy gate stack 220 , block 124 of method 100 may include selectively removing sacrificial layer 206 between channel layers 208 in channel region 202C. Selective removal of the sacrificial layer 206 will release the channel layer 208 to form a channel member 2080 . The selective removal of the sacrificial layer 206 may be implemented by selective dry etching, selective wet etching, or other selective etching processes. In some embodiments, the selective wet etching comprises an APM etching (eg, ammonia hydroxide-hydrogen peroxide-water mixture). In some embodiments, the selective removal includes SiGe oxidation followed by silicon germanium oxide removal. For example, oxidation may be provided by ozone cleaning, followed by removal of silicon germanium oxide by an etchant such as NH 4 OH. As shown in FIG. 14 , selective removal of the sacrificial layer 206 at block 124 is optional, but may still properly etch the channel member 2080 to reduce the thickness of the channel member 2080 along the Z direction. have. This proper etching of the channel member 2080 may form inter-member openings 249 . When viewed along the longitudinal direction (along the Y direction) of the dummy gate stack 220 , the opening 249 between each member has a racetrack-like shape. In accordance with the present disclosure, selective etching of the sacrificial layer 206 also removes the outer layer 232 adjacent the inter-member opening 249 , thereby exposing the inner layer 234 at the inter-member opening 249 . . The operation at block 124 does not remove the portion of the outer layer 232 between the inner layer 234 and the channel member 2080 . As a result, the inner layer 234 is kept spaced apart from the channel member 2080 by the outer layer 232 .

도 1 및 도 15를 참조하면, 방법(100)은 게이트 구조물(250)이 부재 간 개구(249)(도 14에 도시됨) 내로 형성되는 것을 포함하여 채널 부재(2080) 위 및 주위에 형성되는 블럭(126)을 포함한다. 블럭(126)에서, 게이트 구조물(250)은 가공물(200) 위의 게이트 트렌치(248)(도 14에 도시됨) 내에 형성되고, 채널 영역(202C)에서 희생층(206)의 제거에 의해 남겨진 부재 간 개구(249) 내에 퇴적된다. 이와 관련하여, 게이트 구조물(250)은 Y-Z 평면에서 각각의 채널 부재(2080)를 감싼다. 일부 실시예에서, 게이트 구조물(250)은 게이트 유전체 층(252), 및 게이트 유전체 층(252) 위에 형성된 게이트 전극(254)을 포함한다. 일 예의 공정에서, 게이트 구조물(250)의 형성은 게이트 유전체 층(252)의 퇴적, 게이트 전극(254)의 퇴적, 및 과잉 재료를 제거하기 위한 평탄화 공정을 포함할 수 있다.1 and 15 , method 100 includes a method 100 in which a gate structure 250 is formed over and around a channel member 2080 including forming into an inter-member opening 249 (shown in FIG. 14 ). a block 126 . At block 126 , gate structure 250 is formed in gate trench 248 (shown in FIG. 14 ) over workpiece 200 , left behind by removal of sacrificial layer 206 in channel region 202C. Deposited within the inter-member opening 249 . In this regard, the gate structure 250 surrounds each channel member 2080 in the Y-Z plane. In some embodiments, the gate structure 250 includes a gate dielectric layer 252 , and a gate electrode 254 formed over the gate dielectric layer 252 . In one example process, formation of gate structure 250 may include deposition of a gate dielectric layer 252 , deposition of a gate electrode 254 , and a planarization process to remove excess material.

일부 실시예에서, 게이트 유전체 층(252)은 계면 층 및 하이-k 유전체 층을 포함할 수 있다. 본원에서 사용되고 설명되는 하이-k 게이트 유전체는, 예를 들어, 열 실리콘 산화물의 유전 상수(~ 3.9)보다 큰 유전 상수를 갖는 유전체 재료를 포함한다. 계면 층은 실리콘 산화물, 하프늄 실리케이트, 또는 실리콘 산질화물과 같은 유전체 재료를 포함할 수 있다. 계면 층은 화학적 산화, 열 산화, ALD, CVD, 및/또는 다른 적합한 방법을 사용하여 퇴적될 수 있다. 하이-k 유전체 층은 하프늄 산화물과 같은 하이-k 유전체 층을 포함할 수 있다. 대안적으로, 하이-k 유전체 층은 다른 하이-k 유전체, 예컨대, 티타늄 산화물(TiO2), 하프늄 지르코늄 산화물(HfZrO), 탄탈륨 산화물(Ta2O5), 하프늄 실리콘 산화물(HfSiO4), 지르코늄 산화물(ZrO2), 지르코늄 실리콘 산화물(ZrSiO2), 란탄 산화물(La2O3), 알루미늄 산화물(Al2O3), 지르코늄 산화물(ZrO), 이트륨 산화물(Y2O3), SrTiO3 (STO), BaTiO3 (BTO), BaZrO, 하프늄 란탄 산화물(HfLaO), 란탄 실리콘 산화물(LaSiO), 알루미늄 실리콘 산화물(AlSiO), 하프늄 탄탈륨 산화물(HfTaO), 하프늄 티타늄 산화물(HfTiO), (Ba,Sr) TiO3 (BST), 실리콘 질화물(SiN), 실리콘 산질화물(SiON), 이들의 조합, 또는 다른 적합한 재료를 포함할 수 있다. 하이-k 유전체 층은 ALD, 물리 기상 퇴적(PVD), CVD, 산화, 및/또는 다른 적합한 방법에 의해 형성될 수 있다. In some embodiments, the gate dielectric layer 252 may include an interfacial layer and a high-k dielectric layer. High-k gate dielectrics used and described herein include, for example, dielectric materials having a dielectric constant greater than that of thermal silicon oxide (˜3.9). The interfacial layer may include a dielectric material such as silicon oxide, hafnium silicate, or silicon oxynitride. The interfacial layer may be deposited using chemical oxidation, thermal oxidation, ALD, CVD, and/or other suitable methods. The high-k dielectric layer may include a high-k dielectric layer such as hafnium oxide. Alternatively, the high-k dielectric layer may be formed of another high-k dielectric, such as titanium oxide (TiO 2 ), hafnium zirconium oxide (HfZrO), tantalum oxide (Ta 2 O 5 ), hafnium silicon oxide (HfSiO 4 ), zirconium. oxide (ZrO 2 ), zirconium silicon oxide (ZrSiO 2 ), lanthanum oxide (La 2 O 3 ), aluminum oxide (Al 2 O 3 ), zirconium oxide (ZrO), yttrium oxide (Y 2 O 3 ), SrTiO 3 ( STO), BaTiO 3 (BTO), BaZrO, Hafnium Lanthanum Oxide (HfLaO), Lanthanum Silicon Oxide (LaSiO), Aluminum Silicon Oxide (AlSiO), Hafnium Tantalum Oxide (HfTaO), Hafnium Titanium Oxide (HfTiO), (Ba,Sr ) TiO 3 (BST), silicon nitride (SiN), silicon oxynitride (SiON), combinations thereof, or other suitable materials. The high-k dielectric layer may be formed by ALD, physical vapor deposition (PVD), CVD, oxidation, and/or other suitable methods.

게이트 구조물(250)의 게이트 전극(254)은 단일 층, 또는 대안적으로 디바이스 성능을 향상시키기 위해 선택된 일함수를 갖는 금속층(일함수 금속층), 라이너 층, 습윤층, 접착층, 금속 합금 또는 금속 실리사이드의 다양한 조합과 같은 다중 층 구조물을 포함할 수 있다. 예를 들어, 게이트 전극(254)은 티타늄 질화물(TiN), 티타늄 알루미늄(TiAl), 티타늄 알루미늄 질화물(TiAlN), 탄탈륨 질화물(TaN), 탄탈륨 알루미늄(TaAl), 탄탈륨 알루미늄 질화물(TaAlN), 탄탈륨 알루미늄 탄화물(TaAlC), 탄탈륨 탄질화물(TaCN), 알루미늄(Al), 텅스텐(W), 니켈(Ni), 티타늄(Ti), 루테늄(Ru), 코발트(Co), 플래티늄(Pt), 탄탈륨 탄화물(TaC), 탄탈륨 실리콘 질화물(TaSiN), 구리(Cu), 다른 내화성 금속, 또는 다른 적합한 금속 재료, 또는 이들의 조합을 포함할 수 있다. 다양한 실시예에서, 게이트 전극(254)은 ALD, PVD, CVD, 전자빔 증발, 또는 다른 적합한 공정에 의해 형성될 수 있다. 또한, 게이트 전극은 n 타입 트랜지스터 및 p 타입 트랜지스터에 대해 별도로 형성될 수 있으며, (예컨대, 서로 다른 n 타입 및 p 타입 일함수 금속층들을 제공하기 위해) 서로 다른 금속층들을 사용할 수 있다. 다양한 실시예에서, CMP 공정과 같은 평탄화 공정은 게이트 유전체 층(252) 및 게이트 전극(254) 모두에 대해 과잉 재료를 제거하기 위해 수행될 수 있고, 이에 의해 게이트 구조물(250)의 실질적으로 평탄한 상단 표면을 제공할 수 있다. 일부 실시예에서, 내부 스페이서 피처(240)의 내부 층(234)이 부재 간 개구(249)(도 14에 도시됨)에서 노출되고 게이트 구조물(250)이 부재 간 개구(249)를 충전하기 때문에, 게이트 구조물(250)은 내부 층(234)과 접촉하게 된다. 게이트 구조물(250) 및 내부 스페이서 피처(240)를 참조하면, 각각의 채널 부재(2080)는 접속 부분(2084)에 의해 양 단부가 캡핑된 채널 부분(2082)을 포함하는 것으로 간주될 수 있다. 채널 부분(2082)은 게이트 구조물(250)에 의해 감싸진다. 각각의 접속 부분(2084)은 2 개의 내부 스페이서 피처(240)들 사이에서 또는 게이트 스페이서(226)와 최상단 내부 스페이서 피처(240) 사이에서 수직으로 끼워진다. 각각의 접속 부분(2084)은 소스/드레인 피처(242)와 채널 부분(2082) 사이에 접속된다.The gate electrode 254 of the gate structure 250 is a single layer, or alternatively a metal layer having a work function selected to improve device performance (work function metal layer), a liner layer, a wetting layer, an adhesive layer, a metal alloy, or a metal silicide. may include multi-layer structures such as various combinations of For example, the gate electrode 254 may include titanium nitride (TiN), titanium aluminum (TiAl), titanium aluminum nitride (TiAlN), tantalum nitride (TaN), tantalum aluminum (TaAl), tantalum aluminum nitride (TaAlN), or tantalum aluminum. Carbide (TaAlC), Tantalum Carbonitride (TaCN), Aluminum (Al), Tungsten (W), Nickel (Ni), Titanium (Ti), Ruthenium (Ru), Cobalt (Co), Platinum (Pt), Tantalum Carbide ( TaC), tantalum silicon nitride (TaSiN), copper (Cu), other refractory metals, or other suitable metallic materials, or combinations thereof. In various embodiments, the gate electrode 254 may be formed by ALD, PVD, CVD, electron beam evaporation, or other suitable process. Also, the gate electrode may be formed separately for the n-type transistor and the p-type transistor, and different metal layers may be used (eg, to provide different n-type and p-type workfunction metal layers). In various embodiments, a planarization process, such as a CMP process, may be performed to remove excess material for both the gate dielectric layer 252 and the gate electrode 254 , thereby providing a substantially planar top of the gate structure 250 . A surface may be provided. In some embodiments, since the inner layer 234 of the inner spacer feature 240 is exposed at the inter-member opening 249 (shown in FIG. 14 ) and the gate structure 250 fills the inter-member opening 249 . , the gate structure 250 comes into contact with the inner layer 234 . With reference to gate structure 250 and inner spacer features 240 , each channel member 2080 can be considered to include a channel portion 2082 capped at both ends by a connecting portion 2084 . Channel portion 2082 is surrounded by gate structure 250 . Each connection portion 2084 is vertically sandwiched between two inner spacer features 240 or between a gate spacer 226 and a topmost inner spacer feature 240 . Each connection portion 2084 is connected between the source/drain feature 242 and the channel portion 2082 .

본 개시 내용의 내부 스페이서 피처(240) 및 채널 부재(1080)의 피처들을 추가로 예시하기 위해, 채널 영역(202C)의 확대된 부분 단면도가 도 16에 제공된다. 본 개시 내용의 각각의 채널 부재(1080)의 두께는 X 방향을 따른 길이 전체에 걸쳐 균일하지는 않다. 채널 부재(2080)의 채널 부분(2082)은 Z 방향을 따라 제 1 두께(T1)를 갖고, 채널 부재(2080)의 접속 부분(2084)은 Z 방향을 따라 제 2 두께(T2)를 갖는다. 도 16에 도시된 바와 같이, 채널 부재(2080)는 또한 채널 부재(2080)의 바닥 표면(bottom surface) 상의 바닥 릿지(bottom ridge)(260), 및 채널 부재(2080)의 상단 표면 상의 상단 릿지(top ridge)(262)를 포함할 수 있다. 바닥 릿지(260) 및 상단 릿지(262)는 내부 스페이서 피처(240)와 게이트 구조물(250) 사이의 계면에 인접하게 배치된다. 다르게 말하면, 바닥 릿지(260) 및 상단 릿지(262)는 채널 부분(2082)과 접속 부분(2084) 사이의 계면에 인접하게 배치된다. 바닥 릿지(260) 및 상단 릿지(262)의 팁(tips)은 제 3 두께(T3)를 한정할 수 있다. 일부 실시예에서, 제 1 두께(T1)는 제 2 두께(T2)와 실질적으로 유사할 수 있다. 이들 실시예에서, 제 3 두께(T3)는 제 1 두께(T1) 및 제 2 두께(T2)보다 크다. 일부 예에서, 제 1 두께(T1)는 약 5 nm와 약 10 nm 사이에 있을 수 있고, 제 2 두께(T2)는 약 5 nm와 약 10 nm 사이에 있을 수 있으며, 제 3 두께(T3)는 약 8 nm와 약 15 nm 사이에 있을 수 있다. 바닥 릿지(260) 및 상단 릿지(262)는 내부 스페이서 리세스(230)를 형성하는 등방성 에칭 및 희생층(206)을 선택적으로 제거하는 등방성 에칭의 결과이다. 전자는 아웃사이드 인(outside in)으로 진행하고 후자는 인사이드 아웃(inside out)으로 진행하며, 둥근 에칭 에지들은 게이트 구조물(250)과 내부 스페이서 피처(240) 사이의 계면에서 만나 도 16에 도시된 바닥 릿지(260)와 상단 릿지(262)를 형성하게 된다. 바닥 릿지(260) 및 상단 릿지(262)의 존재로, 본 개시 내용의 채널 부재(2080)는 게이트 구조물(250)의 길이 방향(, Y 방향)을 따라 볼 때 바벨과 같은 형상(barbell-like shape)을 가질 수 있다. 도 16에 도시된 바와 같이, 최상단 채널 부재(2080T)의 접속 부분이 2 개의 내부 스페이서 피처(240)들 사이에 수직으로 끼워지지 않기 때문에, 최상단 채널 부재(2080T)는 상단 릿지(262)를 갖지 않을 수 있고, Y 방향을 따라 볼 때 상이한 형상을 가질 수 있다.To further illustrate features of inner spacer feature 240 and channel member 1080 of the present disclosure, an enlarged partial cross-sectional view of channel region 202C is provided in FIG. 16 . The thickness of each channel member 1080 of the present disclosure is not uniform throughout its length along the X direction. The channel portion 2082 of the channel member 2080 has a first thickness T1 along the Z direction, and the connecting portion 2084 of the channel member 2080 has a second thickness T2 along the Z direction. As shown in FIG. 16 , the channel member 2080 also has a bottom ridge 260 on the bottom surface of the channel member 2080 , and a top ridge on the top surface of the channel member 2080 . (top ridge) 262 . A bottom ridge 260 and a top ridge 262 are disposed adjacent the interface between the inner spacer feature 240 and the gate structure 250 . In other words, the bottom ridge 260 and the top ridge 262 are disposed adjacent the interface between the channel portion 2082 and the connecting portion 2084 . The tips of the bottom ridge 260 and the top ridge 262 may define a third thickness T3 . In some embodiments, the first thickness T1 may be substantially similar to the second thickness T2 . In these embodiments, the third thickness T3 is greater than the first thickness T1 and the second thickness T2. In some examples, the first thickness T1 can be between about 5 nm and about 10 nm, the second thickness T2 can be between about 5 nm and about 10 nm, and the third thickness T3 may be between about 8 nm and about 15 nm. Bottom ridge 260 and top ridge 262 are the result of an isotropic etch to form inner spacer recess 230 and an isotropic etch to selectively remove sacrificial layer 206 . The former goes outside in and the latter goes inside out, and the rounded etch edges meet at the interface between the gate structure 250 and the inner spacer feature 240 as shown in FIG. 16 . A bottom ridge 260 and an upper ridge 262 are formed. With the presence of the bottom ridge 260 and the top ridge 262 , the channel member 2080 of the present disclosure has a barbell-like shape when viewed along the longitudinal direction ( ie , the Y direction) of the gate structure 250 . like shape). As shown in FIG. 16 , the uppermost channel member 2080T does not have a top ridge 262 because the connecting portion of the uppermost channel member 2080T does not fit vertically between the two inner spacer features 240 . may not, and may have a different shape when viewed along the Y direction.

제한하려는 의도는 아니지만, 본 개시 내용의 하나 이상의 실시예는 반도체 디바이스 및 그 형성에 많은 이점을 제공한다. 예를 들어, 본 개시 내용의 실시예는 내부 층 및 외부 층을 포함하는 내부 스페이서 피처를 제공한다. 외부 층의 두께는, 소스/드레인 피처에 대한 손상을 방지할 수 있을 만큼 충분히 두껍지만 채널 릴리스 공정 동안 소모될 만큼 충분히 얇도록 선택된다. 외부 층의 유전 상수가 내부 스페이서의 유전 상수보다 크기 때문에, 외부 층의 제거는 기생 캐패시턴스를 감소시킬 수 있다. 최종 구조물의 관점에서, 게이트 구조물은 내부 층과 직접 접촉할 수 있다. 내부 스페이서 리세스의 형성 및 희생층의 제거 동안 에칭의 정도로 인해, 본 개시 내용에 따른 채널 부재는 바닥 릿지와 대향하는 상단 릿지를 갖는다. 그 결과, 본 개시 내용의 채널 부재는 바벨과 같은 형상을 가질 수 있다. Although not intended to be limiting, one or more embodiments of the present disclosure provide many advantages to semiconductor devices and their formation. For example, embodiments of the present disclosure provide an inner spacer feature comprising an inner layer and an outer layer. The thickness of the outer layer is chosen such that it is thick enough to prevent damage to the source/drain features but thin enough to be consumed during the channel release process. Since the dielectric constant of the outer layer is greater than that of the inner spacer, removal of the outer layer can reduce the parasitic capacitance. In terms of the final structure, the gate structure may be in direct contact with the inner layer. Due to the degree of etching during the formation of the inner spacer recess and removal of the sacrificial layer, the channel member according to the present disclosure has a bottom ridge and an opposing top ridge. As a result, the channel member of the present disclosure may have a barbell-like shape.

일 예시적인 양태에서, 본 개시 내용은 반도체 디바이스에 관한 것이다. 반도체 디바이스는 제 1 접속 부분, 제 2 접속 부분, 및 상기 제 1 접속 부분과 상기 제 2 접속 부분 사이에 배치된 채널 부분을 포함한 채널 부재와, 상기 제 1 접속 부분 위에 배치되어 상기 제 1 접속 부분과 접촉하는 제 1 내부 스페이서 피처와, 상기 제 1 접속 부분 아래에 배치되어 상기 제 1 접속 부분과 접촉하는 제 2 내부 스페이서 피처와, 상기 채널 부재의 채널 부분 주위를 랩핑하는 게이트 구조물을 포함한다. 상기 채널 부재는 상기 채널 부재의 상단 표면 상에서 상기 채널 부분과 상기 제 1 접속 부분 사이의 계면에 배치된 제 1 릿지를 더 포함한다. 상기 제 1 릿지는 상기 제 1 내부 스페이서 피처와 상기 게이트 구조물 사이에서 부분적으로 연장된다.In one exemplary aspect, the present disclosure relates to a semiconductor device. A semiconductor device comprises: a channel member including a first connecting portion, a second connecting portion, and a channel portion disposed between the first connecting portion and the second connecting portion; a first inner spacer feature in contact with the first inner spacer feature, a second inner spacer feature disposed below the first connecting portion and in contact with the first connecting portion, and a gate structure wrapping around the channel portion of the channel member. The channel member further includes a first ridge disposed at an interface between the channel portion and the first connecting portion on a top surface of the channel member. The first ridge extends partially between the first inner spacer feature and the gate structure.

일부 실시예에서, 상기 채널 부재는 상기 채널 부재의 바닥 표면 상에서 상기 채널 부분과 상기 제 1 접속 부분 사이의 계면에 배치된 제 2 릿지를 더 포함하고, 상기 제 2 릿지는 상기 제 2 내부 스페이서 피처와 상기 게이트 구조물 사이에서 부분적으로 연장된다. 일부 실시예에서, 상기 제 1 내부 스페이서 피처는 외부 층 및 내부 층을 포함하고, 상기 외부 층의 유전 상수는 상기 내부 층의 유전 상수보다 크다. 일부 구현예에서, 상기 내부 층은 상기 외부 층에 의해 상기 채널 부재로부터 이격되고, 상기 내부 층은 상기 게이트 구조물과 접촉한다. 일부 실시예에서, 상기 외부 층의 밀도는 상기 내부 층의 밀도보다 높다. 일부 예에서, 상기 외부 층은 실리콘 탄질화물(silicon carbonitride) 또는 실리콘 산탄질화물(silicon oxycarbonitride)을 포함하고, 상기 내부 층은 실리콘 산탄화물(silicon oxycarbide), 다공성 실리콘 산탄화물(porous silicon oxycarbide), 또는 불소 도핑된 실리콘 산화물(fluorine-doped silicon oxide)을 포함한다. 일부 실시예에서, 상기 외부 층 및 상기 내부 층은 실리콘, 탄소, 산소, 및 질소를 포함하고, 상기 외부 층의 산소 함유량은 상기 내부 층의 산소 함유량보다 적고, 상기 외부 층의 질소 함유량은 상기 내부 층의 질소 함유량보다 많다. 일부 구현예에서, 상기 외부 층의 산소 함유량은 약 5 %와 약 15 % 사이이고, 상기 내부 층의 산소 함유량은 약 40 %와 약 60 % 사이이고, 상기 외부 층의 질소 함유량은 약 40 %와 약 60 % 사이이고, 상기 내부 층의 질소 함유량은 약 10 %와 약 20 % 사이이다.In some embodiments, the channel member further comprises a second ridge disposed at an interface between the channel portion and the first connecting portion on a bottom surface of the channel member, the second ridge comprising the second inner spacer feature and the gate structure. In some embodiments, the first inner spacer feature comprises an outer layer and an inner layer, wherein the dielectric constant of the outer layer is greater than the dielectric constant of the inner layer. In some embodiments, the inner layer is spaced from the channel member by the outer layer, and the inner layer is in contact with the gate structure. In some embodiments, the density of the outer layer is higher than the density of the inner layer. In some examples, the outer layer comprises silicon carbonitride or silicon oxycarbonitride, and the inner layer comprises silicon oxycarbide, porous silicon oxycarbide, or and fluorine-doped silicon oxide. In some embodiments, the outer layer and the inner layer comprise silicon, carbon, oxygen, and nitrogen, the oxygen content of the outer layer is less than the oxygen content of the inner layer, and the nitrogen content of the outer layer is the inner layer greater than the nitrogen content of the layer. In some embodiments, the oxygen content of the outer layer is between about 5% and about 15%, the oxygen content of the inner layer is between about 40% and about 60%, and the nitrogen content of the outer layer is between about 40% and between about 60%, and the nitrogen content of the inner layer is between about 10% and about 20%.

다른 예시적인 양태에서, 본 개시 내용은 반도체 디바이스에 관한 것이다. 반도체 디바이스는 제 1 접속 부분, 제 2 접속 부분, 및 제 1 방향을 따라 상기 제 1 접속 부분과 상기 제 2 접속 부분 사이에 배치된 채널 부분을 포함한 채널 부재와, 상기 제 1 접속 부분과 접촉하는 제 1 소스/드레인 피처와, 상기 제 2 접속 부분과 접촉하는 제 2 소스/드레인 피처와, 상기 제 1 방향에 수직인 제 2 방향을 따라 상기 제 1 접속 부분 위에 배치된 제 1 내부 스페이서 피처와, 상기 제 2 방향을 따라 상기 제 1 접속 부분 아래에 배치된 제 2 내부 스페이서 피처와, 상기 채널 부재의 채널 부분 주위를 랩핑하는 게이트 구조물을 포함한다. 상기 제 1 내부 스페이서 피처는 외부 층 및 내부 층을 포함하고, 상기 내부 층은 상기 외부 층에 의해 상기 채널 부재로부터 이격되고, 상기 내부 층은 상기 게이트 구조물과 접촉한다.In another exemplary aspect, the present disclosure relates to a semiconductor device. A semiconductor device comprises: a channel member including a first connecting portion, a second connecting portion, and a channel portion disposed between the first connecting portion and the second connecting portion along a first direction; a first source/drain feature, a second source/drain feature in contact with the second connecting portion, and a first inner spacer feature disposed over the first connecting portion along a second direction perpendicular to the first direction; , a second inner spacer feature disposed below the first connecting portion along the second direction, and a gate structure wrapping around the channel portion of the channel member. The first inner spacer feature includes an outer layer and an inner layer, the inner layer being spaced apart from the channel member by the outer layer, the inner layer in contact with the gate structure.

일부 실시예에서, 상기 제 1 내부 스페이서 피처는 상기 제 1 방향을 따른 제 1 치수, 및 상기 제 2 방향을 따른 제 2 치수를 가지며, 상기 제 1 치수는 상기 제 2 치수보다 작다. 일부 실시예에서, 상기 채널 부재는 상기 채널 부재의 상단 표면 상에서 상기 채널 부분과 상기 제 1 접속 부분 사이의 계면에 배치된 제 1 릿지를 더 포함한다. 상기 채널 부재는 상기 채널 부재의 바닥 표면 상에서 상기 채널 부분과 상기 제 1 접속 부분 사이의 계면에 배치된 제 2 릿지를 더 포함한다. 상기 제 1 릿지는 상기 제 1 내부 스페이서 피처와 상기 게이트 구조물 사이에서 부분적으로 연장되고, 상기 제 2 릿지는 상기 제 2 내부 스페이서 피처와 상기 게이트 구조물 사이에서 부분적으로 연장된다. 일부 구현예에서, 상기 외부 층의 유전 상수는 상기 내부 층의 유전 상수보다 크다. 일부 구현예에서, 상기 외부 층의 밀도는 상기 내부 층의 밀도보다 높다. 일부 실시예에서, 상기 외부 층은 실리콘 탄질화물 또는 실리콘 산탄질화물을 포함하고, 상기 내부 층은 실리콘 산탄화물, 다공성 실리콘 산탄화물, 또는 불소 도핑된 실리콘 산화물을 포함한다. 일부 예에서, 상기 외부 층 및 상기 내부 층은 실리콘, 탄소, 산소, 및 질소를 포함하고, 상기 외부 층의 산소 함유량은 상기 내부 층의 산소 함유량보다 적고, 상기 외부 층의 질소 함유량은 상기 내부 층의 질소 함유량보다 많다. 일부 구현예에서, 상기 외부 층의 산소 함유량은 약 5 %와 약 15 % 사이이고, 상기 내부 층의 산소 함유량은 약 40 %와 약 60 % 사이이고, 상기 외부 층의 질소 함유량은 약 40 %와 약 60 % 사이이고, 상기 내부 층의 질소 함유량은 약 10 %와 약 20 % 사이이다.In some embodiments, the first inner spacer feature has a first dimension along the first direction and a second dimension along the second direction, the first dimension being less than the second dimension. In some embodiments, the channel member further comprises a first ridge disposed at an interface between the channel portion and the first connecting portion on a top surface of the channel member. The channel member further includes a second ridge disposed at an interface between the channel portion and the first connecting portion on a bottom surface of the channel member. The first ridge extends partially between the first inner spacer feature and the gate structure, and the second ridge extends partially between the second inner spacer feature and the gate structure. In some embodiments, the dielectric constant of the outer layer is greater than the dielectric constant of the inner layer. In some embodiments, the density of the outer layer is higher than the density of the inner layer. In some embodiments, the outer layer comprises silicon carbonitride or silicon oxycarbonitride and the inner layer comprises silicon oxycarbide, porous silicon oxycarbide, or fluorine doped silicon oxide. In some examples, the outer layer and the inner layer comprise silicon, carbon, oxygen, and nitrogen, the oxygen content of the outer layer is less than the oxygen content of the inner layer, and the nitrogen content of the outer layer is the inner layer more than the nitrogen content of In some embodiments, the oxygen content of the outer layer is between about 5% and about 15%, the oxygen content of the inner layer is between about 40% and about 60%, and the nitrogen content of the outer layer is between about 40% and between about 60%, and the nitrogen content of the inner layer is between about 10% and about 20%.

또 다른 예시적인 양태에서, 본 개시 내용은 반도체 디바이스의 제조 방법에 관한 것이다. 상기 방법은 기판 및 상기 기판 위의 스택을 포함하는 가공물을 수용하는 단계 - 상기 스택은 복수의 희생층에 의해 인터리빙된 복수의 채널층을 포함함 -와, 상기 스택 및 상기 기판을 패터닝하여 핀 형상 구조물을 형성하는 단계와, 상기 핀 형상 구조물의 소스/드레인 영역이 노출되어 있는 동안 상기 핀 형상 구조물의 채널 영역 위에 더미 게이트 스택을 형성하는 단계와, 상기 소스/드레인 영역을 리세싱하여 소스/드레인 트렌치를 형성하고 상기 복수의 채널층 및 상기 복수의 희생층의 측벽들을 노출시키는 단계와, 상기 복수의 희생층을 선택적으로 및 부분적으로 에칭하여 내부 스페이서 리세스를 형성하는 단계와, 상기 내부 스페이서 리세스 내에 제 1 내부 스페이서 재료층을 퇴적하는 단계와, 상기 제 1 내부 스페이서 재료층 위에 제 2 내부 스페이서 재료층을 퇴적하는 단계와, 상기 제 1 내부 스페이서 재료층 및 상기 제 2 내부 스페이서 재료층을 에치백하여 상기 내부 스페이서 리세스 내에 내부 스페이서 피처를 형성하는 단계 - 상기 내부 스페이서 피처의 각각은 상기 제 1 내부 스페이서 재료층으로부터 형성된 외부 층 및 상기 제 2 내부 스페이서 재료층으로부터 형성된 내부 층을 포함함 - 와, 상기 더미 게이트 스택을 제거하여 상기 채널 영역에서 상기 복수의 채널층 및 상기 복수의 희생층의 측벽들을 노출시키는 단계와, 상기 채널 영역에서 상기 복수의 채널층을 릴리스하기 위해 상기 복수의 희생층을 선택적으로 에칭하는 단계와, 상기 채널층의 각각의 주위를 랩핑하는 게이트 구조물을 형성하는 단계를 포함한다. 선택적으로 에칭하는 단계는 상기 외부 층을 에칭하는 단계를 포함하고, 상기 게이트 구조물은 상기 내부 층과 접촉한다.In another exemplary aspect, the present disclosure relates to a method of manufacturing a semiconductor device. The method includes receiving a workpiece comprising a substrate and a stack over the substrate, the stack comprising a plurality of channel layers interleaved by a plurality of sacrificial layers, and patterning the stack and the substrate to form a fin forming a structure; forming a dummy gate stack over a channel region of the fin-shaped structure while the source/drain region of the fin-shaped structure is exposed; and recessing the source/drain region to form a source/drain forming a trench and exposing the plurality of channel layers and sidewalls of the plurality of sacrificial layers; and selectively and partially etching the plurality of sacrificial layers to form inner spacer recesses; depositing a first layer of inner spacer material in the recess; depositing a second layer of inner spacer material over the first layer of inner spacer material; etch back to form inner spacer features within the inner spacer recess, each of the inner spacer features comprising an outer layer formed from the first inner spacer material layer and an inner layer formed from the second inner spacer material layer - removing the dummy gate stack to expose the plurality of channel layers and sidewalls of the plurality of sacrificial layers in the channel region, and the plurality of sacrificial layers to release the plurality of channel layers in the channel region selectively etching the layer and forming a gate structure that wraps around each of the channel layers. The selectively etching includes etching the outer layer, and the gate structure is in contact with the inner layer.

일부 실시예에서, 상기 제 1 내부 스페이서 재료층의 두께는 상기 제 2 내부 스페이서 재료층의 두께보다 작다. 일부 구현예에서, 상기 제 1 내부 스페이서 재료층을 퇴적하는 단계 및 상기 제 2 내부 스페이서 재료층을 퇴적하는 단계는 원자 층 퇴적(ALD)을 사용하는 단계를 포함하고, 상기 제 1 내부 스페이서 재료층 및 상기 제 2 내부 스페이서 재료층은 실리콘, 탄소, 산소, 및 질소를 포함한다. 일부 예에서, 상기 제 1 내부 스페이서 재료층의 산소 함유량은 약 5 %와 약 15 % 사이이고, 상기 제 2 내부 스페이서 재료층의 산소 함유량은 약 40 %와 약 60 % 사이이고, 상기 제 1 내부 스페이서 재료층의 질소 함유량은 약 40 %와 약 60 % 사이이고, 상기 제 2 내부 스페이서 재료층의 질소 함유량은 약 10 %와 약 20 % 사이이다.In some embodiments, a thickness of the first inner spacer material layer is less than a thickness of the second inner spacer material layer. In some embodiments, depositing the first inner spacer material layer and depositing the second inner spacer material layer comprises using atomic layer deposition (ALD), wherein the first inner spacer material layer and the second inner spacer material layer includes silicon, carbon, oxygen, and nitrogen. In some examples, the oxygen content of the first inner spacer material layer is between about 5% and about 15%, the oxygen content of the second inner spacer material layer is between about 40% and about 60%, and the first inner spacer material layer has an oxygen content of between about 40% and about 60%. The nitrogen content of the spacer material layer is between about 40% and about 60%, and the nitrogen content of the second inner spacer material layer is between about 10% and about 20%.

전술한 내용은 본 기술 분야의 통상의 기술자가 본 개시 내용의 양태들을 더 잘 이해할 수 있도록 몇몇 실시예의 피처들을 개략적으로 설명하고 있다. 본 기술 분야의 통상의 기술자는 본원에 도입된 실시예들과 동일한 목적을 수행하고 및/또는 동일한 효과를 달성하는 다른 공정들 및 구조물들을 디자인하거나 수정하기 위한 토대로서 본 개시 내용을 용이하게 사용할 수 있다는 것을 이해해야 한다. 본 기술 분야의 통상의 기술자는 또한 이러한 등가의 구성이 본 개시 내용의 사상 및 범위를 벗어나지 않으며, 본 개시 내용의 사상 및 범위를 벗어나지 않으면서 본원에서 다양한 변경, 대체, 및 변형을 행할 수 있다는 것을 인식해야 한다.The foregoing has outlined features of some embodiments so that those skilled in the art may better understand aspects of the present disclosure. A person skilled in the art can readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same effects as the embodiments introduced herein. You have to understand that there is Those skilled in the art will also appreciate that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that various changes, substitutions, and modifications can be made herein without departing from the spirit and scope of the present disclosure. have to recognize

<부 기><Note>

1. 반도체 디바이스로서,1. A semiconductor device comprising:

제 1 접속 부분, 제 2 접속 부분, 및 상기 제 1 접속 부분과 상기 제 2 접속 부분 사이에 배치된 채널 부분을 포함한 채널 부재; a channel member comprising a first connecting portion, a second connecting portion, and a channel portion disposed between the first connecting portion and the second connecting portion;

상기 제 1 접속 부분 위에 배치되어 상기 제 1 접속 부분과 접촉하는 제 1 내부 스페이서 피처; a first inner spacer feature disposed over and in contact with the first connecting portion;

상기 제 1 접속 부분 아래에 배치되어 상기 제 1 접속 부분과 접촉하는 제 2 내부 스페이서 피처; 및 a second inner spacer feature disposed below the first connecting portion and in contact with the first connecting portion; and

상기 채널 부재의 채널 부분 주위를 랩핑하는(wrapping) 게이트 구조물a gate structure wrapping around the channel portion of the channel member

을 포함하며, includes,

상기 채널 부재는, 상기 채널 부분과 상기 제 1 접속 부분 사이의 계면에 배치되고 상기 채널 부재의 상단(top) 표면 상에 있는 제 1 릿지를 더 포함하고, the channel member further comprising a first ridge disposed at an interface between the channel portion and the first connecting portion and on a top surface of the channel member;

상기 제 1 릿지는 상기 제 1 내부 스페이서 피처와 상기 게이트 구조물 사이에서 부분적으로 연장되는 것인 반도체 디바이스.and the first ridge extends partially between the first inner spacer feature and the gate structure.

2. 제1항에 있어서, 2. according to clause 1,

상기 채널 부재는, 상기 채널 부분과 상기 제 1 접속 부분 사이의 계면에 배치되고 상기 채널 부재의 바닥(bottom) 표면 상에 있는 제 2 릿지를 더 포함하며,the channel member further comprising a second ridge disposed at an interface between the channel portion and the first connecting portion and on a bottom surface of the channel member;

상기 제 2 릿지는 상기 제 2 내부 스페이서 피처와 상기 게이트 구조물 사이에서 부분적으로 연장되는 것인 반도체 디바이스.and the second ridge extends partially between the second inner spacer feature and the gate structure.

3. 제1항에 있어서, 3. according to clause 1,

상기 제 1 내부 스페이서 피처는 외부 층 및 내부 층을 포함하고,the first inner spacer feature comprises an outer layer and an inner layer;

상기 외부 층의 유전 상수(dielectric constant)는 상기 내부 층의 유전 상수보다 큰 것인 반도체 디바이스.and a dielectric constant of the outer layer is greater than a dielectric constant of the inner layer.

4. 제3항에 있어서, 4. according to item 3,

상기 내부 층은 상기 외부 층에 의해 상기 채널 부재로부터 이격되며,the inner layer is spaced from the channel member by the outer layer;

상기 내부 층은 상기 게이트 구조물과 접촉하는 것인 반도체 디바이스.and the inner layer is in contact with the gate structure.

5. 제3항에 있어서, 5. Item 3,

상기 외부 층의 밀도는 상기 내부 층의 밀도보다 높은 것인 반도체 디바이스.and a density of the outer layer is higher than a density of the inner layer.

6. 제3항에 있어서, 6. according to claim 3,

상기 외부 층은 실리콘 탄질화물 또는 실리콘 산탄질화물을 포함하고,the outer layer comprises silicon carbonitride or silicon oxycarbonitride;

상기 내부 층은 실리콘 산탄화물, 다공성 실리콘 산탄화물, 또는 불소 도핑된 실리콘 산화물을 포함하는 것인 반도체 디바이스.wherein the inner layer comprises silicon oxycarbide, porous silicon oxycarbide, or fluorine-doped silicon oxide.

7. 제3항에 있어서, 7. according to item 3,

상기 외부 층 및 내부 층은 실리콘, 탄소, 산소, 및 질소를 포함하고,wherein the outer and inner layers comprise silicon, carbon, oxygen, and nitrogen;

상기 외부 층의 산소 함유량은 상기 내부 층의 산소 함유량보다 작고,the oxygen content of the outer layer is less than the oxygen content of the inner layer,

상기 외부 층의 질소 함유량은 상기 내부 층의 질소 함유량보다 큰 것인 반도체 디바이스.wherein the nitrogen content of the outer layer is greater than the nitrogen content of the inner layer.

8. 제7항에 있어서, 8. Item 7,

상기 외부 층의 산소 함유량은 약 5 %와 약 15 % 사이이고,the oxygen content of the outer layer is between about 5% and about 15%;

상기 내부 층의 산소 함유량은 약 40 %와 약 60 % 사이이고,the oxygen content of the inner layer is between about 40% and about 60%;

상기 외부 층의 질소 함유량은 약 40 %와 약 60 % 사이이고,the nitrogen content of the outer layer is between about 40% and about 60%;

상기 내부 층의 질소 함유량은 약 10 %와 약 20 % 사이인 것인 반도체 디바이스.wherein the nitrogen content of the inner layer is between about 10% and about 20%.

9. 반도체 디바이스로서, 9. A semiconductor device comprising:

제 1 접속 부분, 제 2 접속 부분, 및 제 1 방향을 따라 상기 제 1 접속 부분과 상기 제 2 접속 부분 사이에 배치된 채널 부분을 포함한 채널 부재; a channel member including a first connecting portion, a second connecting portion, and a channel portion disposed between the first connecting portion and the second connecting portion along a first direction;

상기 제 1 접속 부분과 접촉하는 제 1 소스/드레인 피처; a first source/drain feature in contact with the first connecting portion;

상기 제 2 접속 부분과 접촉하는 제 2 소스/드레인 피처; a second source/drain feature in contact with the second connecting portion;

상기 제 1 방향에 수직인 제 2 방향을 따라 상기 제 1 접속 부분 위에 배치된 제 1 내부 스페이서 피처; a first inner spacer feature disposed over the first connecting portion along a second direction perpendicular to the first direction;

상기 제 2 방향을 따라 상기 제 1 접속 부분 아래에 배치된 제 2 내부 스페이서 피처; 및 a second inner spacer feature disposed below the first connecting portion along the second direction; and

상기 채널 부재의 채널 부분 주위를 랩핑하는 게이트 구조물a gate structure that wraps around the channel portion of the channel member

을 포함하며,includes,

상기 제 1 내부 스페이서 피처는 외부 층 및 내부 층을 포함하고,the first inner spacer feature comprises an outer layer and an inner layer;

상기 내부 층은 상기 외부 층에 의해 상기 채널 부재로부터 이격되며,the inner layer is spaced from the channel member by the outer layer;

상기 내부 층은 상기 게이트 구조물과 접촉하는 것인 반도체 디바이스.and the inner layer is in contact with the gate structure.

10. 제8항에 있어서, 10. Item 8,

상기 제 1 내부 스페이서 피처는 상기 제 1 방향을 따르는 제 1 치수, 및 상기 제 2 방향을 따르는 제 2 치수를 가지며,the first inner spacer feature has a first dimension along the first direction and a second dimension along the second direction;

상기 제 1 치수는 상기 제 2 치수보다 작은 것인 반도체 디바이스.and the first dimension is less than the second dimension.

11. 제9항에 있어서,11. Item 9,

상기 채널 부재는, 상기 채널 부분과 상기 제 1 접속 부분 사이의 계면에 배치되고 상기 채널 부재의 상단 표면 상에 있는 제 1 릿지를 더 포함하고,the channel member further comprising a first ridge disposed at an interface between the channel portion and the first connecting portion and on a top surface of the channel member;

상기 채널 부재는 상기 채널 부분과 상기 제 1 접속 부분 사이의 계면에 배치되고 상기 채널 부재의 바닥 표면 상에 있는 제 2 릿지를 더 포함하며,the channel member further comprising a second ridge disposed at an interface between the channel portion and the first connecting portion and on a bottom surface of the channel member;

상기 제 1 릿지는 상기 제 1 내부 스페이서 피처와 상기 게이트 구조물 사이에서 부분적으로 연장되며,the first ridge extends partially between the first inner spacer feature and the gate structure;

상기 제 2 릿지는 상기 제 2 내부 스페이서 피처와 상기 게이트 구조물 사이에서 부분적으로 연장되는 것인 반도체 디바이스.and the second ridge extends partially between the second inner spacer feature and the gate structure.

12. 제9항에 있어서, 12. Item 9,

상기 외부 층의 유전 상수는 상기 내부 층의 유전 상수보다 큰 것인 반도체 디바이스.wherein the dielectric constant of the outer layer is greater than the dielectric constant of the inner layer.

13. 제9항에 있어서, 13. Item 9,

상기 외부 층의 밀도는 상기 내부 층의 밀도보다 높은 것인 반도체 디바이스.and a density of the outer layer is higher than a density of the inner layer.

14. 제9항에 있어서, 14. Item 9,

상기 외부 층은 실리콘 탄질화물 또는 실리콘 산탄질화물을 포함하고,the outer layer comprises silicon carbonitride or silicon oxycarbonitride;

상기 내부 층은 실리콘 산탄화물, 다공성 실리콘 산탄화물, 또는 불소 도핑된 실리콘 산화물을 포함하는 것인 반도체 디바이스.wherein the inner layer comprises silicon oxycarbide, porous silicon oxycarbide, or fluorine-doped silicon oxide.

15. 제9항에 있어서, 15. Item 9,

상기 외부 층 및 내부 층은 실리콘, 탄소, 산소, 및 질소를 포함하고,wherein the outer and inner layers comprise silicon, carbon, oxygen, and nitrogen;

상기 외부 층의 산소 함유량은 상기 내부 층의 산소 함유량보다 작고,the oxygen content of the outer layer is less than the oxygen content of the inner layer,

상기 외부 층의 질소 함유량은 상기 내부 층의 질소 함유량보다 큰 것인 반도체 디바이스.wherein the nitrogen content of the outer layer is greater than the nitrogen content of the inner layer.

16. 제15항에 있어서, 16. Clause 15,

상기 외부 층의 산소 함유량은 약 5 %와 약 15 % 사이이고,the oxygen content of the outer layer is between about 5% and about 15%;

상기 내부 층의 산소 함유량은 약 40 %와 약 60 % 사이이고,the oxygen content of the inner layer is between about 40% and about 60%;

상기 외부 층의 질소 함유량은 약 40 %와 약 60 % 사이이고,the nitrogen content of the outer layer is between about 40% and about 60%;

상기 내부 층의 질소 함유량은 약 10 %와 약 20 % 사이인 것인 반도체 디바이스.wherein the nitrogen content of the inner layer is between about 10% and about 20%.

17. 방법으로서, 17. A method comprising:

기판 및 상기 기판 위의 스택을 포함하는 가공물(workpiece)을 수용하는 단계 - 상기 스택은 복수의 희생층에 의해 인터리빙된 복수의 채널층을 포함함 -; receiving a workpiece comprising a substrate and a stack over the substrate, the stack comprising a plurality of channel layers interleaved by a plurality of sacrificial layers;

핀 형상 구조물을 형성하도록 상기 스택 및 상기 기판을 패터닝하는 단계; patterning the stack and the substrate to form a fin-shaped structure;

상기 핀 형상 구조물의 소스/드레인 영역이 노출되어 있는 동안 상기 핀 형상 구조물의 채널 영역 위에 더미 게이트 스택을 형성하는 단계; forming a dummy gate stack over a channel region of the fin-shaped structure while the source/drain regions of the fin-shaped structure are exposed;

소스/드레인 트렌치를 형성하고 상기 복수의 채널층 및 상기 복수의 희생층의 측벽들을 노출시키도록 상기 소스/드레인 영역을 리세싱하는 단계; recessing the source/drain regions to form source/drain trenches and to expose sidewalls of the plurality of channel layers and the plurality of sacrificial layers;

내부 스페이서 리세스를 형성하도록 상기 복수의 희생층을 선택적으로 그리고 부분적으로 에칭하는 단계; selectively and partially etching the plurality of sacrificial layers to form inner spacer recesses;

상기 내부 스페이서 리세스 내에 제 1 내부 스페이서 재료층을 퇴적(deposit)하는 단계; depositing a first inner spacer material layer in the inner spacer recess;

상기 제 1 내부 스페이서 재료층 위에 제 2 내부 스페이서 재료층을 퇴적하는 단계; depositing a second layer of inner spacer material over the first layer of inner spacer material;

상기 내부 스페이서 리세스 내에 내부 스페이서 피처를 형성하도록 상기 제 1 내부 스페이서 재료층 및 상기 제 2 내부 스페이서 재료층을 에치백(etching back)하는 단계 - 상기 내부 스페이서 피처의 각각은 상기 제 1 내부 스페이서 재료층으로부터 형성된 외부 층 및 상기 제 2 내부 스페이서 재료층으로부터 형성된 내부 층을 포함함 -; etching back the first inner spacer material layer and the second inner spacer material layer to form inner spacer features in the inner spacer recesses, each of the inner spacer features comprising the first inner spacer material an outer layer formed from the layer and an inner layer formed from the second inner spacer material layer;

상기 채널 영역에서 상기 복수의 채널층 및 상기 복수의 희생층의 측벽들을 노출시키도록 상기 더미 게이트 스택을 제거하는 단계; removing the dummy gate stack to expose sidewalls of the plurality of channel layers and the plurality of sacrificial layers in the channel region;

상기 채널 영역에서 상기 복수의 채널층을 릴리스하기 위해 상기 복수의 희생층을 선택적으로 에칭하는 단계; 및selectively etching the plurality of sacrificial layers to release the plurality of channel layers in the channel region; and

상기 채널층의 각각의 주위를 랩핑하도록 게이트 구조물을 형성하는 단계forming a gate structure to wrap around each of the channel layers;

를 포함하며,includes,

상기 선택적으로 에칭하는 단계는 상기 외부 층을 에칭하는 단계를 포함하며, 상기 게이트 구조물은 상기 내부 층과 접촉하는 것인 방법.wherein the selectively etching comprises etching the outer layer, and wherein the gate structure is in contact with the inner layer.

18. 제17항에 있어서, 18. Item 17,

상기 제 1 내부 스페이서 재료층의 두께는 상기 제 2 내부 스페이서 재료층의 두께보다 작은 방법.and a thickness of the first inner spacer material layer is less than a thickness of the second inner spacer material layer.

19. 제17항에 있어서, 19. Item 17,

상기 제 1 내부 스페이서 재료층을 퇴적하는 단계 및 상기 제 2 내부 스페이서 재료층을 퇴적하는 단계는 원자 층 퇴적(ALD)의 사용을 포함하고,depositing the first inner spacer material layer and depositing the second inner spacer material layer comprises the use of atomic layer deposition (ALD);

상기 제 1 내부 스페이서 재료층 및 상기 제 2 내부 스페이서 재료층은 실리콘, 탄소, 산소, 및 질소를 포함하는 방법.wherein the first layer of inner spacer material and the second layer of inner spacer material include silicon, carbon, oxygen, and nitrogen.

20. 제19항에 있어서, 20. Item 19,

상기 제 1 내부 스페이서 재료층의 산소 함유량은 약 5 %와 약 15 % 사이이고, the oxygen content of the first inner spacer material layer is between about 5% and about 15%;

상기 제 2 내부 스페이서 재료층의 산소 함유량은 약 40 %와 약 60 % 사이이고, the oxygen content of the second inner spacer material layer is between about 40% and about 60%;

상기 제 1 내부 스페이서 재료층의 질소 함유량은 약 40 %와 약 60 % 사이이고, the nitrogen content of the first inner spacer material layer is between about 40% and about 60%;

상기 제 2 내부 스페이서 재료층의 질소 함유량은 약 10 %와 약 20 % 사이인 방법.wherein the nitrogen content of the second inner spacer material layer is between about 10% and about 20%.

Claims (10)

반도체 디바이스로서,
제 1 접속 부분, 제 2 접속 부분, 및 상기 제 1 접속 부분과 상기 제 2 접속 부분 사이에 배치된 채널 부분을 포함한 채널 부재;
상기 제 1 접속 부분 위에 배치되어 상기 제 1 접속 부분과 접촉하는 제 1 내부 스페이서 피처;
상기 제 1 접속 부분 아래에 배치되어 상기 제 1 접속 부분과 접촉하는 제 2 내부 스페이서 피처; 및
상기 채널 부재의 채널 부분 주위를 랩핑하는(wrapping) 게이트 구조물
을 포함하며,
상기 채널 부재는, 상기 채널 부분과 상기 제 1 접속 부분 사이의 계면에 배치되고 상기 채널 부재의 상단(top) 표면 상에 있는 제 1 릿지를 더 포함하고,
상기 제 1 릿지는 상기 제 1 내부 스페이서 피처와 상기 게이트 구조물 사이에서 부분적으로 연장되는 것인 반도체 디바이스.
A semiconductor device comprising:
a channel member comprising a first connecting portion, a second connecting portion, and a channel portion disposed between the first connecting portion and the second connecting portion;
a first inner spacer feature disposed over and in contact with the first connecting portion;
a second inner spacer feature disposed below the first connecting portion and in contact with the first connecting portion; and
a gate structure wrapping around the channel portion of the channel member
includes,
the channel member further comprising a first ridge disposed at an interface between the channel portion and the first connecting portion and on a top surface of the channel member;
and the first ridge extends partially between the first inner spacer feature and the gate structure.
제1항에 있어서,
상기 채널 부재는, 상기 채널 부분과 상기 제 1 접속 부분 사이의 계면에 배치되고 상기 채널 부재의 바닥(bottom) 표면 상에 있는 제 2 릿지를 더 포함하며,
상기 제 2 릿지는 상기 제 2 내부 스페이서 피처와 상기 게이트 구조물 사이에서 부분적으로 연장되는 것인 반도체 디바이스.
According to claim 1,
the channel member further comprising a second ridge disposed at an interface between the channel portion and the first connecting portion and on a bottom surface of the channel member;
and the second ridge extends partially between the second inner spacer feature and the gate structure.
제1항에 있어서,
상기 제 1 내부 스페이서 피처는 외부 층 및 내부 층을 포함하고,
상기 외부 층의 유전 상수(dielectric constant)는 상기 내부 층의 유전 상수보다 큰 것인 반도체 디바이스.
According to claim 1,
the first inner spacer feature comprises an outer layer and an inner layer;
and a dielectric constant of the outer layer is greater than a dielectric constant of the inner layer.
제3항에 있어서,
상기 내부 층은 상기 외부 층에 의해 상기 채널 부재로부터 이격되며,
상기 내부 층은 상기 게이트 구조물과 접촉하는 것인 반도체 디바이스.
4. The method of claim 3,
the inner layer is spaced from the channel member by the outer layer;
and the inner layer is in contact with the gate structure.
제3항에 있어서,
상기 외부 층의 밀도는 상기 내부 층의 밀도보다 높은 것인 반도체 디바이스.
4. The method of claim 3,
and a density of the outer layer is higher than a density of the inner layer.
제3항에 있어서,
상기 외부 층은 실리콘 탄질화물 또는 실리콘 산탄질화물을 포함하고,
상기 내부 층은 실리콘 산탄화물, 다공성 실리콘 산탄화물, 또는 불소 도핑된 실리콘 산화물을 포함하는 것인 반도체 디바이스.
4. The method of claim 3,
the outer layer comprises silicon carbonitride or silicon oxycarbonitride;
wherein the inner layer comprises silicon oxycarbide, porous silicon oxycarbide, or fluorine-doped silicon oxide.
제3항에 있어서,
상기 외부 층 및 내부 층은 실리콘, 탄소, 산소, 및 질소를 포함하고,
상기 외부 층의 산소 함유량은 상기 내부 층의 산소 함유량보다 작고,
상기 외부 층의 질소 함유량은 상기 내부 층의 질소 함유량보다 큰 것인 반도체 디바이스.
4. The method of claim 3,
wherein the outer and inner layers comprise silicon, carbon, oxygen, and nitrogen;
the oxygen content of the outer layer is less than the oxygen content of the inner layer,
wherein the nitrogen content of the outer layer is greater than the nitrogen content of the inner layer.
반도체 디바이스로서,
제 1 접속 부분, 제 2 접속 부분, 및 제 1 방향을 따라 상기 제 1 접속 부분과 상기 제 2 접속 부분 사이에 배치된 채널 부분을 포함한 채널 부재;
상기 제 1 접속 부분과 접촉하는 제 1 소스/드레인 피처;
상기 제 2 접속 부분과 접촉하는 제 2 소스/드레인 피처;
상기 제 1 방향에 수직인 제 2 방향을 따라 상기 제 1 접속 부분 위에 배치된 제 1 내부 스페이서 피처;
상기 제 2 방향을 따라 상기 제 1 접속 부분 아래에 배치된 제 2 내부 스페이서 피처; 및
상기 채널 부재의 채널 부분 주위를 랩핑하는 게이트 구조물
을 포함하며,
상기 제 1 내부 스페이서 피처는 외부 층 및 내부 층을 포함하고,
상기 내부 층은 상기 외부 층에 의해 상기 채널 부재로부터 이격되며,
상기 내부 층은 상기 게이트 구조물과 접촉하고,
상기 외부 층의 유전 상수는 상기 내부 층의 유전 상수보다 큰 것인 반도체 디바이스.
A semiconductor device comprising:
a channel member including a first connecting portion, a second connecting portion, and a channel portion disposed between the first connecting portion and the second connecting portion along a first direction;
a first source/drain feature in contact with the first connecting portion;
a second source/drain feature in contact with the second connecting portion;
a first inner spacer feature disposed over the first connecting portion along a second direction perpendicular to the first direction;
a second inner spacer feature disposed below the first connecting portion along the second direction; and
a gate structure that wraps around the channel portion of the channel member
includes,
the first inner spacer feature comprises an outer layer and an inner layer;
the inner layer is spaced from the channel member by the outer layer;
the inner layer is in contact with the gate structure;
wherein the dielectric constant of the outer layer is greater than the dielectric constant of the inner layer.
제8항에 있어서,
상기 제 1 내부 스페이서 피처는 상기 제 1 방향을 따르는 제 1 치수, 및 상기 제 2 방향을 따르는 제 2 치수를 가지며,
상기 제 1 치수는 상기 제 2 치수보다 작은 것인 반도체 디바이스.
9. The method of claim 8,
the first inner spacer feature has a first dimension along the first direction and a second dimension along the second direction;
and the first dimension is less than the second dimension.
방법으로서,
기판 및 상기 기판 위의 스택을 포함하는 가공물(workpiece)을 수용하는 단계 - 상기 스택은 복수의 희생층에 의해 인터리빙된 복수의 채널층을 포함함 -;
핀 형상 구조물을 형성하도록 상기 스택 및 상기 기판을 패터닝하는 단계;
상기 핀 형상 구조물의 소스/드레인 영역이 노출되어 있는 동안 상기 핀 형상 구조물의 채널 영역 위에 더미 게이트 스택을 형성하는 단계;
소스/드레인 트렌치를 형성하고 상기 복수의 채널층 및 상기 복수의 희생층의 측벽들을 노출시키도록 상기 소스/드레인 영역을 리세싱하는 단계;
내부 스페이서 리세스를 형성하도록 상기 복수의 희생층을 선택적으로 그리고 부분적으로 에칭하는 단계;
상기 내부 스페이서 리세스 내에 제 1 내부 스페이서 재료층을 퇴적(deposit)하는 단계;
상기 제 1 내부 스페이서 재료층 위에 제 2 내부 스페이서 재료층을 퇴적하는 단계;
상기 내부 스페이서 리세스 내에 내부 스페이서 피처를 형성하도록 상기 제 1 내부 스페이서 재료층 및 상기 제 2 내부 스페이서 재료층을 에치백(etching back)하는 단계 - 상기 내부 스페이서 피처의 각각은 상기 제 1 내부 스페이서 재료층으로부터 형성된 외부 층 및 상기 제 2 내부 스페이서 재료층으로부터 형성된 내부 층을 포함함 -;
상기 채널 영역에서 상기 복수의 채널층 및 상기 복수의 희생층의 측벽들을 노출시키도록 상기 더미 게이트 스택을 제거하는 단계;
상기 채널 영역에서 상기 복수의 채널층을 릴리스하고 상기 복수의 희생층에 대응하는 개구를 형성하기 위해, 상기 복수의 희생층을 선택적으로 에칭하는 단계 - 상기 개구는 상기 내부 스페이서 피처 및 상기 복수의 채널층에 의해 정의됨 - ; 및
상기 채널층의 각각의 주위를 랩핑하도록 게이트 구조물을 형성하는 단계
를 포함하며,
상기 선택적으로 에칭하는 단계는 각각의 상기 개구 상에 있는, 상기 내부 스페이서 피처 각각의 상기 외부 층의 일부 및 상기 복수의 채널층 각각의 일부를 에칭하는 단계를 포함하며, 상기 게이트 구조물은 상기 내부 층과 접촉하는 것인 방법.
As a method,
receiving a workpiece comprising a substrate and a stack over the substrate, the stack comprising a plurality of channel layers interleaved by a plurality of sacrificial layers;
patterning the stack and the substrate to form a fin-shaped structure;
forming a dummy gate stack over a channel region of the fin-shaped structure while the source/drain regions of the fin-shaped structure are exposed;
recessing the source/drain regions to form source/drain trenches and to expose sidewalls of the plurality of channel layers and the plurality of sacrificial layers;
selectively and partially etching the plurality of sacrificial layers to form inner spacer recesses;
depositing a first inner spacer material layer in the inner spacer recess;
depositing a second layer of inner spacer material over the first layer of inner spacer material;
etching back the first inner spacer material layer and the second inner spacer material layer to form inner spacer features in the inner spacer recesses, each of the inner spacer features comprising the first inner spacer material an outer layer formed from the layer and an inner layer formed from the second inner spacer material layer;
removing the dummy gate stack to expose sidewalls of the plurality of channel layers and the plurality of sacrificial layers in the channel region;
selectively etching said plurality of sacrificial layers to release said plurality of channel layers in said channel region and to form openings corresponding to said plurality of sacrificial layers, said openings comprising said interior spacer features and said plurality of channels; Defined by layer - ; and
forming a gate structure to wrap around each of the channel layers;
includes,
The selectively etching includes etching a portion of the outer layer of each of the inner spacer features and a portion of each of the plurality of channel layers over each of the openings, the gate structure comprising the inner layer How to contact with.
KR1020200138271A 2020-04-24 2020-10-23 Inner spacer features for multi-gate transistors KR102424642B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063015198P 2020-04-24 2020-04-24
US63/015,198 2020-04-24
US16/937,164 2020-07-23
US16/937,164 US11289584B2 (en) 2020-04-24 2020-07-23 Inner spacer features for multi-gate transistors

Publications (2)

Publication Number Publication Date
KR20210132570A KR20210132570A (en) 2021-11-04
KR102424642B1 true KR102424642B1 (en) 2022-07-25

Family

ID=78222809

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200138271A KR102424642B1 (en) 2020-04-24 2020-10-23 Inner spacer features for multi-gate transistors

Country Status (2)

Country Link
KR (1) KR102424642B1 (en)
DE (1) DE102020120477A1 (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200105929A1 (en) * 2018-09-29 2020-04-02 International Business Machines Corporation Gate-all-around field-effect transistor with asymmetric threshold voltage

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10714592B2 (en) 2017-10-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR102399071B1 (en) * 2017-11-17 2022-05-17 삼성전자주식회사 Semiconductor devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200105929A1 (en) * 2018-09-29 2020-04-02 International Business Machines Corporation Gate-all-around field-effect transistor with asymmetric threshold voltage

Also Published As

Publication number Publication date
KR20210132570A (en) 2021-11-04
DE102020120477A1 (en) 2021-10-28

Similar Documents

Publication Publication Date Title
US11682714B2 (en) Inner spacer features for multi-gate transistors
KR102435769B1 (en) Transistors having nanostructures
KR102458020B1 (en) Gate isolation structure
KR102545983B1 (en) Epitaxial features
US20220367482A1 (en) Source/Drain Feature Separation Structure
US20220352339A1 (en) Metal Source/Drain Features
US20240006513A1 (en) Multi-Gate Transistor Structure
KR102436688B1 (en) Multi-gate transistor structure
US11791402B2 (en) Semiconductor device having strained channels
TWI792267B (en) Semiconductor device and method for manufacturing the same
US11489078B2 (en) Lightly-doped channel extensions
CN114792723A (en) Semiconductor device and method for manufacturing the same
KR102424642B1 (en) Inner spacer features for multi-gate transistors
US11855081B2 (en) Method of forming epitaxial features
US20230018266A1 (en) Inner Spacer Features For Multi-Gate Transistors
US20230114789A1 (en) Source/drain features of multi-gate devices
US20240120377A1 (en) Transistor structure with gate isolation structures and method of fabricating thereof
US20230361176A1 (en) Source/drain leakage prevention
US20230066097A1 (en) Active region cut process
US20220384610A1 (en) Methods for Forming Multi-Gate Transistors
CN116682730A (en) Semiconductor structure and forming method thereof

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant