KR102424641B1 - Semiconductor package and method of forming the same - Google Patents

Semiconductor package and method of forming the same Download PDF

Info

Publication number
KR102424641B1
KR102424641B1 KR1020200070282A KR20200070282A KR102424641B1 KR 102424641 B1 KR102424641 B1 KR 102424641B1 KR 1020200070282 A KR1020200070282 A KR 1020200070282A KR 20200070282 A KR20200070282 A KR 20200070282A KR 102424641 B1 KR102424641 B1 KR 102424641B1
Authority
KR
South Korea
Prior art keywords
redistribution structure
die
component
forming
semiconductor package
Prior art date
Application number
KR1020200070282A
Other languages
Korean (ko)
Other versions
KR20210021257A (en
Inventor
포-야오 추앙
포-하오 차이
멩-리앙 린
이-웬 우
신-푸 젱
테키 웡
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/811,465 external-priority patent/US11322447B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210021257A publication Critical patent/KR20210021257A/en
Application granted granted Critical
Publication of KR102424641B1 publication Critical patent/KR102424641B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4885Wire-like parts or pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68372Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support a device or wafer when forming electrical connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02373Layout of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13022Disposition the bump connector being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13023Disposition the whole bump connector protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16238Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bonding area protruding from the surface of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/30Structure, shape, material or disposition of the layer connectors prior to the connecting process of a plurality of layer connectors
    • H01L2224/301Disposition
    • H01L2224/3018Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/30181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • H01L2224/331Disposition
    • H01L2224/3318Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/33181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/731Location prior to the connecting process
    • H01L2224/73101Location prior to the connecting process on the same surface
    • H01L2224/73103Bump and layer connectors
    • H01L2224/73104Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81191Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/81444Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/81447Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/81895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83191Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83986Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/145Organic substrates, e.g. plastic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • H01L23/49894Materials of the insulating layers or coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector

Abstract

제1 컴포넌트를 제2 컴포넌트에 부착함으로써 반도체 패키지가 제조된다. 상기 제1 컴포넌트는 기판 위에 제1 재배선 구조물을 형성함으로써 어셈블된다. 그 다음, 제1 재배선 구조물 위에 쓰루 비아가 형성되고, 상기 제1 재배선 구조물 활성 면 아래로 다이가 부착된다. 상기 제2 컴포넌트는 제2 재배선 구조물을 포함하며, 상기 제2 재배선 구조물은 그 다음 상기 쓰루 비아에 부착된다. 상기 제1 재배선 구조물과 상기 제2 재배선 구조물 사이에 그리고 상기 제2 컴포넌트의 측부 주변에 더 몰딩 컴파운드가 퇴적된다. A semiconductor package is fabricated by attaching a first component to a second component. The first component is assembled by forming a first redistribution structure over a substrate. Then, a through via is formed over the first redistribution structure, and a die is attached below the active surface of the first redistribution structure. The second component includes a second redistribution structure, which is then attached to the through via. A further molding compound is deposited between the first redistribution structure and the second redistribution structure and around a side of the second component.

Description

반도체 패키지 및 그 형성 방법 {SEMICONDUCTOR PACKAGE AND METHOD OF FORMING THE SAME}Semiconductor package and method for forming the same

우선권 주장 및 상호참조Priority Claims and Cross-References

본 출원은, 2019년 8월 16일 출원된 미국 가출원 번호 제62/888,277호의 우선권을 주장하며, 이는 참조에 의해 여기에 포함된다.This application claims priority to U.S. Provisional Application No. 62/888,277, filed on August 16, 2019, which is incorporated herein by reference.

반도체 산업은 다양한 전자 컴포넌트들(예컨대, 트랜지스터, 다이오드, 저항기, 커패시터 등)의 집적 밀도의 지속적인 개선으로 인해 급격한 성장을 겪어 왔다. 대부분의 부품에 대하여, 집적 밀도의 개선은 최소 피처 크기의 반복되는 감소로부터의 결과이며, 이는 더 많은 컴포넌트들이 주어진 영역 내에 집적될 수 있게 해준다. 전자 디바이스를 축소시키기 위한 요구가 높아짐에 따라, 반도체 다이의 보다 작고 더 생산적인 패키징 기술에 대한 필요성이 부상하였다. 이러한 패키징 시스템의 예로는 PoP(Package-on-Package) 기술이 있다. PoP 디바이스에서는, 상부 반도체 패키지가 하부 반도체 패키지의 상부 상에 적층되어 높은 수준의 집적 및 컴포넌트 밀도를 제공한다. PoP 기술은 일반적으로 인쇄 회로 기판(PCB; printed circuit board) 상의 작은 풋프린트 및 강화된 기능을 갖는 반도체 디바이스의 생산을 가능하게 한다.The semiconductor industry has experienced rapid growth due to continuous improvement in the integration density of various electronic components (eg, transistors, diodes, resistors, capacitors, etc.). For most parts, the improvement in integration density results from repeated reductions in the minimum feature size, which allows more components to be integrated within a given area. BACKGROUND OF THE INVENTION As the demand for shrinking electronic devices increases, the need for smaller and more productive packaging techniques for semiconductor dies has emerged. An example of such a packaging system is a Package-on-Package (PoP) technology. In PoP devices, a top semiconductor package is stacked on top of a bottom semiconductor package to provide a high level of integration and component density. PoP technology generally enables the production of semiconductor devices with small footprints and enhanced functionality on printed circuit boards (PCBs).

제1 컴포넌트를 제2 컴포넌트에 부착함으로써 반도체 패키지가 제조된다. 상기 제1 컴포넌트는 기판 위에 제1 재배선 구조물을 형성함으로써 어셈블된다. 그 다음, 제1 재배선 구조물 위에 쓰루 비아가 형성되고, 상기 제1 재배선 구조물 활성 면 아래로 다이가 부착된다. 상기 제2 컴포넌트는 제2 재배선 구조물을 포함하며, 상기 제2 재배선 구조물은 그 다음 상기 쓰루 비아에 부착된다. 상기 제1 재배선 구조물과 상기 제2 재배선 구조물 사이에 그리고 상기 제2 컴포넌트의 측부 주변에 더 몰딩 컴파운드가 퇴적된다. A semiconductor package is fabricated by attaching a first component to a second component. The first component is assembled by forming a first redistribution structure over a substrate. Then, a through via is formed over the first redistribution structure, and a die is attached below the active surface of the first redistribution structure. The second component includes a second redistribution structure, which is then attached to the through via. A further molding compound is deposited between the first redistribution structure and the second redistribution structure and around a side of the second component.

본 개시의 양상은 다음의 상세한 설명으로부터 첨부 도면과 함께 볼 때 가장 잘 이해된다. 산업계에서의 표준 실시에 따라 다양한 특징부들이 실축척대로 도시되지 않은 것을 유의하여야 한다. 사실상, 다양한 특징부들의 치수는 설명을 명확하게 하기 위해 임의로 증가되거나 감소되었을 수 있다.
도 1은 일부 실시예에 따른 집적 회로 다이의 단면도를 예시한다.
도 2a 내지 도 2g는 일부 실시예에 따라 패키지 컴포넌트를 형성하기 위한 프로세스 동안 중간 단계들의 단면도를 예시한다.
도 3a 내지 도 3h는 일부 실시예에 따라 패키지 컴포넌트를 형성하기 위한 프로세스 동안 중간 단계들의 단면도를 예시한다.
도 4a 내지 도 4h는 일부 실시예에 따라 패키지 컴포넌트를 형성하기 위한 프로세스 동안 중간 단계들의 단면도를 예시한다.
도 5a 내지 도 5h는 일부 실시예에 따라 패키지 컴포넌트를 형성하기 위한 프로세스 동안 중간 단계들의 단면도를 예시한다.
도 6a 내지 도 6h는 일부 실시예에 따라 패키지 컴포넌트를 형성하기 위한 프로세스 동안 중간 단계들의 단면도를 예시한다.
Aspects of the present disclosure are best understood from the following detailed description when taken in conjunction with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of various features may have been arbitrarily increased or decreased for clarity of description.
1 illustrates a cross-sectional view of an integrated circuit die in accordance with some embodiments.
2A-2G illustrate cross-sectional views of intermediate steps during a process for forming a package component in accordance with some embodiments.
3A-3H illustrate cross-sectional views of intermediate steps during a process for forming a package component in accordance with some embodiments.
4A-4H illustrate cross-sectional views of intermediate steps during a process for forming a package component in accordance with some embodiments.
5A-5H illustrate cross-sectional views of intermediate steps during a process for forming a package component in accordance with some embodiments.
6A-6H illustrate cross-sectional views of intermediate steps during a process for forming a package component in accordance with some embodiments.

다음의 개시는 본 발명의 상이한 특징들을 구현하기 위한 많은 다양한 실시예 또는 예를 제공한다. 컴포넌트 및 구성의 구체적 예가 본 개시를 단순화하도록 아래에 기재된다. 이들은 물론 단지 예일 뿐이며 한정하고자 하는 것이 아니다. 예를 들어, 이어지는 다음 기재에 있어서 제2 특징부 상에 또는 위에 제1 특징부를 형성하는 것은, 제1 및 제2 특징부가 직접 접촉하여 형성되는 실시예를 포함할 수 있고, 제1 및 제2 특징부가 직접 접촉하지 않도록 제1 특징부와 제2 특징부 사이에 추가의 특징부가 형성될 수 있는 실시예도 또한 포함할 수 있다. 또한, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이 반복은 단순하고 명확하게 하기 위한 목적인 것이며, 그 자체가 설명되는 다양한 실시예 및/또는 구성 간의 관계를 지시하는 것은 아니다.The following disclosure provides many different embodiments or examples for implementing different features of the invention. Specific examples of components and configurations are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, in the following description, forming a first feature on or over a second feature may include embodiments in which the first and second features are formed in direct contact, and the first and second features Embodiments in which additional features may be formed between the first and second features such that the features do not directly contact may also be included. In addition, this disclosure may repeat reference numbers and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity, and does not in itself indicate a relationship between the various embodiments and/or configurations being described.

또한, “밑에”, “아래에”, “하부”, “위에”, “상부” 등과 같은 공간적으로 상대적인 용어는 도면에 예시된 바와 같이 하나의 구성요소 또는 특징부의 또다른 구성요소(들) 또는 특징부(들)에 대한 관계를 기재하고자 설명을 쉽게 하기 위해 여기에서 사용될 수 있다. 공간적으로 상대적인 용어는 도면에 도시된 배향에 더하여 사용중이거나 동작중인 디바이스의 상이한 배향들을 망라하도록 의도된다. 장치는 달리 배향될 수 있고(90도 회전되거나 또는 다른 배향으로), 여기에서 사용된 공간적으로 상대적인 기술자는 마찬가지로 그에 따라 해석될 수 있다.Also, spatially relative terms such as “below”, “below”, “lower”, “above”, “above”, etc. refer to one component or another component(s) of a feature as illustrated in the drawings. May be used herein for ease of description to describe the relationship to feature(s). The spatially relative terminology is intended to encompass different orientations of the device in use or operation in addition to the orientation shown in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations), and spatially relative descriptors used herein may likewise be interpreted accordingly.

일부 실시예에 따르면, 하나 이상의 집적 회로 다이 및 다른 디바이스가 양면(dual-sided) 재배선 구조물에 부착되며 봉지재(encapsulant)에 매립되어 반도체 SiP(system-in-package) 구조물을 형성한다. 재배선 구조물 중의 하나는 팬아웃(fan-out) 설계를 가질 수 있으며, 다른 것은 캐리어-타입 기판에 형성될 수 있다. 집적 회로 다이의 배치 및 재배선 구조물의 레이아웃은 전체 패키지에서 다목적성(versatility)을 제공한다. 또한, 패키지 및 재배선 구조물의 설계 뿐만 아니라, 방법은, 더 큰 강도를 가지며 전체 패키지 휨(warpage)이 감소된 더 얇은 SiP 구조물을 용이하게 한다.In accordance with some embodiments, one or more integrated circuit dies and other devices are attached to a dual-sided redistribution structure and embedded in an encapsulant to form a semiconductor system-in-package (SiP) structure. One of the redistribution structures may have a fan-out design, and the other may be formed on a carrier-type substrate. The placement of the integrated circuit die and the layout of the redistribution structure provide versatility in the overall package. In addition, the method, as well as the design of the package and redistribution structures, facilitates thinner SiP structures with greater strength and reduced overall package warpage.

도 1은 일부 실시예에 따른 집적 회로 다이(50)의 단면도를 예시한다. 집적 회로 다이(50)는 집적 회로 패키지를 형성하도록 후속 프로세싱에서 패키징될 것이다. 집적 회로 다이(50)는, 로직 다이(예컨대, CPU(central processing unit), GPU(graphics processing unit), SoC(system-on-a-chip), AP(application processor), 마이크로컨트롤러 등), 메모리 다이(예컨대, DRAM(dynamic random access memory) 다이, SRAM(static random access memory) 다이 등), 전력 관리 다이(예컨대, PMIC(power management integrated circuit) 다이), 무선 주파수(RF; radio frequency) 다이, 센서 다이, MEMS(micro-electro-mechanical-system) 다이, 신호 프로세싱 다이(예컨대, DSP(digital signal processing) 다이), 프론트 엔드 다이(예컨대, AFE(analog front-end) 다이), 등, 또는 이들의 조합일 수 있다.1 illustrates a cross-sectional view of an integrated circuit die 50 in accordance with some embodiments. The integrated circuit die 50 will be packaged in subsequent processing to form an integrated circuit package. The integrated circuit die 50 includes a logic die (eg, a central processing unit (CPU), a graphics processing unit (GPU), a system-on-a-chip (SoC), an application processor (AP), a microcontroller, etc.), a memory die (eg, dynamic random access memory (DRAM) die, static random access memory (SRAM) die, etc.), power management die (eg, power management integrated circuit (PMIC) die), radio frequency (RF) die, sensor die, micro-electro-mechanical-system (MEMS) die, signal processing die (eg, digital signal processing (DSP) die), front end die (eg, analog front-end (AFE) die), etc., or these may be a combination of

집적 회로 다이(50)는, 복수의 집적 회로 다이들을 형성하도록 후속 단계에서 개별화되는(singulated) 상이한 디바이스 영역들을 포함할 수 있는 웨이퍼에 형성될 수 있다. 집적 회로 다이(50)는 집적 회로를 형성하도록 적용가능한 제조 프로세스에 따라 처리될 수 있다. 예를 들어, 집적 회로 다이(50)는, SOI(semiconductor-on-insulator) 기판의 실리콘, 도핑되거나 도핑되지 않은, 또는 활성 층과 같은 반도체 기판(52)을 포함한다. 반도체 기판(52)은, 게르마늄과 같은 다른 반도체 재료; 실리콘 카바이드, 갈륨 비소, 갈륨 인화물, 인듐 인화물, 인듐 비소화물, 및/또는 인듐 안티몬화물을 포함한 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP을 포함한 합금 반도체; 또는 이들의 조합을 포함할 수 있다. 다층 또는 구배 기판과 같은 다른 기판도 또한 사용될 수 있다. 반도체 기판(52)은, 종종 전면(front-side)라 불리는 활성 표면(예컨대, 도 1에서 위를 향하는 표면) 및 종종 배면이라 불리는 비활성 표면(예컨대, 도 1에서 아래를 향하는 표면)을 갖는다.The integrated circuit die 50 may be formed on a wafer, which may include different device regions that are singulated in a subsequent step to form a plurality of integrated circuit dies. The integrated circuit die 50 may be processed according to applicable manufacturing processes to form an integrated circuit. For example, the integrated circuit die 50 includes a semiconductor substrate 52 such as silicon, doped or undoped, or an active layer of a semiconductor-on-insulator (SOI) substrate. The semiconductor substrate 52 may include other semiconductor materials such as germanium; compound semiconductors including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; alloy semiconductors including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or a combination thereof. Other substrates may also be used, such as multilayer or gradient substrates. Semiconductor substrate 52 has an active surface, often referred to as a front-side (eg, facing up in FIG. 1 ), and an inactive surface, often referred to as, a back side (eg, facing down in FIG. 1 ).

디바이스(하나가 도 1에 도시되어 있음)(54)가 반도체 기판(52)의 전면 표면에 형성될 수 있다. 디바이스(54)는 능동 소자(예컨대, 트랜지스터, 다이오드 등), 커패시터, 저항기 등일 수 있다. 층간 유전체(ILD; inter-layer dielectric)(56)가 반도체 기판(52)의 전면 표면 위에 있다. ILD(56)는 디바이스(54)를 둘러싸고 이를 덮을 수 있다. ILD(56)는 PSG(Phospho-Silicate Glass), BSG(Boro-Silicate Glass), BPSG(Boron-Doped Phospho-Silicate Glass), USG(undoped Silicate Glass) 등과 같은 재료로 형성된 하나 이상의 유전체 층을 포함할 수 있다.A device 54 (one is shown in FIG. 1 ) may be formed on the front surface of the semiconductor substrate 52 . Device 54 may be an active element (eg, a transistor, diode, etc.), a capacitor, a resistor, or the like. An inter-layer dielectric (ILD) 56 is over the front surface of the semiconductor substrate 52 . ILD 56 may surround and cover device 54 . ILD 56 may include one or more dielectric layers formed of a material such as PSG (Phospho-Silicate Glass), BSG (Boro-Silicate Glass), BPSG (Boron-Doped Phospho-Silicate Glass), USG (undoped silicate glass), etc. can

전도성 플러그(58)가 디바이스(54)를 전기적으로 그리고 물리적으로 커플링하도록 ILD(56)를 통해 연장한다. 예를 들어, 디바이스(54)가 트랜지스터일 때, 전도성 플러그(58)는 트랜지스터의 게이트 및 소스/드레인 영역을 커플링할 수 있다. 전도성 플러그(58)는 텅스텐, 코발트, 니켈, 구리, 은, 금, 알루미늄, 등, 또는 이들의 조합으로 형성될 수 있다. 상호접속 구조물(60)이 ILD(56) 및 전도성 플러그(58) 위에 있다. 상호접속 구조물(60)은 집적 회로를 형성하도록 디바이스(54)를 상호접속시킨다. 상호접속 구조물(60)은, 예를 들어 ILD(56) 상의 유전체 층에에서의 금속화 패턴에 의해 형성될 수 있다. 금속화 패턴은 하나 이상의 로우-k 유전체 층에 형성된 금속 라인 및 비아를 포함한다. 상호접속 구조물(60)의 금속화 패턴은 전도성 플러그(58)에 의해 디바이스(54)에 전기적으로 커플링된다.A conductive plug 58 extends through the ILD 56 to electrically and physically couple the device 54 . For example, when device 54 is a transistor, conductive plug 58 may couple the gate and source/drain regions of the transistor. The conductive plug 58 may be formed of tungsten, cobalt, nickel, copper, silver, gold, aluminum, or the like, or a combination thereof. An interconnect structure 60 is over the ILD 56 and the conductive plug 58 . The interconnect structure 60 interconnects the devices 54 to form an integrated circuit. Interconnect structure 60 may be formed, for example, by a metallization pattern in a dielectric layer on ILD 56 . The metallization pattern includes metal lines and vias formed in one or more low-k dielectric layers. The metallization pattern of the interconnect structure 60 is electrically coupled to the device 54 by a conductive plug 58 .

집적 회로 다이(50)는 외부 접속이 이루어지는, 알루미늄 패드와 같은 패드(62)를 더 포함한다. 패드(62)는 집적 회로 다이(50)의 활성 면 상에, 예컨대 상호접속 구조물(60)에 및/또는 상에 있다. 하나 이상의 패시베이션 막(64)이 집적 회로 다이(50) 상에, 예컨대 상호접속 구조물(60) 및 패드(62)의 일부 상에 있다. 개구가 패시베이션 막(64)을 통해 패드(62)로 연장한다. 전도성 필라(예를 들어, 구리와 같은 금속으로 형성됨)와 같은 다이 커넥터(66)는 패시베이션 막(64)에서의 개구를 통해 연장하고, 패드(62)의 각자의 패드에 물리적으로 그리고 전기적으로 커플링된다. 일부 실시예에서, 다이 커넥터(66)는 UBM(under-bump metallization) 구조물을 포함한다. 도 1에서는 4개의 다이 커넥터(66)만 예시되어 있지만, 집적 회로 다이(50)의 후속 도면에 예시될 바와 같이 더 많은 수가 존재할 수 있다. 다이 커넥터(66)(예컨대, 구리 필라)는 예를 들어 도금 등에 의해 형성될 수 있다. 다이 커넥터(66)는 집적 회로 다이(50)의 각자의 집적 회로를 전기적으로 커플링한다.The integrated circuit die 50 further includes pads 62, such as aluminum pads, to which external connections are made. The pads 62 are on the active side of the integrated circuit die 50 , such as on and/or on the interconnect structure 60 . One or more passivation films 64 are on the integrated circuit die 50 , such as on portions of the interconnect structure 60 and pads 62 . An opening extends through the passivation film 64 to the pad 62 . A die connector 66 , such as a conductive pillar (eg, formed of a metal such as copper), extends through the opening in the passivation film 64 and is physically and electrically coupled to respective pads of the pads 62 . ring is In some embodiments, die connector 66 includes an under-bump metallization (UBM) structure. Although only four die connectors 66 are illustrated in FIG. 1 , there may be many more as will be illustrated in subsequent drawings of integrated circuit die 50 . The die connector 66 (eg, copper pillars) may be formed, for example, by plating or the like. Die connector 66 electrically couples respective integrated circuits of integrated circuit die 50 .

선택적으로, 솔더 영역(예컨대, 솔더 볼 또는 솔더 범프, 도시되지 않음)이 패드(62) 및/또는 다이 커넥터(66) 상에 배치될 수 있다. 솔더 영역은 집적 회로 다이(50)에 대해 칩 프로브(CP; chip probe) 테스팅을 수행하는데 사용될 수 있다. CP 테스팅은 집적 회로 다이(50)가 기지의 양호 다이(KGD; known good die)인지 여부를 확인하도록 집적 회로 다이(50)에 대해 수행될 수 있다. 따라서, KGD인 집적 회로 다이(50)만 후속 프로세싱을 겪으며 패키징되고, CP 테스팅에 실패한 집적 회로 다이(50)는 패키징되지 않는다. 테스팅 후에, 후속 프로세싱 단계에서 솔더 영역이 제거될 수 있다.Optionally, solder regions (eg, solder balls or solder bumps, not shown) may be disposed on pad 62 and/or die connector 66 . The solder region may be used to perform chip probe (CP) testing on the integrated circuit die 50 . CP testing may be performed on the integrated circuit die 50 to determine whether the integrated circuit die 50 is a known good die (KGD). Accordingly, only the integrated circuit die 50 that is KGD undergoes subsequent processing and is packaged, and the integrated circuit die 50 that fails CP testing is not packaged. After testing, the solder regions may be removed in subsequent processing steps.

유전체 층(68)이 집적 회로 다이(50)의 활성 면 상에, 예컨대 패시베이션 막(64) 및 다이 커넥터(66) 상에 있을 수 있다(또는 있지 않을 수 있음). 유전체 층(68)은 다이 커넥터(66)를 측방향으로(laterally) 봉지하고(encapsulate), 개별화(singulation) 후에 유전체 층(68)은 집적 회로 다이(50)와 측방향으로 동일하다(coterminous). 처음에, 유전체 층(68)은, 유전체 층(68)의 최상부(topmost) 표면이 다이 커넥터(66)의 최상부 표면 위에 있도록, 다이 커넥터(66)를 매립할 수 있다. 솔더 영역이 다이 커넥터(66) 상에 배치된 일부 실시예에서, 유전체 층(68)은 또한 솔더 영역도 매립할 수 있다. 대안으로서, 솔더 영역은 유전체 층(68)을 형성하기 전에 제거될 수 있다.Dielectric layer 68 may (or may not) be on the active side of integrated circuit die 50 , such as on passivation film 64 and die connector 66 . The dielectric layer 68 laterally encapsulates the die connector 66 , and after singulation the dielectric layer 68 is laterally coterminous with the integrated circuit die 50 . . Initially, the dielectric layer 68 may bury the die connector 66 such that the topmost surface of the dielectric layer 68 is above the top surface of the die connector 66 . In some embodiments where solder regions are disposed on die connector 66 , dielectric layer 68 may also fill solder regions as well. Alternatively, the solder regions may be removed prior to forming the dielectric layer 68 .

유전체 층(68)은, PBO, 폴리이미드, BCB 등과 같은 폴리머; 실리콘 질화물 등과 같은 질화물; 실리콘 산화물, PSG, BSG, BPSG 등과 같은 산화물; 기타 또는 이들의 조합일 수 있다. 유전체 층(68)은 예를 들어 스핀 코팅, 라미네이션, 화학적 기상 증착(CVD; chemical vapor deposition) 등에 의해 형성될 수 있다. 일부 실시예에서, 다이 커넥터(66)는 집적 회로 다이(50)의 형성 동안 유전체 층(68)을 통해 노출된다. 일부 실시예에서, 다이 커넥터(66)는 매립된 채 남으며 집적 회로 다이(50)를 패키징하기 위한 후속 프로세스 동안 노출된다. 다이 커넥터(66)를 노출시키는 것은, 다이 커넥터(66) 상에 존재할 수 있는 임의의 솔더 영역을 제거할 수 있다.The dielectric layer 68 may include a polymer such as PBO, polyimide, BCB, or the like; nitrides such as silicon nitride and the like; oxides such as silicon oxide, PSG, BSG, BPSG, and the like; Others or combinations thereof may be used. The dielectric layer 68 may be formed, for example, by spin coating, lamination, chemical vapor deposition (CVD), or the like. In some embodiments, die connector 66 is exposed through dielectric layer 68 during formation of integrated circuit die 50 . In some embodiments, the die connector 66 remains buried and is exposed during a subsequent process for packaging the integrated circuit die 50 . Exposing the die connector 66 may remove any solder regions that may be present on the die connector 66 .

프로세스의 일부 포인트에서, 집적 회로 다이(50)의 배면에 접착 층(70)이 적용될 수 있다. 일부 실시예에서, 접착 층은, 아래에 더 상세하게 설명되는 바와 같이 집적 회로 다이를 반도체 패키지 컴포넌트에 부착하기 전에 집적 회로 다이(50)의 배면 위에 형성된다. At some point in the process, an adhesive layer 70 may be applied to the backside of the integrated circuit die 50 . In some embodiments, an adhesive layer is formed over the backside of the integrated circuit die 50 prior to attaching the integrated circuit die to the semiconductor package component, as described in more detail below.

일부 실시예에서, 집적 회로 다이(50)는 복수의 반도체 기판(52C)을 포함하는 적층형 디바이스이다. 예를 들어, 집적 회로 다이(50)는, 복수의 메모리 다이를 포함하는, HMC(hybrid memory cube) 모듈, HBM(high bandwidth memory) 모듈 등과 같은 메모리 디바이스일 수 있다. 이러한 실시예에서, 집적 회로 다이(50)는 TSV(through-substrate via)에 의해 상호접속된 복수의 반도체 기판(52)을 포함한다. 반도체 기판(52)의 각각은 상호접속 구조물(60)을 가질 수 있다(또는 갖지 않을 수 있음).In some embodiments, the integrated circuit die 50 is a stacked device including a plurality of semiconductor substrates 52C. For example, the integrated circuit die 50 may be a memory device, such as a hybrid memory cube (HMC) module, a high bandwidth memory (HBM) module, or the like, including a plurality of memory dies. In this embodiment, the integrated circuit die 50 includes a plurality of semiconductor substrates 52 interconnected by through-substrate vias (TSVs). Each of the semiconductor substrates 52 may (or may not) have an interconnect structure 60 .

다음은 일부 실시예에 따라 집적 회로 다이(50)를 통합한 반도체 패키지의 형성에 대해 기재한다. 도 2a 내지 도 2g는 일부 실시예에 따라 제1 컴포넌트의 형성에 있어서의 다양한 중간 단계들에 대해 기재한다. 설명될 바와 같이, 제1 컴포넌트는 집적 회로 다이(50)가 부착되어 있는 팬아웃 재배선 구조물을 포함할 수 있다. 도 3a 내지 도 3h는 일부 실시예에 따라, 도 2a 내지 도 2g를 참조하여 기재된 제1 컴포넌트에 부착될 수 있는 제2 컴포넌트의 형성에 대해 기재한다. 설명될 바와 같이, 제2 컴포넌트는 기판-타입 재배선 구조물을 포함할 수 있다. 구체적으로 기재되지 않았지만, 제2 컴포넌트는 제1 컴포넌트의 경우와 유사한 팬아웃 재배선 구조물을 포함할 수 있다. 도 4a 내지 도 4h는 일부 실시예에 따라 제2 컴포넌트를 제1 컴포넌트에 부착시키고 반도체 패키지를 형성하기 위한 부가의 프로세싱에 대해 기재한다. The following describes the formation of a semiconductor package incorporating an integrated circuit die 50 in accordance with some embodiments. 2A-2G describe various intermediate steps in the formation of a first component in accordance with some embodiments. As will be described, the first component may include a fan-out redistribution structure to which the integrated circuit die 50 is attached. 3A-3H describe the formation of a second component that may be attached to a first component described with reference to FIGS. 2A-2G , in accordance with some embodiments. As will be described, the second component may include a substrate-type redistribution structure. Although not specifically described, the second component may include a fan-out redistribution structure similar to that of the first component. 4A-4H describe additional processing for attaching a second component to a first component and forming a semiconductor package in accordance with some embodiments.

먼저 도 2a를 참조하면, 제1 컴포넌트(100)의 형성에 있어서, 제1 캐리어 기판(102)이 제공되고, 제1 캐리어 기판(102) 상에 이형 층(104)이 형성된다. 제1 캐리어 기판(102)은 유리 캐리어 기판, 세라믹 캐리어 기판 등일 수 있다. 제1 캐리어 기판(102)은, 복수의 패키지가 동시에 제1 캐리어 기판(102) 상에 형성될 수 있으며 각각의 패키지가 하나 이상의 다이를 포함할 수 있도록, 웨이퍼일 수 있다. 이형 층(104)은, 나중의 단계에서 형성될 위의 구조물들로부터 제1 캐리어 기판(102)과 함께 제거될 수 있는, 폴리머계 재료로 형성될 수 있다. 일부 실시예에서, 이형 층(104)은 에폭시계 열-이형 재료이며, 이는 LTHC(light-to-heat-conversion) 이형 코팅과 같이, 가열되면 그의 접착 특성을 잃는다. 일부 실시예에서, 이형 층(104)은 UV(ultra-violet) 광에 노출되면 그의 접착 특성을 잃는 UV 글루일 수 있다. 이형 층(104)은 액체로서 디스펜싱되어 경화될 수 있거나, 제1 캐리어 기판(102) 위에 적층된 라미네이트 막일 수 있거나, 또는 기타일 수 있다.Referring first to FIG. 2A , in forming the first component 100 , a first carrier substrate 102 is provided, and a release layer 104 is formed on the first carrier substrate 102 . The first carrier substrate 102 may be a glass carrier substrate, a ceramic carrier substrate, or the like. The first carrier substrate 102 may be a wafer such that a plurality of packages may be simultaneously formed on the first carrier substrate 102 and each package may include one or more dies. The release layer 104 may be formed of a polymer-based material, which may be removed along with the first carrier substrate 102 from the structures above to be formed at a later stage. In some embodiments, the release layer 104 is an epoxy-based heat-release material, which loses its adhesive properties when heated, such as a light-to-heat-conversion (LTHC) release coating. In some embodiments, the release layer 104 may be a UV glue that loses its adhesive properties when exposed to ultra-violet (UV) light. The release layer 104 may be dispensed as a liquid and cured, may be a laminate film laminated onto the first carrier substrate 102 , or otherwise.

도 2b 내지 도 2e에서, 이형 층(104) 상에 제1면 재배선 구조물(106)이 형성될 수 있다. 도시된 실시예에서, 제1면 재배선 구조물(106)은 하나 이상의 유전체 층 및 금속화 패턴(종종 재배선 층 또는 재배선 라인으로 지칭됨)을 포함한다. 제1면 재배선 구조물(106)은 금속화 패턴의 3개 층을 갖는 것으로서 기재될 것이다. 더 많거나 더 적은 유전체 층 및 금속화 패턴이 제1면 재배선 구조물(106)에 형성될 수 있다. 더 적은 유전체 층 및 금속화 패턴이 형성될 경우, 아래에 설명되는 단계 및 프로세스는 생략될 수 있다. 더 많은 유전체 층 및 금속화 패턴이 형성될 경우, 아래에 설명되는 단계 및 프로세스는 반복될 수 있다.2B-2E , a first-side redistribution structure 106 may be formed on the release layer 104 . In the illustrated embodiment, the first side redistribution structure 106 includes one or more dielectric layers and a metallization pattern (sometimes referred to as a redistribution layer or redistribution line). The first side redistribution structure 106 will be described as having three layers of a metallization pattern. More or fewer dielectric layers and metallization patterns may be formed in the first side redistribution structure 106 . If fewer dielectric layers and metallization patterns are to be formed, the steps and processes described below may be omitted. As more dielectric layers and metallization patterns are formed, the steps and processes described below may be repeated.

이제 도 2b를 참조하면, 유전체 층(110)이 이형 층(104) 상에 형성된다. 유전체 층(110)의 하부 표면은 이형 층(104)의 상부 표면과 접촉해 있을 수 있다. 일부 실시예에서, 유전체 층(110)은, 리소그래피 마스크를 사용하여 패터닝될 수 있는, 폴리벤조옥사졸(PBO), 폴리이미드, 벤조시클로부텐(BCB) 등과 같은 감광 재료로 형성된다. 일부 실시예에서, 유전체 층(110)은 실리콘 질화물과 같은 질화물; 실리콘 산화물, PSG(phosphosilicate glass), BSG(borosilicate glass), BPSG(boron-doped phosphosilicate glass)와 같은 산화물, 등으로 형성된다. 유전체 층(110)은 스핀코팅, 라미네이션, CVD 등, 또는 이들의 조합에 의해 형성될 수 있다. 유전체 층(110)은 이형 층(104)의 일부를 노출시키는 개구를 형성하도록 패터닝된다. 패터닝은 수락가능한 프로세스에 의해, 예컨대 유전체 층(110)이 감광 재료일 때 유전체 층(110)을 광에 노출시키고 현상하며 경화시킴으로써 또는 예를 들어 이방성 에칭을 사용한 에칭에 의해, 이루어질 수 있다. Referring now to FIG. 2B , a dielectric layer 110 is formed over the release layer 104 . The lower surface of the dielectric layer 110 may be in contact with the upper surface of the release layer 104 . In some embodiments, dielectric layer 110 is formed of a photosensitive material, such as polybenzoxazole (PBO), polyimide, benzocyclobutene (BCB), or the like, which can be patterned using a lithographic mask. In some embodiments, dielectric layer 110 may include a nitride such as silicon nitride; It is formed of silicon oxide, an oxide such as phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), or the like. The dielectric layer 110 may be formed by spin coating, lamination, CVD, or the like, or a combination thereof. The dielectric layer 110 is patterned to form an opening that exposes a portion of the release layer 104 . Patterning may be accomplished by an acceptable process, such as by exposing, developing and curing dielectric layer 110 to light when dielectric layer 110 is a photosensitive material, or by etching using, for example, anisotropic etching.

그 다음, 금속화 패턴(112)이 유전체 층(110) 상에 형성된다. 금속화 패턴(112)은 유전체 층(110)의 주면 상에 이를 따라 연장하는 라인 부분(전도성 라인으로도 지칭됨)을 포함한다. 금속화 패턴(112)은, 제1면 재배선 구조물(106)을 나중 단계에서 형성될 외부 커넥터와 물리적으로 그리고 전기적으로 커플링하도록 유전체 층(110)을 통해 연장하는 비아 부분(전도성 비아로도 지칭됨)을 더 포함한다. 금속화 패턴(112)을 형성하기 위한 예로서, 시드 층이 유전체 층(110) 위에 그리고 유전체 층(110)을 통해 연장하는 개구에 형성된다. 일부 실시예에서, 시드 층은, 단일 층 또는 상이한 재료로 형성된 복수의 서브층들을 포함한 복합 층일 수 있는 금속 층이다. 일부 실시예에서, 시드 층은 티타늄 층 및 티타늄 층 위의 구리 층을 포함한다. 시드 층은 예를 들어 물리적 기상 증착(PVD; physical vapor deposition) 등을 사용하여 형성될 수 있다. 그 다음 시드 층 상에 포토레지스트가 형성되어 패터닝된다. 포토레지스트는 스핀 코팅 등에 의해 형성될 수 있고 패터닝을 위해 광에 노출될 수 있다. 포토레지스트의 패턴은 금속화 패턴(112)에 대응한다. 패터닝은 시드 층을 노출시키도록 포토레지스트를 통해 개구를 형성한다. 그 다음, 전도성 재료가 포토레지스트의 개구에 그리고 시드 층의 노출된 부분 상에 형성된다. 전도성 재료는 전기도금 또는 무전해 도금 등과 같은 도금에 의해 형성될 수 있다. 전도성 재료는 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 전도성 재료가 위에 형성되지 않은 시드 층의 부분 및 포토레지스트가 제거된다. 포토레지스트는 수락가능한 애싱 또는 스트립핑 프로세스에 의해, 예컨대 산소 플라즈마 등을 사용하여 제거될 수 있다. 포토레지스트가 제거되면, 시드 층의 노출된 부분은, 예컨대 습식 또는 건식 에칭에 의한 것과 같은 수락가능한 에칭 프로세스를 사용함으로써 제거된다. 전도성 재료의 남은 부분 및 시드 층의 아래의 부분이 금속화 패턴(112)을 형성한다.A metallization pattern 112 is then formed on the dielectric layer 110 . The metallization pattern 112 includes on a major surface of the dielectric layer 110 a portion of a line (also referred to as a conductive line) extending along it. The metallization pattern 112 is formed with a via portion (also referred to as a conductive via) extending through the dielectric layer 110 to physically and electrically couple the first side redistribution structure 106 with an external connector to be formed at a later stage. referred to). As an example for forming the metallization pattern 112 , a seed layer is formed over the dielectric layer 110 and in an opening extending through the dielectric layer 110 . In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer comprising a plurality of sublayers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, physical vapor deposition (PVD) or the like. A photoresist is then formed on the seed layer and patterned. The photoresist may be formed by spin coating or the like and exposed to light for patterning. The pattern of photoresist corresponds to the metallization pattern 112 . The patterning forms openings through the photoresist to expose the seed layer. A conductive material is then formed in the openings of the photoresist and on the exposed portions of the seed layer. The conductive material may be formed by plating such as electroplating or electroless plating. The conductive material may include a metal such as copper, titanium, tungsten, aluminum, or the like. The portion of the seed layer over which no conductive material has been formed and the photoresist are removed. The photoresist may be removed by an acceptable ashing or stripping process, such as using an oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer are removed by using an acceptable etching process, such as by wet or dry etching, for example. The remaining portion of the conductive material and the portion below the seed layer form the metallization pattern 112 .

도 2c에서, 유전체 층(114)이 금속화 패턴(112) 및 유전체 층(110) 상에 퇴적된다. 유전체 층(114)은 유전체 층(110)과 유사한 방식으로 형성 및 패터닝될 수 있다. In FIG. 2C , a dielectric layer 114 is deposited over the metallization pattern 112 and the dielectric layer 110 . Dielectric layer 114 may be formed and patterned in a manner similar to dielectric layer 110 .

그 다음, 금속화 패턴(116)이 형성된다. 금속화 패턴(116)은 유전체 층(114)의 주면 상에 이를 따라 연장하는 라인 부분을 포함한다. 금속화 패턴(116)은 금속화 패턴(112)을 물리적으로 그리고 전기적으로 커플링하도록 유전체 층(114)을 통해 연장하는 비아 부분을 더 포함한다. 금속화 패턴(116)은 금속화 패턴(112)과 유사한 방식으로 그리고 유사한 재료로 형성될 수 있다. 일부 실시예에서, 금속화 패턴(116)은 금속화 패턴(112)과 상이한 크기를 갖는다. 예를 들어, 금속화 패턴(112)의 전도성 라인 및/또는 비아는 금속화 패턴(116)의 전도성 라인 및/또는 비아보다 더 넓거나 더 두꺼울 수 있다. 또한, 금속화 패턴(112)은 금속화 패턴(116)보다 더 큰 피치로 형성될 수 있다.Then, a metallization pattern 116 is formed. The metallization pattern 116 includes a portion of the line extending along the major surface of the dielectric layer 114 . The metallization pattern 116 further includes a via portion extending through the dielectric layer 114 to physically and electrically couple the metallization pattern 112 . The metallization pattern 116 may be formed in a similar manner and of a similar material to the metallization pattern 112 . In some embodiments, the metallization pattern 116 has a different size than the metallization pattern 112 . For example, the conductive lines and/or vias of the metallization pattern 112 may be wider or thicker than the conductive lines and/or vias of the metallization pattern 116 . Also, the metallization pattern 112 may be formed with a larger pitch than the metallization pattern 116 .

도 2d에서, 유전체 층(118)이 금속화 패턴(116) 및 유전체 층(114) 상에 퇴적된다. 유전체 층(118)은 유전체 층(110 및/또는 114)과 유사한 방식으로 형성 및 패터닝될 수 있다.In FIG. 2D , a dielectric layer 118 is deposited over the metallization pattern 116 and the dielectric layer 114 . Dielectric layer 118 may be formed and patterned in a manner similar to dielectric layers 110 and/or 114 .

그 다음, 금속화 패턴(120)이 형성된다. 금속화 패턴(120)은 유전체 층(118)의 주면 상에 이를 따라 연장하는 라인 부분을 포함한다. 금속화 패턴(120)은 금속화 패턴(116)을 물리적으로 그리고 전기적으로 커플링하도록 유전체 층(118)을 통해 연장하는 비아 부분을 더 포함한다. 금속화 패턴(120)은 금속화 패턴(112 및/또는 116)과 유사한 방식으로 그리고 유사한 재료로 형성될 수 있다.Then, a metallization pattern 120 is formed. The metallization pattern 120 includes a portion of the line extending along the major surface of the dielectric layer 118 . The metallization pattern 120 further includes a via portion extending through the dielectric layer 118 to physically and electrically couple the metallization pattern 116 . The metallization pattern 120 may be formed in a similar manner and of a similar material to the metallization patterns 112 and/or 116 .

도 2e에서, 유전체 층(122)이 금속화 패턴(120) 및 유전체 층(118) 상에 퇴적된다. 유전체 층(122)은 개구(124)를 형성하도록 유전체 층(110)과 유사한 방식으로 형성 및 패터닝될 수 있다.In FIG. 2E , a dielectric layer 122 is deposited over the metallization pattern 120 and the dielectric layer 118 . Dielectric layer 122 may be formed and patterned in a similar manner to dielectric layer 110 to form openings 124 .

유전체 층(110) 및 금속화 패턴(112)은 각각 제1면 재배선 구조물(106)의 최하부(bottommost) 유전체 층 및 금속화 패턴이다. 그리하여, 제1면 재배선 구조물(106)의 중간 유전체 층 및 금속화 패턴 전부(예컨대, 유전체 층(114, 118, 및 122) 및 금속화 패턴(116 및 120))는, 제1면 재배선 구조물(106) 위에 나중에 형성되거나 부착될 컴포넌트와, 유전체 층(110)/금속화 패턴(112) 사이에 배치된다. 일부 실시예에서, 금속화 패턴(112)은 금속화 패턴(116 및 120)과 상이한 크기를 갖는다. 예를 들어, 금속화 패턴(112)의 전도성 라인은 약 0.5 ㎛ 내지 약 15 ㎛, 또는 약 5 ㎛의 두께를 가질 수 있고, 금속화 패턴(116 및 120)의 전도성 라인은 약 0.5 ㎛ 내지 약 15 ㎛, 또는 약 5 ㎛의 두께를 가질 수 있다. 금속화 패턴(120)의 두께에 대한, 금속화 패턴(112)의 두께의 비는, 약 0.3 내지 약 3, 또는 약 1일 수 있다. 또한, 금속화 패턴(112)은 금속화 패턴(116 및 120)보다 더 큰 피치로 형성될 수 있다. 예를 들어, 금속화 패턴(112)의 전도성 라인은 약 1 ㎛ 내지 약 100 ㎛, 또는 약 10 ㎛의 피치를 가질 수 있고, 금속화 패턴(116 및 120)의 전도성 라인은 약 1 ㎛ 내지 약 100 ㎛, 또는 약 10 ㎛의 피치를 가질 수 있다. 금속화 패턴(120)의 피치에 대한, 금속화 패턴(112)의 피치의 비는, 약 0.1 내지 약 10, 또는 약 1일 수 있다. 제1면 재배선 구조물(106)은 임의의 수의 유전체 층 및 금속화 패턴을 포함할 수 있다는 것을 알아야 한다. 더 많은 유전체 층 및 금속화 패턴이 형성될 경우, 위에 설명된 단계 및 프로세스는 반복될 수 있다.The dielectric layer 110 and the metallization pattern 112 are the bottommost dielectric layer and the metallization pattern of the first side redistribution structure 106 , respectively. Thus, all of the intermediate dielectric layers and metallization patterns (eg, dielectric layers 114 , 118 , and 122 and metallization patterns 116 and 120 ) of the first side redistribution structure 106 include the first side redistribution patterns. It is disposed between the dielectric layer 110/metallization pattern 112 and a component to be formed or attached later on the structure 106 . In some embodiments, the metallization pattern 112 has a different size than the metallization patterns 116 and 120 . For example, the conductive lines of the metallization pattern 112 may have a thickness of from about 0.5 μm to about 15 μm, or about 5 μm, and the conductive lines of the metallization patterns 116 and 120 may be from about 0.5 μm to about 0.5 μm. It may have a thickness of 15 μm, or about 5 μm. A ratio of the thickness of the metallization pattern 112 to the thickness of the metallization pattern 120 may be about 0.3 to about 3, or about 1. In addition, the metallization pattern 112 may be formed with a larger pitch than the metallization patterns 116 and 120 . For example, the conductive lines of the metallization pattern 112 may have a pitch of from about 1 μm to about 100 μm, or about 10 μm, and the conductive lines of the metallization patterns 116 and 120 may be from about 1 μm to about 1 μm. It may have a pitch of 100 μm, or about 10 μm. The ratio of the pitch of the metallization pattern 112 to the pitch of the metallization pattern 120 may be about 0.1 to about 10, or about 1. It should be appreciated that the first side redistribution structure 106 may include any number of dielectric layers and metallization patterns. As more dielectric layers and metallization patterns are formed, the steps and processes described above may be repeated.

도 2f에서, 쓰루 비아(126)가 개구(124) 중의 일부에 형성되며, 제1면 재배선 구조물(106)의 최상부 유전체 층(예컨대, 유전체 층(122))으로부터 멀어지는 방향으로 연장한다. 쓰루 비아(126)를 형성하기 위한 예로서, 시드 층(도시되지 않음)이 제1면 재배선 구조물(106) 위에, 예컨대 유전체 층(122) 및 개구에 의해 노출된 금속화 패턴(120)의 부분 상에 형성된다. 일부 실시예에서, 시드 층은, 단일 층 또는 상이한 재료로 형성된 복수의 서브층들을 포함한 복합 층일 수 있는 금속 층이다. 일부 실시예에서, 시드 층은 티타늄 층 및 티타늄 층 위의 구리 층을 포함한다. 일부 실시예에서, 그 시드 층은 구리, 티타늄, 니켈, 금, 팔라듐 등, 또는 이들의 조합으로 제조된다. 시드 층은 예를 들어 PVD 등을 사용하여 형성될 수 있다. 포토레지스트(도시되지 않음)와 같은 마스크가 시드 층 상에 형성되어 패터닝된다. 포토레지스트는 스핀 코팅 등에 의해 형성될 수 있고, 패터닝을 위해 광에 노출될 수 있다. 포토레지스트의 패턴은 쓰루 비아(126)에 대응하고 시드 층을 노출시킨다. 전도성 재료가 포토레지스트의 개구에 그리고 시드 층의 노출된 부분 상에 형성된다. 전도성 재료는 전기-화학 도금 프로세스 또는 무전해 도금과 같은 도금, CVD, 원자층 퇴적(ALD; atomic layer deposition), PVD 등, 또는 이들의 조합에 의해 형성될 수 있다. 전도성 재료는 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 포토레지스트는 제거될 수 있다. In FIG. 2F , a through via 126 is formed in a portion of the opening 124 and extends away from a top dielectric layer (eg, dielectric layer 122 ) of the first side redistribution structure 106 . As an example for forming the through via 126 , a seed layer (not shown) is applied over the first side redistribution structure 106 , such as of the dielectric layer 122 and the metallization pattern 120 exposed by the openings. formed on the part. In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer comprising a plurality of sublayers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. In some embodiments, the seed layer is made of copper, titanium, nickel, gold, palladium, etc., or a combination thereof. The seed layer may be formed using, for example, PVD or the like. A mask, such as photoresist (not shown), is formed on the seed layer and patterned. The photoresist may be formed by spin coating or the like, and may be exposed to light for patterning. The pattern of photoresist corresponds to through via 126 and exposes the seed layer. A conductive material is formed in the opening of the photoresist and on the exposed portion of the seed layer. The conductive material may be formed by an electro-chemical plating process or plating such as electroless plating, CVD, atomic layer deposition (ALD), PVD, or the like, or a combination thereof. The conductive material may include a metal such as copper, titanium, tungsten, aluminum, or the like. The photoresist may be removed.

계속해서 도 2f를 참조하면, 본드 패드(128)가 개구(124) 중의 일부에 그리고 유전체 층(122)으로부터 멀어지는 방향으로 연장하며 형성된다. 본드 패드(128)는 쓰루 비아(126)와 유사한 방식으로 형성될 수 있고, 쓰루 비아(126)와 동일한 재료로 형성될 수 있다. 또한, 본드 패드(128)는 쓰루 비아(126) 전에, 후에 또는 동시에 형성될 수 있다. With continued reference to FIG. 2F , a bond pad 128 is formed in a portion of the opening 124 and extending away from the dielectric layer 122 . The bond pad 128 may be formed in a similar manner to the through via 126 , and may be formed of the same material as the through via 126 . Further, the bond pad 128 may be formed before, after, or concurrently with the through via 126 .

본드 패드(128)를 위해 그리고 쓰루 비아(126)를 위해 사용된 포토레지스트, 그리고 본드 패드(128) 및 쓰루 비아(126)가 위에 형성되지 않은 시드 층의 부분이 제거된다. 포토레지스트는 수락가능한 애싱 또는 스트립핑 프로세스에 의해, 예컨대 산소 플라즈마 등을 사용하여 제거될 수 있다. 포토레지스트가 제거되면, 시드 층의 노출된 부분은, 예컨대 습식 또는 건식 에칭에 의한 것과 같은 수락가능한 에칭 프로세스를 사용함으로써, 제거된다. 시드 층의 남은 부분 및 전도성 재료는 본드 패드(128) 및 쓰루 비아(126)를 형성한다. The photoresist used for the bond pads 128 and for the through vias 126 and the portion of the seed layer over which the bond pads 128 and through vias 126 are not formed are removed. The photoresist may be removed by an acceptable ashing or stripping process, such as using an oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer are removed, for example, by using an acceptable etching process, such as by wet or dry etching. The remainder of the seed layer and conductive material form bond pads 128 and through vias 126 .

아래에 설명되는 바와 같이, 집적 회로 다이(예컨대, 도 1을 참조하여 상기에 설명된 집적 회로 다이(50))가 본드 패드(128)에 부착될 수 있다. 일부 실시예에서, 본드 패드(128)는, 예를 들어 티타늄 층, 구리 층, 및 니켈 층과 같은 3개의 전도성 재료 층을 포함할 수 있는 UBM이다. 크롬/크롬-구리 합금/구리/금의 배열, 티타늄/티타늄 텅스텐/구리의 배열, 또는 구리/니켈/금의 배열과 같은 다른 재료 및 층 배열이 본드 패드(128)의 형성에 이용될 수 있다. 본드 패드(128)에 사용될 수 있는 임의의 적합한 재료 또는 재료층은 완전히 본 출원의 범위 내에 포함되는 것으로 의도된다.As described below, an integrated circuit die (eg, the integrated circuit die 50 described above with reference to FIG. 1 ) may be attached to the bond pads 128 . In some embodiments, bond pad 128 is a UBM, which may include three layers of conductive material, such as, for example, a titanium layer, a copper layer, and a nickel layer. Other material and layer arrangements may be used to form bond pads 128 , such as a chromium/chromium-copper alloy/copper/gold arrangement, a titanium/titanium tungsten/copper arrangement, or a copper/nickel/gold arrangement. . Any suitable material or material layer that may be used for bond pad 128 is fully intended to be included within the scope of this application.

도 2g에서, 제1면 재배선 구조물(106)과 전기적 접속을 이루도록 제1 집적 회로 다이(50)와 같은 하나 이상의 반도체 디바이스가 본드 패드(128)에 부착된다. 예를 들어, 제1 집적 회로 다이(50)는, 다이 커넥터(66) 위에 솔더 조인트(130)를 형성하고(전도성 필라이든 UBM이든), 다이 커넥터(66)를 본드 패드(128)에 가압하며, 솔더 조인트(130)를 리플로우하여 제1 집적 회로 다이(50)를 제1면 재배선 구조물(106)에 부착시킴으로써, 부착될 수 있다. 일부 실시예에서, 제1 집적 회로 다이(50)는 직접 금속-금속 본딩 또는 하이브리드 본딩을 사용하여 부착될 수 있다. 도 2g는 쓰루 비아(126)보다 더 큰 높이를 갖는 것으로서 집적 회로 다이(50)를 도시한다. 그러나, 쓰루 비아(126)가 집적 회로 다이(50)와 대략 동일한 높이 또는 더 큰 높이를 가질 수 있다는 것을 알 것이다. 예를 들어, 쓰루 비아(126)는 약 10 ㎛ 내지 약 200 ㎛의 높이(HTV)를 가질 수 있고, 집적 회로 다이(50)는 약 30 ㎛ 내지 약 250 ㎛의 높이(HIC1)를 가질 수 있다. 높이(HIC1)에 대한 높이(HTV)의 비는 약 0.04 내지 8일 수 있다. In FIG. 2G , one or more semiconductor devices, such as first integrated circuit die 50 , are attached to bond pads 128 to make electrical connection with first side redistribution structure 106 . For example, the first integrated circuit die 50 forms a solder joint 130 over the die connector 66 (whether a conductive pillar or a UBM), presses the die connector 66 to the bond pad 128 and , by reflowing the solder joint 130 to attach the first integrated circuit die 50 to the first side redistribution structure 106 . In some embodiments, the first integrated circuit die 50 may be attached using direct metal-to-metal bonding or hybrid bonding. 2G shows the integrated circuit die 50 as having a greater height than the through via 126 . However, it will be appreciated that the through via 126 may have a height approximately equal to or greater than the integrated circuit die 50 . For example, the through via 126 may have a height H TV of about 10 μm to about 200 μm, and the integrated circuit die 50 may have a height H IC1 of about 30 μm to about 250 μm. can The ratio of the height H TV to the height H IC1 may be about 0.04 to 8.

제1면 재배선 구조물(106)은 집적 회로 다이(50)에 관련하여 팬아웃 재배선 구조물일 수 있다는 것을 알아야 한다. 그리하여, 금속화 패턴(예컨대, 금속화 패턴(112, 116, 및 120))은 집적 회로 다이(50)보다 측방 방향으로 더 연장할 수 있다. 팬아웃 설계는 더 얇은 재배선 구조물을 허용하면서 더 많은 수의 외부 커넥터를 수용할 수도 있으며, 이는 따라서 측방 방향으로 집적 회로 다이(50)보다 더 연장할 수도 있다. 제1면 재배선 구조물(106)은 두께(T1)로 형성되며, 두께(T1)는 약 20 ㎛ 내지 약 100 ㎛일 수 있다. It should be noted that the first side redistribution structure 106 may be a fan-out redistribution structure with respect to the integrated circuit die 50 . As such, the metallization patterns (eg, metallization patterns 112 , 116 , and 120 ) may extend laterally more than the integrated circuit die 50 . The fan-out design may accommodate a greater number of external connectors while allowing thinner redistribution structures, which may thus extend laterally more than the integrated circuit die 50 . The first surface redistribution structure 106 is formed to have a thickness T 1 , and the thickness T 1 may be about 20 μm to about 100 μm.

언더필 재료(132)가 제1 집적 회로 다이(50)와 제1면 재배선 구조물(106) 사이에 디스펜싱될 수 있다. 언더필 재료(132)는 솔더 조인트(130) 및 본드 패드(128)를 둘러싼다. 언더필 재료(132)는 폴리머, 에폭시, 몰딩 언더필 등과 같은 임의의 수락가능한 재료일 수 있다. 언더필 재료(132)는 니들 또는 젯팅 디스펜서를 사용하여, 모세관류 프로세스를 사용하여, 또는 또다른 적합한 프로세스를 사용하여 디스펜싱될 수 있다. 일부 실시예에서, 언더필 재료(132)를 경화시키도록 경화 프로세스가 수행될 수 있다. 도 2g에서는 명시적으로 도시되지 않았지만, 언더필 재료(130)는 제1 집적 회로 다이(50)의 측벽을 따라 연장할 수 있다. An underfill material 132 may be dispensed between the first integrated circuit die 50 and the first side redistribution structure 106 . Underfill material 132 surrounds solder joint 130 and bond pad 128 . Underfill material 132 may be any acceptable material, such as a polymer, epoxy, molding underfill, or the like. The underfill material 132 may be dispensed using a needle or jetting dispenser, using a capillary flow process, or using another suitable process. In some embodiments, a curing process may be performed to cure the underfill material 132 . Although not explicitly shown in FIG. 2G , underfill material 130 may extend along sidewalls of first integrated circuit die 50 .

도 2g는 설명을 위한 목적으로 본드 패드(128)에 부착된 단일 집적 회로 다이(50)를 예시한다. 일부 실시예에서, 둘 이상의 집적 회로 다이(50)(각각이 동일하거나 상이한 기능을 가짐)가 본드 패드(128)에 부착될 수 있다. 2G illustrates a single integrated circuit die 50 attached to bond pads 128 for illustrative purposes. In some embodiments, two or more integrated circuit dies 50 (each having the same or different functions) may be attached to bond pads 128 .

도 3a 내지 도 3h는 일부 실시예에 따라 제2 컴포넌트(200)를 형성하기 위한 프로세스 동안 중간 단계들의 단면도를 예시한다. 상기에 설명된 바와 같이, 제2 컴포넌트(200)는 도 2a 내지 도 2g에 관련하여 상기에 설명된 제1 컴포넌트(100)에 나중에 부착될 수 있다. 제2 컴포넌트(200)는 개별 패키지로서 또는 웨이퍼 레벨 프로세싱을 통해 형성될 수 있다. 개별 패키지 컴포넌트(200)만 예시되어 있지만, 제2 컴포넌트(200)가 웨이퍼의 일부일 수 있다는 것을 알아야 한다. 형성 후에, 개별 제2 컴포넌트(200)는 개별화된다. 결과적인 제2 컴포넌트(200)는 또한 집적 패키지로도 지칭될 수 있다. 3A-3H illustrate cross-sectional views of intermediate steps during a process for forming the second component 200 in accordance with some embodiments. As described above, the second component 200 may later be attached to the first component 100 described above with respect to FIGS. 2A-2G . The second component 200 may be formed as a separate package or through wafer level processing. Although only the individual package component 200 is illustrated, it should be understood that the second component 200 may be part of a wafer. After formation, the respective second component 200 is singulated. The resulting second component 200 may also be referred to as an integrated package.

도 3a에서, 제2 캐리어 기판(202)이 제공되고, 제2 캐리어 기판(202) 상에 제2면 재배선 구조물이 형성될 수 있다. 제2 캐리어 기판(202)은 유리 캐리어 기판, 세라믹 캐리어 기판 등일 수 있다. 제2 캐리어 기판(202)은, 복수의 패키지들이 동시에 제2 캐리어 기판(202) 상에 형성될 수 있도록 웨이퍼일 수 있다. 제1 금속 막(204)이 제2 캐리어 기판(202) 상에 형성된다. 제1 금속 막(204)은 구리 호일과 같은 구리를 포함할 수 있다. 제2 캐리어 기판(202)은 약 10 ㎛ 내지 약 400 ㎛, 또는 약 200 ㎛의 두께를 가질 수 있다. 제1 금속 막(204)은 약 1 ㎛ 내지 약 20 ㎛, 또는 약 3 ㎛의 두께를 가질 수 있다. 제1 금속 막(204)은 구리 또는 또다른 전도성 재료를 포함할 수 있다. 3A , a second carrier substrate 202 is provided, and a second surface redistribution structure may be formed on the second carrier substrate 202 . The second carrier substrate 202 may be a glass carrier substrate, a ceramic carrier substrate, or the like. The second carrier substrate 202 may be a wafer such that a plurality of packages may be simultaneously formed on the second carrier substrate 202 . A first metal film 204 is formed on the second carrier substrate 202 . The first metal layer 204 may include copper such as copper foil. The second carrier substrate 202 may have a thickness of about 10 μm to about 400 μm, or about 200 μm. The first metal layer 204 may have a thickness of about 1 μm to about 20 μm, or about 3 μm. The first metal film 204 may include copper or another conductive material.

도 3b에서, 그 다음 포토레지스트(208)가 제1 금속 막(204) 상에 형성되어 패터닝된다. 포토레지스트(208)는 스핀 코팅 등에 의해 형성될 수 있고, 패터닝을 위해 광에 노출될 수 있다. 패터닝은 제1 금속 막(204)을 노출시키도록 포토레지스트(208)를 통해 개구를 형성한다.In FIG. 3B , a photoresist 208 is then formed and patterned on the first metal film 204 . The photoresist 208 may be formed, such as by spin coating, and exposed to light for patterning. The patterning forms an opening through the photoresist 208 to expose the first metal film 204 .

도 3c에서, 제1 금속 막(204) 위에 제2면 재배선 구조물(206)이 형성된다. 먼저, 제1 금속 트레이스(210)가 제1 금속 막(204) 위에 형성되고, 포토레지스트(208)가 제거된다. 제1 금속 트레이스(210)는 전기 도금에 의해 형성될 수 있고, 전도성 재료의 하나 이상의 층을 포함할 수 있다. 예를 들어, 금(Au)의 층이 먼저, 니켈(Ni)의 층이 다음으로, 그리고 구리(Cu)의 층이 마지막에 퇴적될 수 있다. Au는 약 0.1 ㎛ 이상, 예컨대 약 0.01 ㎛ 내지 약 3 ㎛의 두께로 퇴적될 수 있다. 니켈은 약 3 ㎛ 이상, 예컨대 약 0.1 ㎛ 내지 약 10 ㎛의 두께로 퇴적될 수 있다. 구리는 약 7 ㎛ 이상, 예컨대 약 1 ㎛ 내지 약 25 ㎛의 두께로 퇴적될 수 있다. 그리하여, 제1 금속 트레이스(210)는 약 1 ㎛ 이상 내지 약 35 ㎛, 예컨대 약 10 ㎛ 이상의 두께를 가질 수 있다. 이와 같은 두께는, 제1 금속 트레이스(210)를 제1 금속 막(204)에 접착하고, 내부 응집성(cohesiveness)을 유지하며, 그리고/또는 충분한 전도 특성을 허용할 수 있는 이점을 제공한다. 이보다 작은 두께는 열악한 접착력, 응집성 및/또는 전도성을 초래할 수 있다. 포토레지스트(208)는 임의의 적합한 스트리핑 방법에 의해 제거될 수 있다. In FIG. 3C , a second surface redistribution structure 206 is formed on the first metal film 204 . First, a first metal trace 210 is formed over the first metal film 204 , and the photoresist 208 is removed. The first metal trace 210 may be formed by electroplating and may include one or more layers of a conductive material. For example, a layer of gold (Au) may be deposited first, a layer of nickel (Ni) next, and a layer of copper (Cu) last. Au may be deposited to a thickness of at least about 0.1 μm, such as from about 0.01 μm to about 3 μm. Nickel may be deposited to a thickness of at least about 3 μm, such as between about 0.1 μm and about 10 μm. Copper may be deposited to a thickness of at least about 7 μm, such as between about 1 μm and about 25 μm. Thus, the first metal trace 210 may have a thickness of about 1 μm or more to about 35 μm, for example, about 10 μm or more. Such a thickness provides the advantages of adhering the first metal traces 210 to the first metal film 204 , maintaining internal cohesiveness, and/or allowing sufficient conductive properties. A thickness less than this may result in poor adhesion, cohesiveness and/or conductivity. The photoresist 208 may be removed by any suitable stripping method.

도 3d에서, 유전체 층(212)이 제1 금속 트레이스(210) 위에 형성된다. 유전체 층(212)은 열 라미네이션 프로세스에 의해 형성될 수 있다. 유전체 층(212)은 프리프레그(prepreg) 또는 ABF(Ajinomoto Build-up Film)를 포함할 수 있다. 일부 실시예에서, 유전체 층(212)은, 약 10 ㎛ 내지 약 100 ㎛, 예컨대 약 30 ㎛의 두께를 갖는 프리프레그, 또는 약 10 ㎛ 내지 약 100 ㎛, 예컨대 약 20 ㎛의 두께를 갖는 ABF일 수 있다. 유전체 층(212)으로서 프리프레그 또는 ABF 재료를 사용하는 것의 이점은, 제2면 재배선 구조물(206)이 높은 수준의 강도 및 신뢰성을 가질 것이라는 점이다. 나중에 제1면 재배선 구조물(106)과 커플링될 때, 전체 반도체 패키지는 휨에 덜 취약할 것이다.In FIG. 3D , a dielectric layer 212 is formed over the first metal trace 210 . The dielectric layer 212 may be formed by a thermal lamination process. The dielectric layer 212 may include prepreg or Ajinomoto Build-up Film (ABF). In some embodiments, dielectric layer 212 is a prepreg having a thickness of about 10 μm to about 100 μm, such as about 30 μm, or ABF having a thickness of about 10 μm to about 100 μm, such as about 20 μm. can An advantage of using a prepreg or ABF material as the dielectric layer 212 is that the second side redistribution structure 206 will have a high level of strength and reliability. When later coupled with the first side redistribution structure 106 , the entire semiconductor package will be less susceptible to warping.

도 3e에서, 유전체 층(212)은 제1 금속 트레이스(210)의 일부를 노출시키는 개구를 형성하도록 패터닝된다. 개구는 제1 금속 트레이스(210)의 일부를 노출시키도록 유전체 층(212)을 통해 연장하는 비아 개구(214)를 포함한다. 개구는, 비아 개구(214)와 이어지며 라우팅 능력을 제공하는 라인 개구(216)를 더 포함한다. 유전체 층(212)은 단일 다마신 또는 듀얼 다마신 프로세스를 사용하여 패터닝될 수 있다. 패터닝은, 포토레지스트를 형성하며 유전체 층(212)을 습식 또는 건식 에칭하고 그리고/또는 레이저 연삭(또는 레이저 드릴링) 기술을 채용하는 것과 같은 임의의 적합한 방법에 의해, 수행될 수 있다. 수직 측벽으로 도시되어 있지만, 레이저 드릴링 기술로 인해 수직이 아닌 측벽을 갖는 비아 개구(214)가 될 수 있다는 것을 알아야 한다. 비아 개구(214)는 약 30 ㎛ 내지 약 150 ㎛, 예컨대 약 65 ㎛의 폭을 가질 수 있다. In FIG. 3E , dielectric layer 212 is patterned to form openings exposing portions of first metal traces 210 . The opening includes a via opening 214 extending through the dielectric layer 212 to expose a portion of the first metal trace 210 . The opening further includes a line opening 216 running through the via opening 214 and providing routing capability. Dielectric layer 212 may be patterned using a single damascene or dual damascene process. Patterning may be performed by any suitable method, such as forming a photoresist and wet or dry etching the dielectric layer 212 and/or employing laser grinding (or laser drilling) techniques. Although shown with vertical sidewalls, it should be understood that the via opening 214 may have non-vertical sidewalls due to laser drilling techniques. The via opening 214 may have a width of about 30 μm to about 150 μm, such as about 65 μm.

도 3f에서, 유전체 층(212)의 상부 영역에서의 비아 개구(214) 및 라인 개구(216)는 전도성 비아(218)(비아 개구(214) 내에) 및 제2 금속 트레이스(220)(라인 개구(216) 내에)를 형성하도록 전도성 재료로 채워진다. 전도성 재료는 전기 도금 또는 무전해 도금, 또는 임의의 적합한 방법에 의해 퇴적될 수 있다. 제2 금속 트레이스(220)는 약 10 ㎛의 두께를 가질 수 있다. 대안으로서, 전도성 비아(218)는 제2 금속 트레이스(220)를 형성하도록 유전체 층(212)이 패터닝되기 전에 처음에 형성될 수 있다. In FIG. 3F , via openings 214 and line openings 216 in the upper region of dielectric layer 212 are conductive vias 218 (in via openings 214 ) and second metal traces 220 (line openings). is filled with a conductive material to form (in) 216 . The conductive material may be deposited by electroplating or electroless plating, or any suitable method. The second metal trace 220 may have a thickness of about 10 μm. Alternatively, conductive vias 218 may be initially formed before dielectric layer 212 is patterned to form second metal traces 220 .

제2면 재배선 구조물(206)(제1 금속 트레이스(210), 전도성 비아(218) 및 제2 금속 트레이스(220)를 포함함)은 두께(T2)로 형성되며, 두께(T2)는 약 20 ㎛ 내지 약 150 ㎛일 수 있다. 제2면 재배선 구조물(206)의 두께(T2)는 배면 재배선 구조물(106)의 두께(T1) 이상일 수 있다. 두께(T2)에 대한 두께(T1)의 비는 약 0.3 내지 약 3일 수 있다. 이 범위 내의 비는, 집적 회로 다이(50)를 포함하는 재료와, 제2 컴포넌트(200)가 나중에 제1 컴포넌트(100)에 부착될 때 예를 들어 제1면 재배선 구조물(106)의 유전체 층 및 금속화 패턴의 열 팽창 계수(CTE; coefficient of thermal expansion)의 차이로 인한 휨을 막거나 감소시키기에 충분한 강성을 제공한다. 이들 값보다 작은 비는, 제1 컴포넌트(100)의 컴포넌트들의 팽창을 상쇄시킬 만한 제2 컴포넌트(200)에 대한 충분한 강성을 제공하지 못할 수 있다. 이들 값보다 더 큰 비는, 신호 길이를 증가시킬 수 있으며, 그에 의해 패키징된 디바이스의 성능을 감소시킬 수 있다. The second side redistribution structure 206 (including first metal traces 210 , conductive vias 218 , and second metal traces 220 ) is formed with a thickness T 2 , and has a thickness T 2 . may be from about 20 μm to about 150 μm. The thickness T 2 of the second surface redistribution structure 206 may be greater than or equal to the thickness T 1 of the rear redistribution structure 106 . A ratio of the thickness T 1 to the thickness T 2 may be about 0.3 to about 3. Ratios within this range include the material comprising the integrated circuit die 50 and the dielectric of the first side redistribution structure 106 when the second component 200 is later attached to the first component 100 , for example. Provides sufficient stiffness to prevent or reduce warpage due to differences in the coefficient of thermal expansion (CTE) of the layer and metallization pattern. A ratio less than these values may not provide sufficient stiffness for the second component 200 to counteract the expansion of the components of the first component 100 . Ratios greater than these values may increase the signal length, thereby reducing the performance of the packaged device.

도 3g에서, 전도성 비아(218) 및/또는 제2 금속 트레이스(220)를 노출시키는 개구(224)를 형성하도록 솔더 레지스트(222)가 형성 및 패터닝된다. 또한, 전도성 비아(218) 및 제2 금속 트레이스(220)의 노출된 부분이 보호 목적을 위해 처리될 수 있다. 예를 들어, 전도성 비아(218) 및 제2 금속 트레이스(220)의 노출된 부분에 대해 ENEPIG(electroless nickel electroless palladium immersion gold) 트리트먼트 또는 OSP(organic solderability preservative)가 수행될 수 있다. 솔더 레지스트는 약 5 ㎛ 내지 약 40 ㎛, 예컨대 약 10 ㎛의 두께를 가질 수 있다. 솔더 레지스트(222)는 또한, 외부 손상으로부터 제2면 재배선 구조물(206)의 영역을 보호하는데 사용될 수 있다. 3G , solder resist 222 is formed and patterned to form openings 224 exposing conductive vias 218 and/or second metal traces 220 . In addition, exposed portions of conductive vias 218 and second metal traces 220 may be treated for protection purposes. For example, an electroless nickel electroless palladium immersion gold (ENEPIG) treatment or organic solderability preservative (OSP) may be performed on the exposed portions of the conductive vias 218 and the second metal traces 220 . The solder resist may have a thickness of about 5 μm to about 40 μm, such as about 10 μm. Solder resist 222 may also be used to protect areas of second side redistribution structure 206 from external damage.

도 3h에서, 커넥터(226)가 전도성 비아(218) 및 제2 금속 트레이스(220)의 노출된 부분 위에 형성된다. 커넥터(226)는 제1 집적 회로 다이(50) 상의 솔더 영역와 유사한 방식으로 형성된 솔더 볼일 수 있고, 제1 집적 회로 다이(50) 상의 솔더 영역과 유사한 재료로 형성될 수 있다. In FIG. 3H , connectors 226 are formed over exposed portions of conductive vias 218 and second metal traces 220 . The connector 226 may be a solder ball formed in a manner similar to the solder regions on the first integrated circuit die 50 , and may be formed of a material similar to the solder regions on the first integrated circuit die 50 .

제2 컴포넌트(200)를 형성하기 위한 웨이퍼 레벨 프로세싱의 경우에, 인접한 제2 컴포넌트들(200) 사이의 스크라이브 영역을 따라 쏘잉함으로써 개별화 프로세스가 수행될 수 있다. 아래에 설명되는 바와 같이, 결과적인 개별화된 제2 컴포넌트(200)가 제1 컴포넌트(100)에 커플링된다. 일부 실시예에서, 제1 컴포넌트(100)는 제2 컴포넌트(200)가 부착되기 전에 마찬가지로 개별화된다. 일부 실시예에서, 제1 컴포넌트(100)는 제2 컴포넌트(200)를 부착한 후에 개별화된다.In the case of wafer level processing to form the second component 200 , the singulation process may be performed by sawing along the scribe area between adjacent second components 200 . As described below, the resulting individualized second component 200 is coupled to the first component 100 . In some embodiments, the first component 100 is likewise singulated before the second component 200 is attached. In some embodiments, the first component 100 is singulated after attaching the second component 200 .

도 4a 내지 도 4h는 일부 실시예에 따라, 패키지(400)를 형성하기 위해, 제2 컴포넌트(200)를 제1 컴포넌트(100)에 부착시키기 위한 중간 단계들 뿐 아니라 추가적인 프로세싱의 단면도들을 예시한다. 4A-4H illustrate cross-sectional views of additional processing as well as intermediate steps for attaching the second component 200 to the first component 100 to form a package 400 , in accordance with some embodiments. .

먼저 도 4a를 참조하면, 제1 컴포넌트(100)가 웨이퍼의 일부인 패키지(400)가 예시되어 있다. 일부 실시예(그러나 도 4a에는 예시되지 않음)에서, 제1 컴포넌트(100)는 이미 스크라이브 영역(404)에서 개별화된 것이다. Referring first to FIG. 4A , a package 400 in which the first component 100 is part of a wafer is illustrated. In some embodiments (but not illustrated in FIG. 4A ), the first component 100 is already individualized in the scribe area 404 .

각각의 개별화된 제2 컴포넌트(200)가 커넥터(226)를 사용하여 제1 컴포넌트(100)에 실장된다. 상기에 설명된 바와 같이, 제1 컴포넌트(100)는 부착을 위한쓰루 비아(126)를 포함한다. 그리하여, 커넥터(224)는 대응하는 쓰루 비아(126)에 본딩된다. 일부 실시예에서, 커넥터(226)는 제2 컴포넌트(200)를 쓰루 비아(126)에 부착시키도록 리플로우된다. 커넥터(226)는 제2 컴포넌트(200)를 제1 패키지 컴포넌트(100)의 제1면 재배선 구조물(106)에 전기적으로 커플링한다. 커넥터(226)는 리플로우되기 전에 그 위에 형성된 에폭시 플럭스(도시되지 않음)를 가질 수 있으며, 에폭시 플럭스의 에폭시 부분의 적어도 일부는 제2 컴포넌트(200)가 제1 컴포넌트(100)에 부착된 후에 남아 있다. 이 남아있는 에폭시 부분은, 스트레스를 감소시키고 커넥터(226)를 리플로우한 결과인 조인트를 보호하기 위한 언더필로서 작용할 수 있다. 제2 컴포넌트(200)를 제1 컴포넌트(100)에 부착한 후에, 제1면 재배선 구조물(106) 및 제2면 재배선 구조물(206)은 두께(T3)만큼 서로 분리될 수 있다. 두께(T3)는 약 50 ㎛ 내지 약 500 ㎛일 수 있다. 두께(T1)에 대한 두께(T3)의 비는 약 0.4 내지 약 5일 수 있다. 두께(T2)에 대한 두께(T3)의 비는 약 0.3 내지 약 4일 수 있다.Each individualized second component 200 is mounted to the first component 100 using a connector 226 . As described above, the first component 100 includes a through via 126 for attachment. Thus, the connector 224 is bonded to the corresponding through via 126 . In some embodiments, the connector 226 is reflowed to attach the second component 200 to the through via 126 . The connector 226 electrically couples the second component 200 to the first side redistribution structure 106 of the first package component 100 . The connector 226 may have an epoxy flux (not shown) formed thereon prior to reflow, at least a portion of the epoxy portion of the epoxy flux after the second component 200 is attached to the first component 100 . Remains. This remaining epoxy portion can act as an underfill to reduce stress and protect the joint as a result of reflowing the connector 226 . After attaching the second component 200 to the first component 100 , the first side redistribution structure 106 and the second side redistribution structure 206 may be separated from each other by a thickness T 3 . The thickness T 3 may be about 50 μm to about 500 μm. A ratio of the thickness T 3 to the thickness T 1 may be about 0.4 to about 5. A ratio of the thickness T 3 to the thickness T 2 may be about 0.3 to about 4.

도 4b에서, 제1 컴포넌트(100) 위에 그리고 제2 컴포넌트(200) 아래와 그 주변에 봉지재(310)가 형성된다. 봉지재(310)는 쓰루 비아(126), 제1 집적 회로 다이(50), 및 제1 컴포넌트(100) 및/또는 제2 컴포넌트(200)에 부착된 임의의 다른 디바이스(만약 있다면)를 더 봉지한다. 봉지재(310)는 인접한 제2 컴포넌트들(200) 사이의 갭 영역에 더 형성된다. 봉지재(310)는, 제2 패키지 컴포넌트(200)가 부착된 후에 모세관 플로우 프로세스에 의해 형성될 수 있고, 또는 제2 패키지 컴포넌트(200)가 부착되기 전에 적합한 퇴적 방법에 의해 형성될 수 있다. 일부 실시예에서, 봉지재(310)는 압축 몰딩, 트랜스퍼 몰딩 등에 의해 적용될 수 있다. 봉지재(310)는 액상으로 또는 준액상 형태로 적용된 다음 그 후에 경화될 수 있다. 봉지재(310)는 몰딩 컴파운드, 에폭시 등일 수 있다. In FIG. 4B , an encapsulant 310 is formed over the first component 100 and below and around the second component 200 . The encapsulant 310 further encapsulates the through via 126 , the first integrated circuit die 50 , and any other devices (if any) attached to the first component 100 and/or the second component 200 . seal it An encapsulant 310 is further formed in a gap region between adjacent second components 200 . The encapsulant 310 may be formed by a capillary flow process after the second package component 200 is attached, or may be formed by a suitable deposition method before the second package component 200 is attached. In some embodiments, the encapsulant 310 may be applied by compression molding, transfer molding, or the like. The encapsulant 310 may be applied in liquid or semi-liquid form and then cured thereafter. The encapsulant 310 may be a molding compound, epoxy, or the like.

도 4b의 삽도(401 및 402)에 나타난 바와 같이, 봉지재(310)는 제2 컴포넌트(200)의 제2면 재배선 구조물(206)의 측방향 에지 주변에 형성될 수 있다. 봉지재(310)는 제2 컴포넌트(200)의 측방향 에지를 부분적으로 또는 완전히 덮을 수 있다. 예를 들어, 삽도(401)에 도시된 바와 같이, 봉지재(310)는 최고점이 제2 컴포넌트(200)의 측방향 에지에 근접하게 위치되어 있는 리세싱된 상부 표면을 가질 수 있다. 봉지재(310)는 제2면 재배선 구조물(206)의 측방향 에지를 부분적으로 또는 완전히 덮을 수 있다. 일부 실시예에서, 봉지재(310)는 제2 캐리어 기판(202)의 측방향 에지의 일부를 더 덮을 수 있다. 또한, 상부 표면 상의 최저점은, 제2 캐리어 기판(202)에 가장 가까운 제2면 재배선 구조물(206)의 부분 아래에 있을 수 있다. 삽도(402)에 도시된 바와 같이, 봉지재(310)는, 제2면 재배선 구조물(206)의 측방향 에지 전부 뿐만 아니라, 제2 캐리어 기판(202)의 측방향 에지의 전부 또는 일부를 덮도록 형성될 수 있다. 일부 실시예에서, 봉지재(310)는 제2 캐리어 기판(202)의 측방향 에지의 전체를 그리고 제2 캐리어 기판(202)의 상부 표면의 부분까지도(구체적으로 예시되지 않음) 덮을 수 있다. As shown in the insets 401 and 402 of FIG. 4B , the encapsulant 310 may be formed around a lateral edge of the second side redistribution structure 206 of the second component 200 . The encapsulant 310 may partially or completely cover the lateral edge of the second component 200 . For example, as shown in inset 401 , encapsulant 310 can have a recessed upper surface with a peak positioned proximate to a lateral edge of second component 200 . The encapsulant 310 may partially or completely cover the lateral edge of the second side redistribution structure 206 . In some embodiments, the encapsulant 310 may further cover a portion of the lateral edge of the second carrier substrate 202 . Also, the lowest point on the upper surface may be below the portion of the second side redistribution structure 206 that is closest to the second carrier substrate 202 . As shown in the inset 402 , the encapsulant 310 covers all or a portion of the lateral edges of the second carrier substrate 202 as well as all of the lateral edges of the second side redistribution structure 206 . It may be formed to cover. In some embodiments, the encapsulant 310 may cover all of the lateral edges of the second carrier substrate 202 and even a portion of the upper surface of the second carrier substrate 202 (not specifically illustrated).

봉지재(310)는 제2면 재배선 구조물(206)에 추가적인 지지를 제공하며, 이는 전체 패키지(400)를 더 강하게, 보다 신뢰성있게, 그리고 휨에 덜 취약하게 만든다. 상기에 설명된 바와 같이, 추가된 강도 및 강건성(sturdiness)은 제2 컴포넌트(200)의 측방향 에지에 부착한 봉지재(310)의 상부 부분으로부터 오는 것이다. 봉지재(310)는 도 4b의 삽도(401)에 도시된 바와 같이 제2 컴포넌트(200)의 측방향 에지로부터 아랫쪽으로 경사질 수 있다. 경사는 수평으로부터 각도 θ를 가질 수 있다. 각도 θ는 약 0도 내지 약 45도, 또는 약 45도 내지 약 60도일 수 있다.The encapsulant 310 provides additional support to the second side redistribution structure 206 , which makes the overall package 400 stronger, more reliable, and less susceptible to flexing. As explained above, the added strength and sturdiness comes from the upper portion of the encapsulant 310 attaching to the lateral edge of the second component 200 . The encapsulant 310 may be angled downward from the lateral edge of the second component 200 as shown in the inset 401 of FIG. 4B . The slope may have an angle θ from horizontal. The angle θ may be from about 0 degrees to about 45 degrees, or from about 45 degrees to about 60 degrees.

도 4c에서, 일부 실시예에 따라, 제2 캐리어 기판(202)은 제2면 재배선 구조물(206)을 노출시키며 패키지(400)로부터 제거된다. 제2 캐리어 기판(202)은, 제2 캐리어 기판(202) 상에 배치된 이형 층의 접착 특성을 변경하도록 예컨대 열 프로세스를 사용하여, 제2면 재배선 구조물(206)로부터 탈착, 본딩 분리 또는 물리적으로 박리될 수 있다. 일부 실시예에서, 이형 층이 그의 접착 특성의 적어도 일부를 잃을 때까지 자외선(UV) 레이저, 이산화탄소(CO2) 레이저, 또는 적외선(IR) 레이저와 같은 에너지 소스가 이형 층을 조사 및 가열시키도록 이용된다. 수행된다면, 제2 캐리어 기판(202) 및 금속 막(204)은 제2면 재배선 구조물(206)로부터 물리적으로 분리되어 제거될 수 있다. 일부 실시예에서, 제2면 재배선 구조물(206)을 노출시키기 위해 제2 캐리어 기판(202)을 제거하도록 평탄화 프로세스 또는 기계적 박리 프로세스가 수행된다. 평탄화 프로세스는 또한, 제2면 재배선 구조물(206)의 상부 레벨 위에 형성되었을 수 있는 봉지재(310)의 일부를 제거할 수 있다. 평탄화 프로세스는 예를 들어, 화학 기계적 연마(CMP; chemical-mechanical polish), 그라인딩 프로세스, 등일 수 있다. 봉지재(310)가 제2 컴포넌트의 측방향 에지를 완전히 덮도록 형성되는 실시예에서도(그리고 아마도 제2 캐리어 기판(202)의 상부 표면 위에(예컨대, 도 4b의 삽도(402)에 전반적으로 도시된 바와 같이)), 패키지(400)는 제2 캐리어 기판(202)의 보호로 인해 제2면 재배선 구조물(206)의 상부 표면 위에 크리프(creep)를 성형하기 쉽지 않다는 것을 유의하여야 한다. 그리하여, 제2 캐리어 기판(202)의 제거 후에, 제2 캐리어 기판(202)의 상부 표면은 봉지재(310)가 없다. 그리하여, 봉지재(310)의 최상부 표면은 제2면 재배선 구조물(206)의 상부 표면과 동일한 높이이거나 그로부터 리세싱될 수 있다. 4C , the second carrier substrate 202 is removed from the package 400 exposing the second side redistribution structure 206 , in accordance with some embodiments. The second carrier substrate 202 may be detached, bonded, separated, or removed from the second side redistribution structure 206 using, for example, a thermal process to alter the adhesive properties of a release layer disposed on the second carrier substrate 202 . It can be physically peeled off. In some embodiments, an energy source, such as an ultraviolet (UV) laser, carbon dioxide (CO 2 ) laser, or infrared (IR) laser, irradiates and heats the release layer until the release layer loses at least some of its adhesive properties. used If performed, the second carrier substrate 202 and the metal film 204 may be physically separated from the second side redistribution structure 206 and removed. In some embodiments, a planarization process or mechanical exfoliation process is performed to remove the second carrier substrate 202 to expose the second side redistribution structure 206 . The planarization process may also remove portions of the encapsulant 310 that may have formed over the top level of the second side redistribution structure 206 . The planarization process may be, for example, a chemical-mechanical polish (CMP), a grinding process, or the like. Even in embodiments in which the encapsulant 310 is formed to completely cover the lateral edges of the second component (and possibly over the top surface of the second carrier substrate 202 (eg, generally shown in the inset 402 of FIG. 4B ). )), the package 400 is not prone to forming creep on the top surface of the second side redistribution structure 206 due to the protection of the second carrier substrate 202 . Thus, after removal of the second carrier substrate 202 , the upper surface of the second carrier substrate 202 is free of the encapsulant 310 . As such, the top surface of the encapsulant 310 may be flush with or recessed from the top surface of the second side redistribution structure 206 .

계속해서 도 4c를 참조하면, 일부 실시예에서, 노출된 제2면 재배선 구조물(206) 위에 패시베이션 층(320)이 형성되어 패터닝된다. 패시베이션 층(320)은 유전체 층(110, 114, 118 및 112) 중의 임의의 하나와 유사한 방식 및 재료로 형성된 유전체 재료일 수 있다. 대안으로서, 패시베이션 층(320)은 솔더 레지스트(222)와 유사한 방식 및 재료로 형성된 솔더 레지스트일 수 있다. With continued reference to FIG. 4C , in some embodiments, a passivation layer 320 is formed and patterned over the exposed second-side redistribution structure 206 . The passivation layer 320 may be a dielectric material formed in a manner and material similar to any one of the dielectric layers 110 , 114 , 118 and 112 . Alternatively, the passivation layer 320 may be a solder resist formed in a manner and material similar to the solder resist 222 .

도 4d에서, 패키지(400)는 플립오버되어 테이프, 웨이퍼, 패널, 프레임, 링 등과 같은 임시 기판(406)에 부착될 수 있다. 그 다음, 제1 캐리어 기판(102)이 제거된다. 일부 실시예에서, 캐리어 기판 본딩 분리는, 제1 캐리어 기판(102)을 제1면 재배선 구조물(106), 예컨대 유전체 층(110)으로부터 분리(또는 탈착 또는 본딩 분리)하도록 수행된다. 일부 실시예에 따르면, 본딩 분리는, 이형 층(104)이 광의 열을 받아 분해되어 제1 캐리어 기판(102)이 제거될 수 있도록, 이형 층(104) 상에 레이저 광 또는 UV 광과 같은 광을 투사하는 것을 포함한다.In FIG. 4D , the package 400 may be flipped over and attached to a temporary substrate 406 such as a tape, wafer, panel, frame, ring, or the like. Then, the first carrier substrate 102 is removed. In some embodiments, carrier substrate bonding separation is performed to separate (or detach or bond separate) first carrier substrate 102 from first side redistribution structure 106 , such as dielectric layer 110 . According to some embodiments, the bonding separation is performed by light, such as laser light or UV light, on the release layer 104 such that the release layer 104 is thermally decomposed to allow the first carrier substrate 102 to be removed. including projecting

도 4e에서, 전도성 커넥터(410)가 제1면 재배선 구조물(106) 상에 형성된다. 전도성 커넥터(410)는 볼 그리드 어레이(BGA; ball grid array) 커넥터, 솔더 볼, 금속 필라, C4(controlled collapse chip connection) 범프, 마이크로 범프, ENEPIG(electroless nickel-electroless palladium-immersion gold technique) 형성된 범프 등일 수 있다. 전도성 커넥터(410)는 솔더, 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석 등, 또는 이들의 조합과 같은 전도성 재료를 포함할 수 있다. 일부 실시예에서, 전도성 커넥터(410)는, 처음에 증발, 전기 도금, 인쇄, 솔더 전사, 볼 배치 등을 통해 솔더 층을 형성함으로써 형성된다. 솔더 층이 구조물 상에 형성되었다면, 재료를 원하는 범프 형상으로 형상화하기 위하여 리플로우가 수행될 수 있다. 또다른 실시예에서, 전도성 커넥터(410)는 스퍼터링, 인쇄, 전기 도금, 무전해 도금, CVD 등에 의해 형성된 금속 필라(예컨대 구리 필라)를 포함한다. 금속 필라는 무연일 수 있고 실질적으로 수직인 측벽을 가질 수 있다. 일부 실시예에서, 금속 캡 층이 금속 필라의 상부 상에 형성된다. 금속 캡 층은 니켈, 주석, 주석-납, 금, 은, 팔라듐, 인듐, 니켈-팔라듐-금, 니켈-금 등, 또는 이들의 조합을 포함할 수 있고, 도금 프로세스에 의해 형성될 수 있다.In FIG. 4E , a conductive connector 410 is formed on the first side redistribution structure 106 . The conductive connector 410 includes a ball grid array (BGA) connector, a solder ball, a metal pillar, a controlled collapse chip connection (C4) bump, a micro bump, and a bump formed by an electroless nickel-electroless palladium-immersion gold technique (ENEPIG). etc. The conductive connector 410 may include a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, or the like, or a combination thereof. In some embodiments, the conductive connector 410 is formed by initially forming a solder layer through evaporation, electroplating, printing, solder transfer, ball placement, or the like. Once the solder layer has been formed on the structure, a reflow may be performed to shape the material into the desired bump shape. In another embodiment, the conductive connector 410 includes metal pillars (eg, copper pillars) formed by sputtering, printing, electroplating, electroless plating, CVD, or the like. The metal pillars may be lead-free and may have substantially vertical sidewalls. In some embodiments, a metal cap layer is formed on top of the metal pillars. The metal cap layer may include nickel, tin, tin-lead, gold, silver, palladium, indium, nickel-palladium-gold, nickel-gold, etc., or combinations thereof, and may be formed by a plating process.

아직 개별화되지 않았다면, 일부 실시예에 따라, 구조물은 개별 패키지들(400)을 형성하도록 스크라이브 영역(404)(예컨대, 도 4a 참조)을 따라 개별화될 수 있다. 대안으로서, 구조물은 전도성 커넥터(410)를 형성하기 전에 개별화된다. 일부 실시예에서, 구조물은 패키지(400)를 개별 피스들로 분리하는 하나 이상의 쏘 블레이드를 사용하여 개별화될 수 있으며, 하나 이상의 개별화된 패키지(400)를 형성할 수 있다. 그러나, 레이저 연삭 또는 하나 이상의 습식 에칭을 포함하는 임의의 적합한 개별화 방법이 또한 이용될 수 있다. If not yet singulated, the structure may be singulated along the scribe region 404 (see eg, FIG. 4A ) to form individual packages 400 , in accordance with some embodiments. Alternatively, the structure is singulated prior to forming the conductive connector 410 . In some embodiments, the structure may be singulated using one or more saw blades that separate the package 400 into individual pieces, forming one or more individualized packages 400 . However, any suitable singulation method including laser grinding or one or more wet etching may also be used.

개별화 후에, 제1면 재배선 구조물(106)은 폭(W1)을 가지며, 폭(W1)은 약 3 mm 내지 약 150 mm일 수 있다. 제2 컴포넌트(200) 및 그의 제2면 재배선 구조물(206)은 폭(W2)을 가지며, 폭(W2)은 약 3 mm 내지 약 150 mm일 수 있다. 폭(W2)은 폭(W1)(예컨대, 제1 컴포넌트(100) 및 그의 제1면 재배선 구조물(106)의 폭) 이하일 수 있다. 폭(W2)에 대한 폭(W1)의 비는 약 1 내지 약 3, 또는 약 1일 수 있다. 이 범위 내의 비는, 제2면 재배선 구조물(206)이 제1면 재배선 구조물(106)에 커플링될 때 휨에 덜 취약한 전체 반도체 패키지를 제공한다. 다르게 말하자면, 제2면 재배선 구조물(206)의 강도 및 폭(W2)은 제1면 재배선 구조물(106)로부터 발생할 수 있는 휨을 균형맞출 것이다. After singulation, the first side redistribution structure 106 has a width W 1 , and the width W 1 may be between about 3 mm and about 150 mm. The second component 200 and its second side redistribution structure 206 have a width W 2 , and the width W 2 can be between about 3 mm and about 150 mm. The width W 2 may be less than or equal to the width W 1 (eg, the width of the first component 100 and the first side redistribution structure 106 thereof). The ratio of the width W 1 to the width W 2 may be about 1 to about 3, or about 1. Ratios within this range provide an overall semiconductor package that is less susceptible to bending when the second side redistribution structure 206 is coupled to the first side redistribution structure 106 . In other words, the strength and width W 2 of the second side redistribution structure 206 will balance out warpage that may occur from the first side redistribution structure 106 .

도 4f 및 도 4g에서, 개별화 후에, 패키지(400)는 임시 기판(406)으로부터 제거되고 플립 오버되어 기판(502)(예컨대, 캐리어 기판, 패키지 기판, PCB 등)과 같은 또다른 기판에 부착될 수 있다. 도시된 바와 같이, 패키지(400)는 패시베이션 층(320)(도 4f)을 특징으로 할 수 있고, 또는 패시베이션 층(320)은 생략될 수 있다(도 4g). 패키지(400) 내에서 일부 경우에, 도 4g의 확대도에 도시된 바와 같이 쓰루 비아(126)는 전도성 비아(218)와 정렬할 수 있다. 형성 방법에 따라, 전도성 비아(218)는 안쪽으로 경사진 측벽을 가질 수 있다. 일부 경우에, 안쪽으로 경사진 측벽은 전도성 비아(218)에 모래시계 형상을 제공하는 오목 형상을 가질 수 있다. 또한, 전도성 비아(218)는 치아-형 측벽을 가질 수 있다. 치아-형 측벽은, 도 3f에 관련하여 상기에 설명된 바와 같이 유전체 층(212)을 통한 드릴링의 레이저 연삭 방법에 부분적으로 기인할 수 있다. 4F and 4G , after singulation, the package 400 is removed from the temporary substrate 406 and flipped over to be attached to another substrate, such as a substrate 502 (eg, carrier substrate, package substrate, PCB, etc.). can As shown, the package 400 may feature a passivation layer 320 ( FIG. 4F ), or the passivation layer 320 may be omitted ( FIG. 4G ). In some cases within package 400 , through via 126 may align with conductive via 218 as shown in the enlarged view of FIG. 4G . Depending on the method of formation, conductive vias 218 may have inwardly sloping sidewalls. In some cases, the inwardly sloping sidewalls may have a concave shape that provides an hourglass shape to the conductive vias 218 . In addition, conductive vias 218 may have tooth-shaped sidewalls. The tooth-like sidewalls may be due in part to the laser grinding method of drilling through the dielectric layer 212 as described above with respect to FIG. 3F .

도 4h에서는, 추가의 디바이스(510)가 기판(502)에 부착되어 있는, 도 4f에 관련하여 상기에 설명된 바와 유사한 실시예가 예시되어 있다. 추가의 디바이스(510)는 집적 수동 소자 및 SMD(surface mount devices)(예컨대, 커패시터)와 같은 능동 소자 및/또는 수동 소자를 포함할 수 있다. 또한, 추가의 디바이스(510)는, 집적 회로 다이(50)와 유사한 디바이스, 및 메모리 다이(예컨대, DRAM 다이, 적층된 메모리 다이, HBM(high-bandwidth memory) 다이 등), 로직 다이, CPU(central processing unit) 다이, SoC(system-on-a-chip), CoW(component on a wafer), InFO(integrated fan-out structure), 패키지 등, 또는 이들의 조합과 같이 의도한 목적을 위해 설계된 디바이스를 포함할 수 있다. In FIG. 4H , an embodiment similar to that described above with respect to FIG. 4F is illustrated in which an additional device 510 is attached to a substrate 502 . Additional devices 510 may include integrated passive components and active and/or passive components such as surface mount devices (SMDs) (eg, capacitors). Additionally, additional devices 510 include devices similar to integrated circuit die 50, and memory dies (eg, DRAM dies, stacked memory dies, high-bandwidth memory (HBM) dies, etc.), logic dies, CPUs ( A device designed for its intended purpose, such as a central processing unit) die, system-on-a-chip (SoC), component on a wafer (CoW), integrated fan-out structure (InFO), package, etc., or a combination thereof. may include.

도 5a 내지 도 5h 및 도 6a 내지 도 6h는, 일부 실시예에 따라, 제1 컴포넌트(제1 집적 회로 다이(50)를 포함함)의 형성, 제2 컴포넌트의 형성(제2 집적 회로 다이(50)의 부착을 포함함), 및 제2 컴포넌트를 제1 컴포넌트에의 부착에 있어서의 다양한 중간 단계들, 및 반도체 패키지를 형성하기 위한 부가의 프로세싱에 대해 기재한다. 5A-5H and 6A-6H illustrate the formation of a first component (including a first integrated circuit die 50), formation of a second component (a second integrated circuit die), in accordance with some embodiments. 50), and various intermediate steps in attaching the second component to the first component, and additional processing to form the semiconductor package.

도 5a 내지 도 5h는 일부 실시예에 따라 제1 컴포넌트(501), 제2 컴포넌트(502) 및 패키지(504)를 형성하기 위한 중간 단계들의 단면도를 예시한다. 특히, 도면은 제1 컴포넌트(501)의 형성, 제2 컴포넌트(502)의 제1 컴포넌트(501)에의 부착 뿐만 아니라, 패키지(504)를 형성하기 위한 추가의 프로세싱에 있어서의 특정 중간 단계들을 도시한다. 5A-5H illustrate cross-sectional views of intermediate steps for forming a first component 501 , a second component 502 , and a package 504 in accordance with some embodiments. In particular, the figure shows certain intermediate steps in the formation of the first component 501 , the attachment of the second component 502 to the first component 501 , as well as further processing to form the package 504 . do.

도 5a에서, 제1 컴포넌트(501)의 제1면 재배선 구조물(106)이 제공되었고, 쓰루 비아(126) 및 본드 패드(128)가 제1면 재배선 구조물(106) 위에 형성되었다. 도 2a 내지 도 2f에 관련하여 상기에 설명된 바와 유사한 프로세스 및 재료가 사용될 수 있다. 도 5b에서, 제1 집적 회로 다이(50)가 하나 이상의 다른 반도체 디바이스(550)(하나만 예시되어 있지만, 복수의 추가적인 반도체 디바이스가 있을 수 있음)와 함께 부착되었다. 도 2g에 관련하여 상기에 설명된 바와 유사한 프로세스 및 재료가 사용될 수 있다. In FIG. 5A , a first side redistribution structure 106 of a first component 501 has been provided, and a through via 126 and bond pads 128 have been formed over the first side redistribution structure 106 . Processes and materials similar to those described above with respect to FIGS. 2A-2F may be used. In FIG. 5B , a first integrated circuit die 50 is attached along with one or more other semiconductor devices 550 (only one is illustrated, but there may be a plurality of additional semiconductor devices). Processes and materials similar to those described above with respect to FIG. 2G may be used.

제1 집적 회로 다이(50) 및 다른 디바이스(550)는, 메모리 다이(예컨대, DRAM 다이, 적층 메모리 다이, HBM(high-bandwidth memory) 다이 등), 로직 다이, CPU(central processing unit) 다이, SoC(system-on-a-chip), CoW(component on a wafer), InFO(integrated fan-out structure), 패키지 등, 또는 이들의 조합과 같이 의도한 목적을 위해 설계된 디바이스를 포함할 수 있다. 제1 집적 회로 다이(50) 및 다른 디바이스(550)는 동일 기술 노드의 프로세스에서 형성될 수 있거나, 또는 상이한 기술 노드의 프로세스에서 형성될 수 있다. 예를 들어, 제1 집적 회로 다이(50)는 다른 디바이스(550)보다 더 진보된 프로세스 노드로 이루어질 수 있다. 제1 집적 회로 다이(50) 및 다른 디바이스(550)는 상이한 크기를 가질 수 있거나(예컨대, 상이한 높이 및/또는 표면적), 동일 크기(예컨대, 동일한 높이 및/또는 표면적)를 가질 수 있다. 제1면 재배선 구조물(106)의 이점은, 집적 회로 다이(50), 다른 디바이스(550), 나중에 부착되는 제2 컴포넌트(502), 및 제1면 재배선 구조물(106)의 다른 면에 나중에 부착되는 컴포넌트 사이에 전기 접속성을 제공한다는 것이다. The first integrated circuit die 50 and other devices 550 include a memory die (eg, a DRAM die, a stacked memory die, a high-bandwidth memory (HBM) die, etc.), a logic die, a central processing unit (CPU) die, It may include a device designed for an intended purpose, such as a system-on-a-chip (SoC), component on a wafer (CoW), integrated fan-out structure (InFO), package, or the like, or a combination thereof. The first integrated circuit die 50 and the other device 550 may be formed in the process of the same technology node, or may be formed in the process of a different technology node. For example, the first integrated circuit die 50 may be comprised of a more advanced process node than the other devices 550 . The first integrated circuit die 50 and the other device 550 may have different sizes (eg, different heights and/or surface areas) or may have the same dimensions (eg, the same height and/or surface areas). An advantage of the first side redistribution structure 106 is that the integrated circuit die 50 , other devices 550 , a second component 502 that is later attached, and the other side of the first side redistribution structure 106 . It provides electrical connectivity between components that are later attached.

일부 실시예에서, 제1 집적 회로 다이(50) 및 다른 디바이스는 특정 기능을 위해 원하는 바에 따라 그 안에 트랜지스터, 커패시터, 인덕터, 저항기, 금속배선 층, 외부 커넥터 등을 포함한다. 일부 실시예에서, 제1 집적 회로 다이(50) 및 다른 디바이스는 하나보다 많은 동일 타입의 디바이스를 포함할 수 있거나, 또는 상이한 디바이스를 포함할 수 있다. 도 5b는 단일 집적 회로 다이(50)를 도시하지만, 일부 실시예에서 하나, 둘, 또는 더 많은 집적 회로 다이(50) 또는 다른 디바이스가 제1면 재배선 구조물(106)에 부착될 수 있다. 도 5b는 쓰루 비아(126)보다 더 낮은 높이를 갖는 것으로서 집적 회로 다이(50)를 도시한다. 이는 또다른 집적 회로 다이를 포함할 제2 컴포넌트(502)를 수용하기 위한 것이다(나중의 도면에 도시되는 바와 같이). 예를 들어, 쓰루 비아(126)는 약 10 ㎛ 내지 약 200 ㎛의 높이(HTV)를 가질 수 있고, 집적 회로 다이(50)는 약 30 ㎛ 내지 약 250 ㎛의 높이(HIC1)를 가질 수 있다. 높이(HIC1)에 대한 높이(HTV)의 비는 약 0.04 내지 8일 수 있다.In some embodiments, the first integrated circuit die 50 and other devices include transistors, capacitors, inductors, resistors, metallization layers, external connectors, etc. therein as desired for specific functions. In some embodiments, the first integrated circuit die 50 and other devices may include more than one device of the same type, or may include different devices. 5B depicts a single integrated circuit die 50 , in some embodiments one, two, or more integrated circuit dies 50 or other devices may be attached to the first side redistribution structure 106 . 5B shows the integrated circuit die 50 as having a lower height than the through via 126 . This is to accommodate a second component 502 that will include another integrated circuit die (as shown in later figures). For example, the through via 126 may have a height H TV of about 10 μm to about 200 μm, and the integrated circuit die 50 may have a height H IC1 of about 30 μm to about 250 μm. can The ratio of the height H TV to the height H IC1 may be about 0.04 to 8.

도 5c에서, 제2 컴포넌트(502)의 제2면 재배선 구조물(206)이 제공되었고, 개구(224)에 추가적으로 개구(228)를 형성하도록 솔더 레지스트(222)가 형성되어 패터닝될 수 있다. 도 3a 내지 도 3h에 관련하여 상기에 설명된 바와 유사한 프로세스 및 재료가 사용될 수 있다. 개구(228)의 일부 또는 전부가 전도성 비아(218) 및 제2 금속 트레이스(220)의 일부를 노출시킬 수 있다. 개구(228)는 동일하거나 상이한 패터닝 방법을 사용하여 개구(224)와 동시에 또는 상이한 때에 형성될 수 있다. In FIG. 5C , the second side redistribution structure 206 of the second component 502 has been provided, and a solder resist 222 may be formed and patterned to form an opening 228 in addition to the opening 224 . Processes and materials similar to those described above with respect to FIGS. 3A-3H may be used. Some or all of the openings 228 may expose portions of the conductive vias 218 and the second metal traces 220 . Openings 228 may be formed at the same time as openings 224 or at different times using the same or different patterning methods.

도 5d에서, 제2 집적 회로 다이(50)가 개구(228)에서 제2면 재배선 구조물(206)에 부착될 수 있으며 전도성 비아(216) 및 제2 금속 트레이스(216)에 전기적으로 커플링될 수 있다. 본드 패드(528), 솔더 조인트(530) 및 언더필 재료(532)의 형성을 포함하여, 도 2g 및 도 5b에 관련하여 상기에 설명된 바와 유사한 프로세스 및 재료가 사용될 수 있다. 또한, 커넥터(226)가 개구(224)에 형성될 수 있다. In FIG. 5D , a second integrated circuit die 50 may be attached to the second side redistribution structure 206 at the opening 228 and electrically coupled to the conductive vias 216 and the second metal traces 216 . can be Processes and materials similar to those described above with respect to FIGS. 2G and 5B may be used, including the formation of bond pads 528 , solder joints 530 , and underfill material 532 . Also, a connector 226 may be formed in the opening 224 .

도 5e에서, 도 4a 내지 도 4c에 관련하여 상기에 설명된 바와 유사한 프로세스 및 재료를 사용하여, 제2면 재배선 구조물(206) 및 제2 집적 회로 다이(50)를 포함하는 제2 컴포넌트(502)가 제1 컴포넌트(501)에 부착되고, 제2 캐리어 기판(202)이 제거된다. 패키지(400)에 관련하여 상기에 설명된 바와 같이, 패키지(504)는 제2면 재배선 구조물(206)의 폭(W2)보다 더 큰 제1면 재배선 구조물(106)의 폭(W1)을 가질 수 있고, 도 4b 내지 도 4h에 도시된 바와 유사하게, 봉지재(310)는 제2면 재배선 구조물(206)의 측방향 에지 주변에 형성될 수 있다. 도시된 바와 같이, 제2 컴포넌트(502)는, 제1 집적 회로 다이(50) 및 제2 집적 회로 다이(50)의 배면들이 서로 마주하도록 부착된다. 제1 및 제2 집적 회로 다이(50) 중의 어느 하나 또는 둘 다는 배면을 따라 유전체 층(510)을 가질 수 있으며, 이는 그 다음 제1 및 제2 집적 회로 다이(50) 사이에 바로 개재될 수 있다. In FIG. 5E , a second component including a second side redistribution structure 206 and a second integrated circuit die 50 , using processes and materials similar to those described above with respect to FIGS. 4A-4C . 502 is attached to the first component 501 , and the second carrier substrate 202 is removed. As described above with respect to package 400 , package 504 has a width W1 of first side redistribution structure 106 that is greater than width W2 of second side redistribution structure 206 . 4B to 4H , the encapsulant 310 may be formed around a lateral edge of the second-side redistribution structure 206 . As shown, the second component 502 is attached such that the backsides of the first integrated circuit die 50 and the second integrated circuit die 50 face each other. Either or both of the first and second integrated circuit dies 50 may have a dielectric layer 510 along their backside, which may then be interposed directly between the first and second integrated circuit dies 50 . have.

계속해서 도 5e를 참조하면, 유전체 층(510)은 접착 층(70)과 유사할 수 있고 유사한 방식으로 적용될 수 있다. 제1 및 제2 집적 회로 다이(50)는, 제2 집적 회로 다이(50)의 적어도 일부가 제1 집적 회로 다이(50)의 적어도 일부 바로 위에 있도록 수직으로 정렬될 수 있다. 제1 및 제2 집적 회로 다이(50)는 서로 중심 일치될 수 있거나 또는 대안으로서 비대칭으로 위치될 수 있다. With continued reference to FIG. 5E , dielectric layer 510 may be similar to adhesive layer 70 and may be applied in a similar manner. The first and second integrated circuit die 50 may be vertically aligned such that at least a portion of the second integrated circuit die 50 is directly above at least a portion of the first integrated circuit die 50 . The first and second integrated circuit dies 50 may be centered with each other or alternatively may be positioned asymmetrically.

그 다음, 도 5f 내지 도 5h에 도시된 바와 같이 그리고 예컨대 도 4d 내지 도 4h와 관련하여 상기에 기재된 바와 유사한 방식으로, 패키지(504)가 완성될 수 있다. 도 5g에 도시된 바와 같이, 도 2g, 도 5b, 및 도 5d에 관련하여 상기에 설명된 바와 유사한 프로세스 및 재료를 사용하여 제3 집적 회로 다이(50)가 제1면 재배선 구조물(106)에 부착될 수 있다. 그리고, 도 5h에 도시된 바와 같이, 도 2g, 도 4h, 도 5b, 도 5d, 및 도 6h에 관련하여 상기에 설명된 바와 유사한 프로세스 및 재료를 사용하여 제4 집적 회로 다이(50) 및 추가의 디바이스(550)가 제2면 재배선 구조물(206)에 부착될 수 있다. 도시된 레이아웃의 이점은, 수평 방향으로 더 좁은 패키지(504)를 가능하게 하고 그리고/또는 추가의 디바이스를 부착하도록 제1면 재배선 구조물(106)을 따라 더 많은 공간을 제공하는 것을 포함한다. The package 504 may then be completed, as shown in FIGS. 5F-5H and in a similar manner, such as described above with respect to FIGS. 4D-4H . As shown in FIG. 5G , a third integrated circuit die 50 is fabricated using a process and materials similar to those described above with respect to FIGS. 2G , 5B, and 5D , the first side redistribution structure 106 . can be attached to and, as shown in FIG. 5H, a fourth integrated circuit die 50 and addition using processes and materials similar to those described above with respect to FIGS. 2G, 4H, 5B, 5D, and 6H. The device 550 of the may be attached to the second side redistribution structure 206 . Advantages of the illustrated layout include providing more space along the first side redistribution structure 106 to allow for a narrower package 504 in the horizontal direction and/or to attach additional devices.

상기에 설명된 바와 같이, 제1면 재배선 구조물(106)과 제2면 재배선 구조물(206)은 두께(T3)만큼 분리된다. 이 실시예에서, 두께(T3)는 약 60 ㎛ 내지 약 500 ㎛일 수 있다. 두께(T1)에 대한 두께(T3)의 비는 약 0.5 내지 약 25일 수 있다. 두께(T2)에 대한 두께(T3)의 비는 약 0.4 내지 약 25일 수 있다. 또한, 커넥터(226)는 약 10 ㎛ 내지 약 300 ㎛, 또는 약 150 ㎛인 높이(HC)를 가질 수 있다. 그리하여, 패키지(504)에서 쓰루 비아(126) 및 커넥터(226)의 총 높이는 약 50 ㎛ 내지 약 500 ㎛, 또는 약 250 ㎛일 수 있으며(총 높이는 커넥터(226)의 리플로우로 인해 높이(HC)와 높이(HTV)의 합보다 더 작을 수 있다는 것을 유의), 이는 제1면 재배선 구조물(106)과 제2면 재배선 구조물(206) 사이의 영역의 두께(T3)와 실질적으로 동일할 것이다. 도 5h에 더 도시된 바와 같이, 제1 집적 회로 다이(50) 및 제2 집적 회로 다이의 총 높이(각각 높이(HIC1) 및 높이(HIC2)에 유전체 층(510)의 두께를 더한 것)는 두께(T3)와 실질적으로 동일할 것이다. As described above, the first side redistribution structure 106 and the second side redistribution structure 206 are separated by a thickness T 3 . In this embodiment, the thickness T 3 may be about 60 μm to about 500 μm. The ratio of the thickness T 3 to the thickness T 1 may be about 0.5 to about 25. The ratio of the thickness T 3 to the thickness T 2 may be about 0.4 to about 25. Also, the connector 226 may have a height H C that is about 10 μm to about 300 μm, or about 150 μm. Thus, the total height of the through vias 126 and the connector 226 in the package 504 may be from about 50 μm to about 500 μm, or about 250 μm (the total height is the height H due to the reflow of the connector 226 ). Note that C ) and height (H TV ) may be less than the sum), which is substantially equal to the thickness T 3 of the region between the first side redistribution structure 106 and the second side redistribution structure 206 . will be the same as As further shown in FIG. 5H , the total height of the first integrated circuit die 50 and the second integrated circuit die (height H IC1 and H IC2 , respectively, plus the thickness of the dielectric layer 510 ) ) will be substantially equal to the thickness T 3 .

도 6a 내지 도 6h는 일부 실시예에 따라 패키지(604)를 형성하기 위한 중간 단계들의 단면도를 예시한다. 특히, 도면은 제1 컴포넌트(601)의 형성, 제2 컴포넌트(602)의 제1 컴포넌트(601)에의 부착 뿐만 아니라 패키지(604)를 형성하기 위한 추가의 프로세싱에 있어서의 특정 중간 단계들을 도시한다.6A-6H illustrate cross-sectional views of intermediate steps for forming a package 604 in accordance with some embodiments. In particular, the figure shows certain intermediate steps in the formation of the first component 601 , the attachment of the second component 602 to the first component 601 , as well as further processing to form the package 604 . .

도 6a에서, 제1 컴포넌트(601)의 제1면 재배선 구조물(106)이 제공되었고, 쓰루 비아(126) 및 본드 패드(128)가 제1면 재배선 구조물(106) 위에 형성되었다. 도 2a 내지 도 2f 및 도 5a에 관련하여 상기에 설명된 바와 유사한 프로세스 및 재료가 사용될 수 있다. 도 6b에서, 제1 집적 회로 다이(50)가 하나 이상의 다른 반도체 디바이스(650)와 함께 부착된다. 도 2g 및 도 5b에 관련하여 상기에 설명된 바와 유사한 프로세스 및 재료가 사용될 수 있다. 도 6b는 쓰루 비아(126)보다 더 낮은 높이를 갖는 것으로서 집적 회로 다이(50)를 도시한다. 이는 또다른 집적 회로 다이(50)를 포함할 제2 컴포넌트(602)를 수용하기 위한 것이다. 예를 들어, 쓰루 비아(126)는 약 10 ㎛ 내지 약 300 ㎛의 높이(HTV)를 가질 수 있고, 집적 회로 다이(50)는 약 30 ㎛ 내지 약 300 ㎛의 높이(HIC1)를 가질 수 있다. 높이(HIC1)에 대한 높이(HTV)의 비는 약 0.03 내지 약 10일 수 있다.In FIG. 6A , a first side redistribution structure 106 of a first component 601 has been provided, and a through via 126 and bond pads 128 have been formed over the first side redistribution structure 106 . Processes and materials similar to those described above with respect to FIGS. 2A-2F and 5A may be used. In FIG. 6B , a first integrated circuit die 50 is attached along with one or more other semiconductor devices 650 . Processes and materials similar to those described above with respect to FIGS. 2G and 5B may be used. 6B shows the integrated circuit die 50 as having a lower height than the through via 126 . This is to accommodate a second component 602 that will include another integrated circuit die 50 . For example, the through via 126 may have a height H TV of about 10 μm to about 300 μm, and the integrated circuit die 50 may have a height H IC1 of about 30 μm to about 300 μm. can The ratio of the height H TV to the height H IC1 may be about 0.03 to about 10.

도 6c에서, 제2 컴포넌트(602)의 제2면 재배선 구조물(206)이 제공되었고, 개구(224)에 추가적으로 개구(228)를 형성하도록 솔더 레지스트(222)가 형성되어 패터닝될 수 있다. 도 3a 내지 도 3h 및 도 5c에 관련하여 상기에 설명된 바와 유사한 프로세스 및 재료가 사용될 수 있다. 개구(228)의 일부 또는 전부가 전도성 비아(218) 및 제2 금속 트레이스(220)의 일부를 노출시킬 수 있다. 개구(228)는 동일하거나 상이한 패터닝 방법을 사용하여 개구(224)와 동시에 또는 상이한 때에 형성될 수 있다.In FIG. 6C , the second side redistribution structure 206 of the second component 602 has been provided, and a solder resist 222 may be formed and patterned to form an opening 228 in addition to the opening 224 . Processes and materials similar to those described above with respect to FIGS. 3A-3H and 5C may be used. Some or all of the openings 228 may expose portions of the conductive vias 218 and the second metal traces 220 . Openings 228 may be formed at the same time as openings 224 or at different times using the same or different patterning methods.

도 6d에서, 제2 집적 회로 다이(50)가 개구(228)에서 제2면 재배선 구조물(206)에 부착될 수 있으며 전도성 비아(216) 및 제2 금속 트레이스(216)에 전기적으로 커플링될 수 있다. 본드 패드(628), 솔더 조인트(630) 및 언더필 재료(632)의 형성을 포함하여, 도 2g ,도 5b, 도 5d 및 도 6b에 관련하여 상기에 설명된 바와 유사한 프로세스 및 재료가 사용될 수 있다.In FIG. 6D , a second integrated circuit die 50 may be attached to the second side redistribution structure 206 at the opening 228 and electrically coupled to the conductive vias 216 and the second metal traces 216 . can be Processes and materials similar to those described above with respect to FIGS. 2G , 5B , 5D and 6B may be used, including the formation of bond pads 628 , solder joints 630 , and underfill material 632 . .

도 6e에서, 제2면 재배선 구조물(206) 및 제2 집적 회로 다이(50)를 포함하는 제2 컴포넌트(602)가 제1 컴포넌트(601)에 부착되고, 도 4a 내지 도 4c 및 도 5e에 관련하여 상기에 설명된 바와 유사한 프로세스 및 재료를 사용하여 제2 캐리어 기판(202)이 제거된다. 패키지(400 및 504)에 관련하여 상기에 설명된 바와 같이, 패키지(604)는 제2면 재배선 구조물(206)의 폭(W2)보다 더 큰 제1면 재배선 구조물(106)의 폭(W1)을 가질 수 있고, 도 4b 내지 도 4h에 도시된 바와 유사하게 봉지재(310)는 제2면 재배선 구조물(206)의 측방향 에지 주변에 형성될 수 있다. 도시된 바와 같이, 제2 컴포넌트(602)는, 제2 집적 회로 다이(50)가 제1 집적 회로 다이(50)로부터 측방향으로 변위되도록(displaced) 부착된다. 측방향 변위는 제2 집적 회로 다이(50)의 배면 표면이 제1 집적 회로 다이(50)의 배면 표면보다 더 낮을 수 있게 하지만, 배면 표면은 동일 높이에 있을 수 있거나 또는 제2 집적 회로 다이(50)의 배면 표면이 제1 집적 회로 다이(50)의 배면 표면보다 더 높을 수 있다. In FIG. 6E , a second component 602 including a second side redistribution structure 206 and a second integrated circuit die 50 is attached to the first component 601 , in FIGS. 4A-4C and 5E . The second carrier substrate 202 is removed using a process and material similar to that described above with respect to . As described above with respect to packages 400 and 504 , package 604 has a width ( W2 ) of first side redistribution structure 106 greater than width W2 of second side redistribution structure 206 . W1), and similarly as shown in FIGS. 4B to 4H , the encapsulant 310 may be formed around the lateral edge of the second-side redistribution structure 206 . As shown, the second component 602 is attached such that the second integrated circuit die 50 is laterally displaced from the first integrated circuit die 50 . The lateral displacement allows the back surface of the second integrated circuit die 50 to be lower than the back surface of the first integrated circuit die 50, but the back surface may be flush or the second integrated circuit die ( The back surface of 50 may be higher than the back surface of the first integrated circuit die 50 .

그 다음, 도 6f 내지 도 6h에 도시된 바와 같이 그리고 예컨대 도 4d 내지 도 4h 및 도 5f 내지 도 5h와 관련하여 상기에 기재된 바와 유사한 방식으로, 패키지(604)가 완성될 수 있다. 도 6g에 도시된 바와 같이, 도 2g, 도 5b, 도 5d, 도 6b 및 도 6d에 관련하여 상기에 설명된 바와 유사한 프로세스 및 재료를 사용하여 제3 집적 회로 다이(50)가 제1면 재배선 구조물(106)에 부착될 수 있다. 또한, 나중에 다른 집적 회로 디바이스 또는 패키지를 부착할 수단을 제공하도록 외부 커넥터(610)가 형성될 수 있다. 그리고, 도 6h에 도시된 바와 같이, 도 2g, 도 4h, 도 5b, 도 5d, 도 5g, 도 6b, 도 6d 및 도 6g에 관련하여 상기에 설명된 바와 유사한 프로세스 및 재료를 사용하여 제4 집적 회로 다이(50) 및 추가의 디바이스(650)가 제2면 재배선 구조물(206)에 부착될 수 있다. 이 레이아웃의 이점은, 더 얇은 패키지(604)를 가능하게 하는 것을 포함한다. The package 604 may then be completed, as shown in FIGS. 6F-6H and in a manner similar to that described above with respect to, for example, FIGS. 4D-4H and 5F-5H . As shown in FIG. 6G, a third integrated circuit die 50 is first grown using processes and materials similar to those described above with respect to FIGS. 2G, 5B, 5D, 6B, and 6D. It may be attached to the ship structure 106 . Additionally, external connectors 610 may be formed to provide a means to later attach other integrated circuit devices or packages. And, as shown in Fig. 6H, a fourth method using similar processes and materials as described above with respect to Figs. 2G, 4H, 5B, 5D, 5G, 6B, 6D and 6G An integrated circuit die 50 and additional devices 650 may be attached to the second side redistribution structure 206 . Advantages of this layout include enabling a thinner package 604 .

상기에 설명된 바와 같이, 제1면 재배선 구조물(106)과 제2면 재배선 구조물(206)은 두께(T3)만큼 분리된다. 이 실시예에서, 두께(T3)는 약 50 ㎛ 내지 약 500 ㎛일 수 있다. 두께(T1)에 대한 두께(T3)의 비는 약 0.4 내지 약 25일 수 있다. 두께(T2)에 대한 두께(T3)의 비는 약 0.03 내지 약 10일 수 있다. 또한, 커넥터(226)는 약 10 ㎛ 내지 약 300 ㎛, 또는 약 150 ㎛인 높이(HC)를 가질 수 있다. 그리하여, 패키지(504)에서 쓰루 비아(126) 및 커넥터(226)의 총 높이는 약 100 ㎛ 내지 약 600 ㎛, 또는 약 300 ㎛일 수 있으며(총 높이는 커넥터(226)의 리플로우로 인해 높이(HC)와 높이(HTV)의 합보다 더 작을 수 있다는 것을 유의), 이는 제1면 재배선 구조물(106)과 제2면 재배선 구조물(206) 사이의 영역의 두께(T3)와 실질적으로 동일할 것이다. 도 5h에 더 도시된 바와 같이, 두께(T3)는 제1 집적 회로 다이(50) 및 제2 집적 회로 다이(각각 높이(HIC1) 및 높이(HIC2))를 적층하기 위할 총 높이보다 더 작다. 다르게 말하자면, 서로에 관련하여 제1 및 제2 집적 회로 다이(50)의 측방향 변위는 더 적은 두께(T3)를 가능하게 한다. 일부 실시예에서, 제1면 재배선 구조물(106)과 제2 집적 회로 다이(50)의 배면 표면 사이의 봉지재(310)의 두께는 약 30 ㎛ 내지 약 300 ㎛, 예컨대 약 150 ㎛일 수 있다. 또한, 제2면 재배선 구조물(206)과 제1 집적 회로 다이(50) 사이의 봉지재(310)의 두께는 약 30 ㎛ 내지 약 300 ㎛, 예컨대 약 150 ㎛일 수 있다.As described above, the first side redistribution structure 106 and the second side redistribution structure 206 are separated by a thickness T 3 . In this embodiment, the thickness T 3 may be about 50 μm to about 500 μm. The ratio of the thickness T 3 to the thickness T 1 may be about 0.4 to about 25. A ratio of the thickness T 3 to the thickness T 2 may be about 0.03 to about 10. Also, the connector 226 may have a height H C that is about 10 μm to about 300 μm, or about 150 μm. Thus, the total height of the through vias 126 and the connector 226 in the package 504 may be from about 100 μm to about 600 μm, or about 300 μm (the total height is the height H due to the reflow of the connector 226 ). Note that C ) and height (H TV ) may be less than the sum), which is substantially equal to the thickness T 3 of the region between the first side redistribution structure 106 and the second side redistribution structure 206 . will be the same as As further shown in FIG. 5H , the thickness T 3 is greater than the total height for stacking the first integrated circuit die 50 and the second integrated circuit die (height H IC1 and height H IC2 , respectively). smaller In other words, the lateral displacement of the first and second integrated circuit die 50 with respect to each other enables a smaller thickness T 3 . In some embodiments, the thickness of the encapsulant 310 between the first side redistribution structure 106 and the back surface of the second integrated circuit die 50 may be from about 30 μm to about 300 μm, such as about 150 μm. have. Also, the thickness of the encapsulant 310 between the second-side redistribution structure 206 and the first integrated circuit die 50 may be about 30 μm to about 300 μm, for example, about 150 μm.

실시예는 집적 회로를 위한 SiP(system in package) 구조물에 대한 이점을 달성할 수 있다. 예를 들어, 양면 라우팅(예컨대, 제2면 및 제1면 재배선 구조물)은 각각의 라우킹 면을 더 얇게 할 수 있고 더 얇은 전체 반도체 패키지를 가능하게 하면서 전체 패키지 휨을 감소시킨다. 또한, 라우팅 구조물 중의 하나에 사용되는 캐리어-타입 기판은 더 큰 구조적 지지를 제공하며, 또한 전체 패키지 휨을 감소시킨다. 또한, 기재된 방법은 매립된 집적 회로 다이 및 다른 디바이스의 레이아웃에 있어서 다목적성을 제공한다. 실제로, 집적 회로 다이를 수직으로 적층하는 것은, 제1면 재배선 구조물에 부착될 추가의 디바이스를 위한 충분한 공간을 제공할 수 있는 반면에, 집적 회로 다이를 측방향으로 변위시키는 것은 전체 더 얇은 패키지 구조물을 가능하게 할 수 있다. 제1면 재배선 구조물은 제2면 재배선 구조물보다 더 넓을 수 있으며, 이는 패키지를 강화시키고 전체 패키지 휨을 더 감소시키도록 제2면 재배선 구조물 주변에 봉지재가 형성될 수 있게 한다는 것을 또한 알아야 한다. 실제로, 기재된 방법은 제2면 재배선 구조물의 외부 표면을 따라 크리프를 성형할 우려가 없는 방식으로 봉지재의 적용을 제공한다. 이는 추가의 디바이스가 미량의 봉지재로부터의 간섭 없이 제2면 재배선 구조물의 외부 표면에 부착될 수 있음을 보장한다.Embodiments may achieve advantages over system in package (SiP) structures for integrated circuits. For example, double-sided routing (eg, second side and first side redistribution structures) can make each routing side thinner and reduce overall package warpage while allowing a thinner overall semiconductor package. In addition, the carrier-type substrate used in one of the routing structures provides greater structural support and also reduces overall package warpage. The disclosed method also provides versatility in the layout of embedded integrated circuit dies and other devices. Indeed, vertically stacking the integrated circuit dies may provide sufficient space for additional devices to be attached to the first side redistribution structure, while laterally displacing the integrated circuit dies may result in an overall thinner package. structures can be made possible. It should also be noted that the first side redistribution structure may be wider than the second side redistribution structure, which allows an encapsulant to be formed around the second side redistribution structure to strengthen the package and further reduce overall package warpage. . Indeed, the disclosed method provides for application of the encapsulant in a manner that is free from fear of forming creep along the outer surface of the second side redistribution structure. This ensures that additional devices can be attached to the outer surface of the second side redistribution structure without interference from trace amounts of encapsulant.

실시예에서, 제1 컴포넌트를 제2 컴포넌트에 부착함으로써 반도체 패키지가 제조된다. 제1 컴포넌트는 기판 위에 제1 재배선 구조물을 형성함으로써 어셈블된다. 그 다음, 제1 재배선 구조물 위에 쓰루 비아가 형성되고, 제1 재배선 구조물 활성 면 아래로 다이가 부착된다. 제2 컴포넌트는 제2 재배선 구조물을 포함하며, 제2 재배선 구조물은 그 다음 쓰루 비아에 부착된다. 제1 재배선 구조물과 제2 재배선 구조물 사이에 그리고 제2 컴포넌트의 측부 주변에 더 몰딩 컴파운드가 퇴적된다. In an embodiment, a semiconductor package is fabricated by attaching a first component to a second component. A first component is assembled by forming a first redistribution structure over a substrate. A through via is then formed over the first redistribution structure, and a die is attached below the active surface of the first redistribution structure. The second component includes a second redistribution structure, which is then attached to the through via. A further molding compound is deposited between the first redistribution structure and the second redistribution structure and around the side of the second component.

다른 실시예에서, 제1 컴포넌트를 형성하고, 제2 패키지 컴포넌트를 형성하고, 제2 컴포넌트를 제1 컴포넌트에 부착함으로써, 반도체 패키지가 제조된다. 제1 컴포넌트는, 기판 위에 재배선 구조물을 형성하고, 재배선 구조물 위에 쓰루 비아를 형성하고, 재배선 구조물에 다이를 부착함으로써 형성된다. 제2 컴포넌트는, 또다른 기판 위에 또다른 재배선 구조물을 형성하고, 이 재배선 구조물 위에 커넥터를 형성하고, 이 재배선 구조물에 또다른 다이를 부착함으로써 형성된다. 제2 컴포넌트는 이를 플립오버하고 커넥터를 리플로우함으로써 쓰루 비아에 커넥터를 본딩함으로써 부착된다. 부착 후에, 제2 컴포넌트로부터 기판이 제거된다. In another embodiment, a semiconductor package is fabricated by forming a first component, forming a second package component, and attaching the second component to the first component. The first component is formed by forming a redistribution structure over a substrate, forming a through via over the redistribution structure, and attaching a die to the redistribution structure. The second component is formed by forming another redistribution structure over another substrate, forming a connector over the redistribution structure, and attaching another die to the redistribution structure. The second component is attached by bonding the connector to the through via by flipping it over and reflowing the connector. After attachment, the substrate is removed from the second component.

또 다른 실시예에서, 반도체 패키지는 기판 상의 제1 재배선 구조물 및 제1 재배선 구조물의 상부 상에 적층된 제2 재배선 구조물을 포함한다. 제2 재배선 구조물은 전도성 비아를 포함한다. 제1 재배선 구조물은 제2 재배선 구조물보다 더 넓다. 쓰루 비아가 제1 재배선 구조물을 제2 재배선 구조물에 전기적으로 커플링한다. 제1 재배선 구조물에 다이가 부착되며 다이의 활성 면이 제1 재배선 구조물과 마주하고 이에 전기적으로 커플링된다. 제2 재배선 구조물에 또다른 다이가 부착되며 이 다이의 활성 면이 제2 재배선 구조물과 마주하고 이에 전기적으로 커플링된다. 봉지재가 제1 재배선 구조물과 제2 재배선 구조물 사이의 영역을 채운다. In another embodiment, a semiconductor package includes a first redistribution structure on a substrate and a second redistribution structure stacked on an upper portion of the first redistribution structure. The second redistribution structure includes conductive vias. The first redistribution structure is wider than the second redistribution structure. A through via electrically couples the first redistribution structure to the second redistribution structure. A die is attached to the first redistribution structure and an active side of the die faces and is electrically coupled to the first redistribution structure. Another die is attached to the second redistribution structure and an active side of the die faces and is electrically coupled to the second redistribution structure. An encapsulant fills an area between the first redistribution structure and the second redistribution structure.

전술한 바는 당해 기술 분야에서의 숙련자들이 본 개시의 양상을 보다 잘 이해할 수 있도록 여러 실시예들의 특징을 나타낸 것이다. 당해 기술 분야에서의 숙련자라면, 여기에서 소개된 실시예와 동일한 목적을 수행하고/하거나 동일한 이점을 달성하기 위해 다른 프로세스 및 구조를 설계 또는 수정하기 위한 기반으로서 본 개시를 용이하게 사용할 수 있다는 것을 알아야 한다. 당해 기술 분야에서의 숙련자는 또한, 이러한 등가의 구성이 본 개시의 진정한 의미 및 범위로부터 벗어나지 않으며, 본 개시의 진정한 의미 및 범위에서 벗어나지 않고서 다양한 변경, 치환 및 대안을 행할 수 있다는 것을 알아야 한다.The foregoing has set forth features of several embodiments so that those skilled in the art may better understand aspects of the present disclosure. Those skilled in the art should appreciate that they can readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages as the embodiments introduced herein. do. Those skilled in the art should also appreciate that such equivalent constructions do not depart from the true meaning and scope of the present disclosure, and that various changes, substitutions and alterations may be made without departing from the true meaning and scope of the present disclosure.

실시예Example

실시예 1. 반도체 패키지를 형성하는 방법에 있어서, Embodiment 1. A method of forming a semiconductor package, comprising:

제1 컴포넌트를 형성하는 단계로서, forming a first component, comprising:

제1 기판 위에 제1 재배선 구조물을 형성하는 단계;forming a first redistribution structure on the first substrate;

상기 제1 재배선 구조물 위에 쓰루 비아를 형성하는 단계:forming a through via on the first redistribution structure;

상기 제1 재배선 구조물에 제1 다이를 부착하는 단계 - 상기 제1 다이의 활성 면이 상기 제1 재배선 구조물과 마주하며 상기 제1 재배선 구조물에 전기적으로 커플링됨 - attaching a first die to the first redistribution structure, an active side of the first die facing the first redistribution structure and electrically coupled to the first redistribution structure;

를 포함하는, 상기 제1 컴포넌트를 형성하는 단계;forming the first component comprising;

상기 쓰루 비아에 제2 컴포넌트를 부착하는 단계 - 상기 제2 컴포넌트는 제2 기판에 부착된 제2 재배선 구조물을 포함함 - ; 및attaching a second component to the through via, the second component including a second redistribution structure attached to a second substrate; and

상기 제2 컴포넌트를 부착한 후에, 상기 제1 재배선 구조물과 상기 제2 재배선 구조물 사이에 몰딩 컴파운드를 퇴적하는 단계 - 상기 몰딩 컴파운드의 일부가 상기 제2 재배선 구조물의 측방향 에지(lateral edges)를 둘러쌈 - after attaching the second component, depositing a molding compound between the first redistribution structure and the second redistribution structure, wherein a portion of the molding compound forms lateral edges of the second redistribution structure. ) enclosing -

를 포함하는, 반도체 패키지를 형성하는 방법. A method of forming a semiconductor package comprising:

실시예 2. 실시예 1에 있어서,Example 2. The method of Example 1,

상기 제2 기판 위에 상기 제2 재배선 구조물을 형성하는 단계:forming the second redistribution structure on the second substrate;

상기 제2 재배선 구조물에 제2 다이를 부착하는 단계 - 상기 제2 다이의 활성 면이 상기 제2 재배선 구조물과 마주하며 상기 제2 재배선 구조물에 전기적으로 커플링됨 - ; 및attaching a second die to the second redistribution structure, an active side of the second die facing the second redistribution structure and electrically coupled to the second redistribution structure; and

상기 제2 재배선 구조물 위에 솔더 볼을 퇴적하는 단계depositing solder balls over the second redistribution structure;

를 더 포함하는, 반도체 패키지를 형성하는 방법. Further comprising a method of forming a semiconductor package.

실시예 3. 실시예 2에 있어서, 상기 제2 컴포넌트를 부착하는 단계는, 상기 쓰루 비아를 상기 제2 재배선 구조물에 전기적으로 커플링하도록 상기 솔더 볼을 리플로우하는 단계를 포함하는 것인, 반도체 패키지를 형성하는 방법. Embodiment 3. The method of embodiment 2, wherein attaching the second component comprises reflowing the solder ball to electrically couple the through via to the second redistribution structure. A method of forming a semiconductor package.

실시예 4. 실시예 2에 있어서, 상기 제2 컴포넌트를 부착하는 단계는, 상기 제2 다이가 상기 제1 다이 바로 위에 있도록 상기 제2 컴포넌트를 부착하는 단계를 포함하며, 상기 제1 다이의 배면이 상기 제2 다이의 배면과 마주하는 것인, 반도체 패키지를 형성하는 방법. Embodiment 4. The method of embodiment 2, wherein attaching the second component comprises attaching the second component such that the second die is directly above the first die, the back side of the first die and facing the backside of the second die.

실시예 5. 실시예 2에 있어서, 상기 제2 컴포넌트를 부착하는 단계는, 상기 제2 다이가 상기 제1 다이로부터 측방향으로 변위되도록(displaced) 상기 제2 컴포넌트를 부착하는 단계를 포함하며, 상기 제1 다이의 측방향 측부가 상기 제2 다이의 측방향 측부와 마주하는 것인, 반도체 패키지를 형성하는 방법. Embodiment 5. The method of embodiment 2, wherein attaching the second component comprises attaching the second component such that the second die is laterally displaced from the first die; and a lateral side of the first die faces a lateral side of the second die.

실시예 6. 실시예 2에 있어서, 상기 제2 재배선 구조물을 형성하는 단계는, Embodiment 6. The method of Embodiment 2, wherein the forming of the second redistribution structure comprises:

상기 제2 기판 위에 제1 금속 트레이스를 형성하는 단계;forming a first metal trace on the second substrate;

상기 제1 금속 트레이스 위에 ABF(Ajinomoto Build-up Film)를 퇴적하는 단계; depositing an Ajinomoto Build-up Film (ABF) over the first metal trace;

상기 ABF에 개구를 레이저 드릴링하는 단계;laser drilling an opening in the ABF;

상기 개구에 전도성 비아를 형성하는 단계; 및forming a conductive via in the opening; and

상기 전도성 비아 위에 제2 금속 트레이스를 형성하는 단계forming a second metal trace over the conductive via;

를 포함하는 것인, 반도체 패키지를 형성하는 방법. A method of forming a semiconductor package comprising a.

실시예 7. 실시예 1에 있어서,Example 7. The method of Example 1,

상기 제2 기판을 제거하는 단계; 및removing the second substrate; and

상기 제2 기판을 제거한 후에, 상기 제2 재배선 구조물에 수동 소자를 부착하는 단계attaching a passive element to the second redistribution structure after removing the second substrate;

를 더 포함하는, 반도체 패키지를 형성하는 방법. Further comprising a method of forming a semiconductor package.

실시예 8. 반도체 패키지에 있어서, Embodiment 8. A semiconductor package, comprising:

제1 컴포넌트로서, As a first component,

제1 재배선 구조물; a first redistribution structure;

상기 제1 재배선 구조물 위에 배치된 쓰루 비아; 및a through via disposed over the first redistribution structure; and

상기 제1 재배선 구조물에 부착된 제1 다이 - 상기 제1 다이의 활성 면이 상기 제1 재배선 구조물과 마주함 - a first die attached to the first redistribution structure, an active side of the first die facing the first redistribution structure;

를 포함하는, 상기 제1 컴포넌트; the first component comprising;

제2 컴포넌트로서, As a second component,

제2 재배선 구조물;a second redistribution structure;

상기 쓰루 비아를 상기 제2 재배선 구조물에 커플링하는 커넥터; 및a connector coupling the through via to the second redistribution structure; and

상기 제2 재배선 구조물의 제1 면에 부착된 제2 다이 - 상기 제2 다이의 활성 면이 상기 제2 재배선 구조물과 마주함 - a second die attached to a first side of the second redistribution structure, an active side of the second die facing the second redistribution structure;

를 포함하는, 상기 제2 컴포넌트; 및the second component comprising; and

상기 제1 재배선 구조물과 상기 제2 재배선 구조물 사이에 배치된 봉지재(encapsulant)An encapsulant disposed between the first redistribution structure and the second redistribution structure

를 포함하는, 반도체 패키지. A semiconductor package comprising a.

실시예 9. 실시예 8에 있어서, 상기 봉지재는 상기 제1 다이 및 상기 제2 다이의 측방향 에지를 봉지하는 것인, 반도체 패키지. Embodiment 9 The semiconductor package of embodiment 8, wherein the encapsulant encapsulates lateral edges of the first die and the second die.

실시예 10. 실시예 9에 있어서, 상기 봉지재는 상기 제2 재배선 구조물의 측방향 에지에 접촉하는 것인, 반도체 패키지. Embodiment 10 The semiconductor package of Embodiment 9, wherein the encapsulant contacts a lateral edge of the second redistribution structure.

실시예 11. 실시예 8에 있어서, Example 11. The method of Example 8,

상기 제2 재배선 구조물의 제2 면 위에 배치된 패시베이션 층 - 상기 제2 면은 상기 제1 면의 반대 편임 - ; 및a passivation layer disposed over a second side of the second redistribution structure, the second side opposite the first side; and

상기 제2 재배선 구조물의 제2 면 상의 상기 패시베이션 층 위에 배치된 제3 다이a third die disposed over the passivation layer on the second side of the second redistribution structure

를 더 포함하는, 반도체 패키지. Further comprising a, semiconductor package.

실시예 12. 실시예 8에 있어서, 평면도에서, 상기 제2 다이의 일부는 상기 제1 다이의 일부와 중첩하는 것인, 반도체 패키지. Embodiment 12 The semiconductor package of embodiment 8, wherein in a top view, a portion of the second die overlaps a portion of the first die.

실시예 13. 실시예 8에 있어서, 상기 제2 다이는 상기 제1 다이로부터 측방향으로 변위되는 것인, 반도체 패키지. Embodiment 13 The semiconductor package of embodiment 8, wherein the second die is laterally displaced from the first die.

실시예 14. 실시예 8에 있어서, 상기 제2 재배선 구조물의 제2 면에 부착된 수동 소자를 더 포함하는, 반도체 패키지. Embodiment 14. The semiconductor package of embodiment 8, further comprising a passive element attached to the second surface of the second redistribution structure.

실시예 15. 반도체 패키지에 있어서, Example 15. A semiconductor package comprising:

제1 폭을 갖는 제1 재배선 구조물; a first redistribution structure having a first width;

상기 제1 재배선 구조물 위에 배치된 제2 재배선 구조물 - 상기 제2 재배선 구조물은 제1 금속 트레이스로부터 제2 금속 트레이스로 연장하는 전도성 비아를 포함하고, 상기 제1 금속 트레이스는 상기 제2 재배선 구조물의 제1 면을 따라 배치되며, 상기 제2 금속 트레이스는 상기 제2 재배선 구조물의 제2 면을 따라 배치되고, 상기 제2 재배선 구조물은 제2 폭을 가지며, 상기 제1 폭은 상기 제2 폭보다 더 큼 - ; a second redistribution structure disposed over the first redistribution structure, wherein the second redistribution structure includes conductive vias extending from a first metal trace to a second metal trace, wherein the first metal trace comprises the second redistribution structure It is disposed along a first surface of the wire structure, the second metal trace is disposed along a second surface of the second redistribution structure, the second redistribution structure has a second width, and the first width is greater than the second width;

상기 제1 재배선 구조물에 부착된 제1 다이 - 상기 제1 다이의 제1 활성 면은 상기 제1 재배선 구조물과 마주하며 상기 제1 재배선 구조물에 전기적으로 커플링됨 - ; a first die attached to the first redistribution structure, a first active side of the first die facing the first redistribution structure and electrically coupled to the first redistribution structure;

상기 제2 재배선 구조물에 부착된 제2 다이 - 상기 제2 다이의 제2 활성 면은 상기 제2 재배선 구조물과 마주하며 상기 제2 재배선 구조물에 전기적으로 커플링됨 - ;a second die attached to the second redistribution structure, a second active side of the second die facing the second redistribution structure and electrically coupled to the second redistribution structure;

상기 제1 재배선 구조물과 상기 제2 재배선 구조물 사이에 바로 개재된 봉지재; 및an encapsulant directly interposed between the first redistribution structure and the second redistribution structure; and

상기 봉지재를 통해 연장하는 쓰루 비아 - 상기 쓰루 비아는 상기 제1 재배선 구조물을 상기 제2 재배선 구조물에 전기적으로 커플링함 - a through via extending through the encapsulant, the through via electrically coupling the first redistribution structure to the second redistribution structure;

를 포함하는, 반도체 패키지. A semiconductor package comprising a.

실시예 16. 실시예 15에 있어서, 상기 봉지재는 상기 제1 다이의 측방향 에지의 전체, 상기 제2 다이의 측방향 에지의 전체, 및 상기 제2 재배선 구조물의 측방향 에지의 적어도 일부에 접촉하는 것인, 반도체 패키지.Embodiment 16 The encapsulant of embodiment 15, wherein the encapsulant is disposed on all of the lateral edges of the first die, all of the lateral edges of the second die, and at least a portion of the lateral edges of the second redistribution structure. contact, the semiconductor package.

실시예 17. 실시예 15에 있어서, 상기 제1 재배선 구조물은 팬아웃(fan-out) 재배선 구조물인 것인, 반도체 패키지.Embodiment 17 The semiconductor package of Embodiment 15, wherein the first redistribution structure is a fan-out redistribution structure.

실시예 18. 실시예 15에 있어서, 상기 전도성 비아는 상기 쓰루 비아 바로 위에 배치되며 상기 쓰루 비아에 전기적으로 커플링되는 것인, 반도체 패키지.Embodiment 18. The semiconductor package of embodiment 15, wherein the conductive via is disposed directly over the through via and electrically coupled to the through via.

실시예 19. 실시예 15에 있어서, 상기 제1 다이는 상기 제1 활성 면과는 반대 편인 제1 배면을 포함하고, 상기 제2 다이는 상기 제2 활성 면과는 반대 편인 제2 배면을 포함하며, 상기 제2 배면은, 상기 제1 배면에서 상기 제1 재배선 구조물까지보다 더 상기 제1 재배선 구조물에 가까운 것인, 반도체 패키지.Embodiment 19. The first die of embodiment 15, wherein the first die comprises a first back side opposite the first active side, and the second die comprises a second back side opposite the second active side. and the second rear surface is closer to the first redistribution structure than from the first rear surface to the first redistribution structure.

실시예 20. 실시예 15에 있어서, 상기 제1 재배선 구조물과는 반대 편인 상기 제2 재배선 구조물의 면에 부착되며 전기적으로 커플링된 수동 소자를 더 포함하는, 반도체 패키지.Embodiment 20 The semiconductor package of Embodiment 15, further comprising a passive element attached to and electrically coupled to a side of the second redistribution structure opposite to the first redistribution structure.

Claims (10)

반도체 패키지를 형성하는 방법에 있어서,
제1 컴포넌트를 형성하는 단계로서,
제1 기판 위에 제1 재배선 구조물을 형성하는 단계;
상기 제1 재배선 구조물 위에 쓰루 비아를 형성하는 단계:
상기 제1 재배선 구조물에 제1 다이를 부착하는 단계 - 상기 제1 다이의 활성 면이 상기 제1 재배선 구조물과 마주하며 상기 제1 재배선 구조물에 전기적으로 커플링됨 -
를 포함하는, 상기 제1 컴포넌트를 형성하는 단계;
상기 쓰루 비아에 제2 컴포넌트를 부착하는 단계 - 상기 제2 컴포넌트는 제2 기판에 부착된 제2 재배선 구조물을 포함함 - ; 및
상기 제2 컴포넌트를 부착한 후에, 상기 제1 재배선 구조물과 상기 제2 재배선 구조물 사이에 몰딩 컴파운드를 퇴적하는 단계 - 상기 몰딩 컴파운드의 일부가 상기 제2 재배선 구조물의 측방향 에지(lateral edges)를 둘러쌈 -
를 포함하는, 반도체 패키지를 형성하는 방법.
A method of forming a semiconductor package, comprising:
forming a first component, comprising:
forming a first redistribution structure on the first substrate;
forming a through via on the first redistribution structure;
attaching a first die to the first redistribution structure, an active side of the first die facing the first redistribution structure and electrically coupled to the first redistribution structure;
forming the first component comprising;
attaching a second component to the through via, the second component including a second redistribution structure attached to a second substrate; and
after attaching the second component, depositing a molding compound between the first redistribution structure and the second redistribution structure, wherein a portion of the molding compound forms lateral edges of the second redistribution structure. ) enclosing -
A method of forming a semiconductor package comprising:
청구항 1에 있어서,
상기 제2 기판 위에 상기 제2 재배선 구조물을 형성하는 단계:
상기 제2 재배선 구조물에 제2 다이를 부착하는 단계 - 상기 제2 다이의 활성 면이 상기 제2 재배선 구조물과 마주하며 상기 제2 재배선 구조물에 전기적으로 커플링됨 - ; 및
상기 제2 재배선 구조물 위에 솔더 볼을 퇴적하는 단계
를 더 포함하는, 반도체 패키지를 형성하는 방법.
The method according to claim 1,
forming the second redistribution structure on the second substrate;
attaching a second die to the second redistribution structure, an active side of the second die facing the second redistribution structure and electrically coupled to the second redistribution structure; and
depositing solder balls over the second redistribution structure;
Further comprising a method of forming a semiconductor package.
청구항 2에 있어서, 상기 제2 컴포넌트를 부착하는 단계는, 상기 쓰루 비아를 상기 제2 재배선 구조물에 전기적으로 커플링하도록 상기 솔더 볼을 리플로우하는 단계를 포함하는 것인, 반도체 패키지를 형성하는 방법. 3. The method of claim 2, wherein attaching the second component comprises reflowing the solder ball to electrically couple the through via to the second redistribution structure. Way. 청구항 2에 있어서, 상기 제2 컴포넌트를 부착하는 단계는, 상기 제2 다이가 상기 제1 다이 바로 위에 있도록 상기 제2 컴포넌트를 부착하는 단계를 포함하며, 상기 제1 다이의 배면이 상기 제2 다이의 배면과 마주하는 것인, 반도체 패키지를 형성하는 방법. 3. The method of claim 2, wherein attaching the second component comprises attaching the second component such that the second die is directly above the first die, wherein the backside of the first die is the second die A method of forming a semiconductor package that faces the rear surface of the. 청구항 2에 있어서, 상기 제2 컴포넌트를 부착하는 단계는, 상기 제2 다이가 상기 제1 다이로부터 측방향으로 변위되도록(displaced) 상기 제2 컴포넌트를 부착하는 단계를 포함하며, 상기 제1 다이의 측방향 측부가 상기 제2 다이의 측방향 측부와 마주하는 것인, 반도체 패키지를 형성하는 방법. 3. The method of claim 2, wherein attaching the second component comprises attaching the second component such that the second die is laterally displaced from the first die. and a lateral side facing the lateral side of the second die. 청구항 2에 있어서, 상기 제2 재배선 구조물을 형성하는 단계는,
상기 제2 기판 위에 제1 금속 트레이스를 형성하는 단계;
상기 제1 금속 트레이스 위에 ABF(Ajinomoto Build-up Film)를 퇴적하는 단계;
상기 ABF에 개구를 레이저 드릴링하는 단계;
상기 개구에 전도성 비아를 형성하는 단계; 및
상기 전도성 비아 위에 제2 금속 트레이스를 형성하는 단계
를 포함하는 것인, 반도체 패키지를 형성하는 방법.
The method according to claim 2, wherein the forming of the second redistribution structure comprises:
forming a first metal trace on the second substrate;
depositing an Ajinomoto Build-up Film (ABF) over the first metal trace;
laser drilling an opening in the ABF;
forming a conductive via in the opening; and
forming a second metal trace over the conductive via;
A method of forming a semiconductor package comprising a.
청구항 1에 있어서,
상기 제2 기판을 제거하는 단계; 및
상기 제2 기판을 제거한 후에, 상기 제2 재배선 구조물에 수동 소자를 부착하는 단계
를 더 포함하는, 반도체 패키지를 형성하는 방법.
The method according to claim 1,
removing the second substrate; and
attaching a passive element to the second redistribution structure after removing the second substrate;
Further comprising a method of forming a semiconductor package.
반도체 패키지에 있어서,
제1 컴포넌트로서,
제1 재배선 구조물;
상기 제1 재배선 구조물 위에 배치된 쓰루 비아; 및
상기 제1 재배선 구조물에 부착된 제1 다이 - 상기 제1 다이의 활성 면이 상기 제1 재배선 구조물과 마주함 -
를 포함하는, 상기 제1 컴포넌트;
제2 컴포넌트로서,
제2 재배선 구조물;
상기 쓰루 비아를 상기 제2 재배선 구조물에 커플링하는 커넥터; 및
상기 제2 재배선 구조물의 제1 면에 부착된 제2 다이 - 상기 제2 다이의 활성 면이 상기 제2 재배선 구조물과 마주함 -
를 포함하는, 상기 제2 컴포넌트; 및
상기 제1 재배선 구조물과 상기 제2 재배선 구조물 사이에 배치된 봉지재(encapsulant) - 상기 봉지재는 상기 제2 재배선 구조물의 측방향 에지에 접촉함 -
를 포함하는, 반도체 패키지.
In the semiconductor package,
As a first component,
a first redistribution structure;
a through via disposed over the first redistribution structure; and
a first die attached to the first redistribution structure, an active side of the first die facing the first redistribution structure;
the first component comprising;
As a second component,
a second redistribution structure;
a connector coupling the through via to the second redistribution structure; and
a second die attached to a first side of the second redistribution structure, an active side of the second die facing the second redistribution structure;
the second component comprising; and
an encapsulant disposed between the first redistribution structure and the second redistribution structure, the encapsulant contacting a lateral edge of the second redistribution structure;
A semiconductor package comprising a.
청구항 8에 있어서, 상기 봉지재는 상기 제1 다이 및 상기 제2 다이의 측방향 에지를 봉지하는 것인, 반도체 패키지. The semiconductor package of claim 8 , wherein the encapsulant encapsulates lateral edges of the first die and the second die. 반도체 패키지에 있어서,
제1 폭을 갖는 제1 재배선 구조물;
상기 제1 재배선 구조물 위에 배치된 제2 재배선 구조물 - 상기 제2 재배선 구조물은 제1 금속 트레이스로부터 제2 금속 트레이스로 연장하는 전도성 비아를 포함하고, 상기 제1 금속 트레이스는 상기 제2 재배선 구조물의 제1 면을 따라 배치되며, 상기 제2 금속 트레이스는 상기 제2 재배선 구조물의 제2 면을 따라 배치되고, 상기 제2 재배선 구조물은 제2 폭을 가지며, 상기 제1 폭은 상기 제2 폭보다 더 큼 - ;
상기 제1 재배선 구조물에 부착된 제1 다이 - 상기 제1 다이의 제1 활성 면은 상기 제1 재배선 구조물과 마주하며 상기 제1 재배선 구조물에 전기적으로 커플링됨 - ;
상기 제2 재배선 구조물에 부착된 제2 다이 - 상기 제2 다이의 제2 활성 면은 상기 제2 재배선 구조물과 마주하며 상기 제2 재배선 구조물에 전기적으로 커플링됨 - ;
상기 제1 재배선 구조물과 상기 제2 재배선 구조물 사이에 바로 개재된 봉지재; 및
상기 봉지재를 통해 연장하는 쓰루 비아 - 상기 쓰루 비아는 상기 제1 재배선 구조물을 상기 제2 재배선 구조물에 전기적으로 커플링함 -
를 포함하는, 반도체 패키지.
In the semiconductor package,
a first redistribution structure having a first width;
a second redistribution structure disposed over the first redistribution structure, wherein the second redistribution structure includes conductive vias extending from a first metal trace to a second metal trace, wherein the first metal trace comprises the second redistribution structure It is disposed along a first surface of the wire structure, the second metal trace is disposed along a second surface of the second redistribution structure, the second redistribution structure has a second width, and the first width is greater than the second width;
a first die attached to the first redistribution structure, a first active side of the first die facing the first redistribution structure and electrically coupled to the first redistribution structure;
a second die attached to the second redistribution structure, a second active side of the second die facing the second redistribution structure and electrically coupled to the second redistribution structure;
an encapsulant directly interposed between the first redistribution structure and the second redistribution structure; and
a through via extending through the encapsulant, the through via electrically coupling the first redistribution structure to the second redistribution structure;
A semiconductor package comprising a.
KR1020200070282A 2019-08-16 2020-06-10 Semiconductor package and method of forming the same KR102424641B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962888277P 2019-08-16 2019-08-16
US62/888,277 2019-08-16
US16/811,465 2020-03-06
US16/811,465 US11322447B2 (en) 2019-08-16 2020-03-06 Dual-sided routing in 3D SiP structure

Publications (2)

Publication Number Publication Date
KR20210021257A KR20210021257A (en) 2021-02-25
KR102424641B1 true KR102424641B1 (en) 2022-07-25

Family

ID=74566789

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200070282A KR102424641B1 (en) 2019-08-16 2020-06-10 Semiconductor package and method of forming the same

Country Status (3)

Country Link
KR (1) KR102424641B1 (en)
CN (1) CN112397396A (en)
TW (1) TWI731773B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI797817B (en) * 2021-11-08 2023-04-01 志陽憶存股份有限公司 Memory device and method of manufacturing the same
US20230282604A1 (en) * 2022-03-03 2023-09-07 Mediatek Inc. Semiconductor package having a thick logic die

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015195263A (en) * 2014-03-31 2015-11-05 マイクロン テクノロジー, インク. Semiconductor device and manufacturing method or the same
US20180190581A1 (en) * 2014-10-24 2018-07-05 STATS ChipPAC Pte. Ltd. Semiconductor Device and Method of Fabricating 3D Package with Short Cycle Time and High Yield

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6358431B2 (en) * 2014-08-25 2018-07-18 新光電気工業株式会社 Electronic component device and manufacturing method thereof
US9633974B2 (en) * 2015-03-04 2017-04-25 Apple Inc. System in package fan out stacking architecture and process flow
US9985006B2 (en) * 2016-05-31 2018-05-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10867924B2 (en) * 2017-07-06 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package with redistribution structure and pre-made substrate on opposing sides for dual-side metal routing

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015195263A (en) * 2014-03-31 2015-11-05 マイクロン テクノロジー, インク. Semiconductor device and manufacturing method or the same
US20180190581A1 (en) * 2014-10-24 2018-07-05 STATS ChipPAC Pte. Ltd. Semiconductor Device and Method of Fabricating 3D Package with Short Cycle Time and High Yield

Also Published As

Publication number Publication date
CN112397396A (en) 2021-02-23
KR20210021257A (en) 2021-02-25
TW202109801A (en) 2021-03-01
TWI731773B (en) 2021-06-21

Similar Documents

Publication Publication Date Title
US11018088B2 (en) Dummy features in redistribution layers (RDLS) and methods of forming same
US11664323B2 (en) Semiconductor package and method
US11417604B2 (en) Dense redistribution layers in semiconductor packages and methods of forming the same
US10714426B2 (en) Semiconductor package and method of forming the same
US10037963B2 (en) Package structure and method of forming the same
US10950575B2 (en) Package structure and method of forming the same
CN108987380B (en) Conductive vias in semiconductor packages and methods of forming the same
US11728249B2 (en) Semiconductor package and method
US11756945B2 (en) Semiconductor device package and methods of manufacture
CN113140516A (en) Package and method of forming the same
CN111261608B (en) Semiconductor device and method of forming the same
KR102424641B1 (en) Semiconductor package and method of forming the same
US20220359465A1 (en) Package structures and method for forming the same
US20230387028A1 (en) Dual-sided routing in 3d sip structure
US11942435B2 (en) Semiconductor package and method
US20230335471A1 (en) Semiconductor packages

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant