KR102390076B1 - Method of manufacturing a semiconductor device and a semiconductor device - Google Patents
Method of manufacturing a semiconductor device and a semiconductor device Download PDFInfo
- Publication number
- KR102390076B1 KR102390076B1 KR1020190093413A KR20190093413A KR102390076B1 KR 102390076 B1 KR102390076 B1 KR 102390076B1 KR 1020190093413 A KR1020190093413 A KR 1020190093413A KR 20190093413 A KR20190093413 A KR 20190093413A KR 102390076 B1 KR102390076 B1 KR 102390076B1
- Authority
- KR
- South Korea
- Prior art keywords
- layer
- semiconductor
- forming
- patterned
- gate
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 211
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 63
- 239000000758 substrate Substances 0.000 claims abstract description 25
- 239000010410 layer Substances 0.000 claims description 641
- 238000000034 method Methods 0.000 claims description 77
- 125000006850 spacer group Chemical group 0.000 claims description 37
- 238000002425 crystallisation Methods 0.000 claims description 34
- 230000008025 crystallization Effects 0.000 claims description 34
- 238000000137 annealing Methods 0.000 claims description 24
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 21
- 239000011229 interlayer Substances 0.000 claims description 12
- 238000005224 laser annealing Methods 0.000 claims description 8
- 238000000059 patterning Methods 0.000 claims description 5
- 239000013078 crystal Substances 0.000 abstract description 14
- 238000002955 isolation Methods 0.000 abstract 1
- 230000008569 process Effects 0.000 description 35
- 239000000463 material Substances 0.000 description 28
- 230000006870 function Effects 0.000 description 24
- 238000000231 atomic layer deposition Methods 0.000 description 22
- 229910052581 Si3N4 Inorganic materials 0.000 description 21
- 239000003989 dielectric material Substances 0.000 description 21
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 21
- 239000010409 thin film Substances 0.000 description 21
- 229910052814 silicon oxide Inorganic materials 0.000 description 15
- 229910052751 metal Inorganic materials 0.000 description 14
- 239000002184 metal Substances 0.000 description 14
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 13
- 238000000151 deposition Methods 0.000 description 13
- 238000001459 lithography Methods 0.000 description 13
- CPLXHLVBOLITMK-UHFFFAOYSA-N magnesium oxide Inorganic materials [Mg]=O CPLXHLVBOLITMK-UHFFFAOYSA-N 0.000 description 13
- 239000000395 magnesium oxide Substances 0.000 description 13
- AXZKOIWUVFPNLO-UHFFFAOYSA-N magnesium;oxygen(2-) Chemical compound [O-2].[Mg+2] AXZKOIWUVFPNLO-UHFFFAOYSA-N 0.000 description 13
- 229910052710 silicon Inorganic materials 0.000 description 13
- 229910010038 TiAl Inorganic materials 0.000 description 12
- 238000005530 etching Methods 0.000 description 12
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 11
- 239000011810 insulating material Substances 0.000 description 9
- 229910052782 aluminium Inorganic materials 0.000 description 7
- 239000004020 conductor Substances 0.000 description 7
- 238000001312 dry etching Methods 0.000 description 7
- 229910052732 germanium Inorganic materials 0.000 description 7
- 229910004191 HfTi Inorganic materials 0.000 description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- 229910010041 TiAlC Inorganic materials 0.000 description 6
- 229910008484 TiSi Inorganic materials 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 6
- 229910017052 cobalt Inorganic materials 0.000 description 6
- 239000010941 cobalt Substances 0.000 description 6
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 6
- 230000005669 field effect Effects 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- 239000010936 titanium Substances 0.000 description 6
- 230000008901 benefit Effects 0.000 description 5
- 230000008021 deposition Effects 0.000 description 5
- 239000012535 impurity Substances 0.000 description 5
- -1 InAlAs Inorganic materials 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- 238000005468 ion implantation Methods 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 229910052715 tantalum Inorganic materials 0.000 description 4
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 4
- 229910052719 titanium Inorganic materials 0.000 description 4
- 229910052721 tungsten Inorganic materials 0.000 description 4
- 238000001039 wet etching Methods 0.000 description 4
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 3
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 3
- 229910005542 GaSb Inorganic materials 0.000 description 3
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 3
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 3
- 229910004129 HfSiO Inorganic materials 0.000 description 3
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 3
- 229910004200 TaSiN Inorganic materials 0.000 description 3
- 229910010037 TiAlN Inorganic materials 0.000 description 3
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 3
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 3
- 229910045601 alloy Inorganic materials 0.000 description 3
- 239000000956 alloy Substances 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 3
- 229910052796 boron Inorganic materials 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 238000009713 electroplating Methods 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 229910052735 hafnium Inorganic materials 0.000 description 3
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 3
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 3
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 3
- 229910001092 metal group alloy Inorganic materials 0.000 description 3
- 229910052750 molybdenum Inorganic materials 0.000 description 3
- 239000011733 molybdenum Substances 0.000 description 3
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 3
- 229910021334 nickel silicide Inorganic materials 0.000 description 3
- 239000011368 organic material Substances 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 3
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 3
- 238000002161 passivation Methods 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 229910021332 silicide Inorganic materials 0.000 description 3
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 3
- 239000002356 single layer Substances 0.000 description 3
- 238000004544 sputter deposition Methods 0.000 description 3
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 3
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 3
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 3
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- 229910001928 zirconium oxide Inorganic materials 0.000 description 3
- 239000000853 adhesive Substances 0.000 description 2
- 230000001070 adhesive effect Effects 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 229910021419 crystalline silicon Inorganic materials 0.000 description 2
- 238000000609 electron-beam lithography Methods 0.000 description 2
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 2
- 239000010408 film Substances 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 238000012805 post-processing Methods 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- 229910005898 GeSn Inorganic materials 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- PXHVJJICTQNCMI-UHFFFAOYSA-N nickel Substances [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/12—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
- H01L27/1214—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
- H01L27/1259—Multistep manufacturing methods
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76846—Layer combinations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02436—Intermediate layers between substrates and deposited layers
- H01L21/02439—Materials
- H01L21/02488—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02587—Structure
- H01L21/0259—Microstructure
- H01L21/02592—Microstructure amorphous
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02587—Structure
- H01L21/0259—Microstructure
- H01L21/02595—Microstructure polycrystalline
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02587—Structure
- H01L21/0259—Microstructure
- H01L21/02598—Microstructure monocrystalline
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02656—Special treatments
- H01L21/02664—Aftertreatments
- H01L21/02667—Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
- H01L21/02672—Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using crystallisation enhancing elements
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76861—Post-treatment or after-treatment not introducing additional chemical elements into the layer
- H01L21/76864—Thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823814—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823864—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/84—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
- H01L21/845—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/12—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
- H01L27/1203—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
- H01L27/1211—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/12—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
- H01L27/1214—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
- H01L27/1222—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/12—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
- H01L27/1214—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
- H01L27/1259—Multistep manufacturing methods
- H01L27/127—Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
- H01L27/1274—Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor
- H01L27/1277—Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor using a crystallisation promoting species, e.g. local introduction of Ni catalyst
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/12—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
- H01L27/1214—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
- H01L27/1259—Multistep manufacturing methods
- H01L27/127—Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement
- H01L27/1274—Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor
- H01L27/1281—Multistep manufacturing methods with a particular formation, treatment or patterning of the active layer specially adapted to the circuit arrangement using crystallisation of amorphous semiconductor or recrystallisation of crystalline semiconductor by using structural features to control crystal growth, e.g. placement of grain filters
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/04—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/08—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/0843—Source or drain regions of field-effect devices
- H01L29/0847—Source or drain regions of field-effect devices of field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6656—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66742—Thin film unipolar transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66742—Thin film unipolar transistors
- H01L29/66772—Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78651—Silicon transistors
- H01L29/78654—Monocrystalline silicon transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78681—Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising AIIIBV or AIIBVI or AIVBVI semiconductor materials, or Se or Te
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78684—Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02656—Special treatments
- H01L21/02664—Aftertreatments
- H01L21/02667—Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
- H01L21/02675—Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Ceramic Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Thin Film Transistor (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
반도체 디바이스의 제조 방법에서, 단결정 산화물층이 기판 위에 형성된다. 단결정 산화물층이 형성된 후에, 능동 구역을 형성하기 위한 격리 구조체가 형성된다. 게이트 구조체가 능동 구역에서 단결정 산화물층 위에 형성된다. 소스/드레인 구조체가 형성된다.In a method of manufacturing a semiconductor device, a single crystal oxide layer is formed over a substrate. After the single crystal oxide layer is formed, an isolation structure for forming an active region is formed. A gate structure is formed over the single crystal oxide layer in the active region. A source/drain structure is formed.
Description
관련 출원Related applications
본 출원은 그 개시내용이 본 명세서에 그 전체가 참조로서 통합되어 있는 2018년 9월 28일에 출원된 미국 가특허 출원 제62/738,595호의 우선권을 주장한다.This application claims priority to U.S. Provisional Patent Application No. 62/738,595, filed September 28, 2018, the disclosure of which is incorporated herein by reference in its entirety.
종래의 상보형 금속-산화물-반도체(complementary metal-oxide-semiconductor: CMOS) 기술은 종종 금속-산화물-반도체 전계 효과 트랜지스터(metal-oxide-semiconductor field effect transistors: MOSFETs) 및 쌍극 접합 트랜지스터(bipolar junction transistors: BJTs)와 같은 다수의 반도체 디바이스를 단일이 집적 회로(integrated-circuit: IC) 칩 사의 대략 동일한 레벨에서 제조하도록 구현된다. 진보형 IC 칩에서, 트랜지스터는 다수의 층 상에 배치된다.Conventional complementary metal-oxide-semiconductor (CMOS) technology often uses metal-oxide-semiconductor field effect transistors (MOSFETs) and bipolar junction transistors. : BJTs) are implemented to fabricate a single integrated-circuit (IC) chip at approximately the same level of fabrication. In advanced IC chips, transistors are arranged on multiple layers.
본 개시내용은 첨부 도면과 함께 숙독될 때 이하의 상세한 설명으로부터 가장 양호하게 이해된다. 산업 분야에서의 표준 실시에 따르면, 다양한 특징부는 실제 축척대로 도시되어 있지는 않고 단지 예시의 목적으로만 사용된다는 것이 강조된다. 실제로, 다양한 특징부의 치수는 설명의 명료화를 위해 임의로 증가되거나 감소될 수도 있다.
도 1은 본 개시내용의 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 2는 본 개시내용의 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 3은 본 개시내용의 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 4는 본 개시내용의 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 5는 본 개시내용의 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 6은 본 개시내용의 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 7은 본 개시내용의 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 8은 본 개시내용의 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 9는 본 개시내용의 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 10은 본 개시내용의 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 11은 본 개시내용의 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 12는 본 개시내용의 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 13a 및 도 13b는 본 개시내용의 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 14는 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 15는 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 16은 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 17은 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 18은 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 19는 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 20은 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 21은 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 22는 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 23은 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 24는 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 25는 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 26은 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 27은 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 28은 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 29는 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 30은 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 31은 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 32는 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 33은 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 34는 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 35는 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 36a는 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면. 도 36b는 본 개시내용의 다른 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지 중 하나를 도시하고 있는 도면.
도 37은 본 개시내용의 실시예에 따른 반도체 FET 디바이스의 단면도를 도시하고 있다.BRIEF DESCRIPTION OF THE DRAWINGS The present disclosure is best understood from the following detailed description when read in conjunction with the accompanying drawings. It is emphasized that, in accordance with standard practice in the industry, various features are used for illustrative purposes only and not drawn to scale. Indeed, the dimensions of various features may be arbitrarily increased or decreased for clarity of description.
1 illustrates one of various stages of manufacturing a semiconductor FET device in accordance with an embodiment of the present disclosure;
2 depicts one of various stages of manufacturing a semiconductor FET device in accordance with an embodiment of the present disclosure;
3 depicts one of various stages of manufacturing a semiconductor FET device in accordance with an embodiment of the present disclosure;
4 depicts one of various stages of manufacturing a semiconductor FET device in accordance with an embodiment of the present disclosure;
5 depicts one of various stages of manufacturing a semiconductor FET device in accordance with an embodiment of the present disclosure.
6 depicts one of various stages of manufacturing a semiconductor FET device in accordance with an embodiment of the present disclosure.
7 depicts one of various stages of manufacturing a semiconductor FET device in accordance with an embodiment of the present disclosure;
8 depicts one of various stages of manufacturing a semiconductor FET device in accordance with an embodiment of the present disclosure.
9 depicts one of various stages of manufacturing a semiconductor FET device in accordance with an embodiment of the present disclosure.
10 depicts one of various stages of manufacturing a semiconductor FET device in accordance with an embodiment of the present disclosure.
11 depicts one of various stages of manufacturing a semiconductor FET device in accordance with an embodiment of the present disclosure.
12 depicts one of various stages of manufacturing a semiconductor FET device in accordance with an embodiment of the present disclosure.
13A and 13B illustrate one of various stages of manufacturing a semiconductor FET device in accordance with an embodiment of the present disclosure;
14 depicts one of various stages of manufacturing a semiconductor FET device according to another embodiment of the present disclosure.
15 depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
16 depicts one of various stages of manufacturing a semiconductor FET device according to another embodiment of the present disclosure.
17 depicts one of various stages of manufacturing a semiconductor FET device according to another embodiment of the present disclosure.
18 depicts one of various stages of manufacturing a semiconductor FET device according to another embodiment of the present disclosure.
19 depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
20 depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
21 depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
22 depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
23 depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
24 depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
25 depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
26 depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
27 depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
28 depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
29 depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
30 depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
31 depicts one of various stages of manufacturing a semiconductor FET device according to another embodiment of the present disclosure.
32 depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
33 depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
34 illustrates one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
35 depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
36A depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure. 36B depicts one of various stages of manufacturing a semiconductor FET device in accordance with another embodiment of the present disclosure.
37 illustrates a cross-sectional view of a semiconductor FET device in accordance with an embodiment of the present disclosure.
이하의 개시내용은 본 발명의 상이한 특징부를 구현하기 위한 다수의 상이한 실시예 또는 예를 제공한다는 것이 이해되어야 한다. 구성요소 및 장치의 특정 실시예 또는 예가 본 개시내용을 간단화하기 위해 이하에 설명된다. 이들은 물론 단지 예일 뿐이고, 한정이 되도록 의도된 것은 아니다. 예를 들어, 요소의 치수는 개시된 범위 또는 값에 한정되는 것은 아니고, 프로세스 조건 및/또는 디바이스의 원하는 특성에 의존할 수도 있다. 더욱이, 이어지는 설명에서 제2 특징부 위에 또는 상에 제1 특징부의 형성은 제1 및 제2 특징부가 직접 접촉하여 형성되어 있는 실시예를 포함할 수도 있고, 부가의 특징부가 제1 및 제2 특징부 사이에 형성될 수도 있어, 제1 및 제2 특징부가 직접 접촉하지 않을 수도 있게 되는 실시예를 또한 포함할 수도 있다. 다양한 특징부는 간단화 및 명료화를 위해 상이한 축척으로 임의로 도시되어 있을 수도 있다.It should be understood that the following disclosure provides many different embodiments or examples for implementing different features of the invention. Specific embodiments or examples of components and devices are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the dimensions of an element are not limited to the disclosed ranges or values, and may depend on process conditions and/or desired characteristics of the device. Moreover, in the description that follows, the formation of a first feature over or on a second feature may include embodiments in which the first and second features are formed in direct contact, wherein the additional features are formed in direct contact with the first and second features. It may also include embodiments that may be formed between portions, such that the first and second features may not be in direct contact. Various features may be arbitrarily drawn to different scales for purposes of simplicity and clarity.
또한, "밑", "아래", "하부", "위", "상부" 등과 같은 공간적 상대 용어는 도면에 도시되어 있는 바와 같이 다른 요소(들) 또는 특징부(들)에 대한 일 요소 또는 특징부의 관계를 설명하기 위해 용이한 설명을 위해 본 명세서에서 사용될 수도 있다. 공간적 상대 용어는 도면에 도시되어 있는 배향에 추가하여 사용 또는 동작시에 디바이스의 상이한 배향을 포함하도록 의도된다. 장치는 다른 방식으로 배향될 수도 있고(90도 회전되거나 다른 배향에 있음), 본 명세서에 사용된 공간적 상대 기술자는 마찬가지로 이에 따라 해석될 수도 있다. 게다가, 용어 "~로 제조되는"은 "포함하는" 또는 "~으로 이루어지는"을 의미할 수도 있다. 본 개시내용에 있어서, 구문 "A, B 및 C 중 하나"는 "A, B 및/또는 C"(A, B, C, A 및 B, A 및 C, B 및 C, 또는 A, B 및 C)를 의미하고, 달리 설명되지 않으면, A로부터의 하나의 요소, B로부터의 하나의 요소 및 C로부터의 하나의 요소를 의미하는 것은 아니다.Also, spatially relative terms such as "below", "below", "lower", "above", "upper" and the like refer to one element or to another element(s) or feature(s) as shown in the figures. It may be used herein for easy description to describe the relationship of features. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations), and spatially relative descriptors used herein may likewise be interpreted accordingly. Furthermore, the term “made of” may mean “comprising” or “consisting of”. In the present disclosure, the phrase “one of A, B and C” means “A, B and/or C” (A, B, C, A and B, A and C, B and C, or A, B and C), and not one element from A, one element from B and one element from C, unless stated otherwise.
후공정(back-end of line)에서 트랜지스터 제조 프로세스에서, 실리콘 산화물층과 같은 비결정질층 위에 트랜지스터의 채널을 위한 고품질 결정질 반도체층을 형성하기 위한 주요 프로세스가 존재한다. 실리콘 산화물층 상에 결정질 반도체를 제조하는 방법은 (a) 비정질 상태로 반도체 필름을 성장하고 이어서 고온 어닐링하는 단계; 및 (b) 결정질 Si 기판으로부터 성장된 결정질 시드를 사용함으로써 반도체층을 성장하는 단계를 포함한다. 이들 방법의 모두는, 방법 (a)에서, 예를 들어 450℃ 미만의 열적 버짓(thermal budget)의 제한이 존재하고, 방법 (b)에서는 결정질 시드층이 다수의 금속층 및 층간 유전체층을 통과할 필요가 있기 때문에, 후공정 프로세스에 적합하지 않을 수도 있다.In a transistor manufacturing process in a back-end of line, there is a main process for forming a high quality crystalline semiconductor layer for a channel of a transistor over an amorphous layer such as a silicon oxide layer. A method for manufacturing a crystalline semiconductor on a silicon oxide layer includes the steps of: (a) growing a semiconductor film in an amorphous state followed by high-temperature annealing; and (b) growing the semiconductor layer by using the crystalline seed grown from the crystalline Si substrate. All of these methods have a thermal budget limitation in method (a), for example below 450°C, and in method (b) the crystalline seed layer needs to pass through multiple metal layers and an interlayer dielectric layer. Because there is, it may not be suitable for the post-processing process.
본 개시내용은 비정질(비결정질) 유전체층 상에 고품질 결정질 반도체층을 형성하기 위한 방법을 제공한다. 본 개시내용은 다결정질 또는 비정질 반도체층이 고도의 결정도를 갖는 결정질층으로 변환되는 영역에서 트랜지스터를 제조하기 위한 자기 정렬된 방법을 또한 제공한다.The present disclosure provides a method for forming a high quality crystalline semiconductor layer on an amorphous (amorphous) dielectric layer. The present disclosure also provides a self-aligned method for fabricating a transistor in a region where a polycrystalline or amorphous semiconductor layer is converted into a crystalline layer having a high degree of crystallinity.
이하의 실시예에서, 일 실시예의 재료, 구성, 치수 및/또는 프로세스는, 달리 설명되지 않으면 다른 실시예에 이용될 수도 있고, 그 상세한 설명은 생략될 수도 있다.In the following embodiments, materials, configurations, dimensions, and/or processes of one embodiment may be used in other embodiments unless otherwise described, and detailed descriptions thereof may be omitted.
도 1 내지 도 13a는 본 개시내용의 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지를 도시하고 있다. 부가의 동작이 도 1 내지 도 13a에 의해 도시되어 있는 동작 전, 중 및 후에 제공될 수 있고, 이하에 설명되는 동작의 일부는 방법의 부가의 실시예를 위해 교체되거나 제거될 수 있다는 것이 이해된다. 동작/프로세스의 순서는 상호교환 가능할 수도 있다. 또한, 본 개시내용에서, 소스 및 드레인은 상호교환 가능하게 사용되고 소스/드레인은 소스 및 드레인 중 적어도 하나를 칭한다.1-13A illustrate various stages of manufacturing a semiconductor FET device in accordance with an embodiment of the present disclosure. It is understood that additional operations may be provided before, during, and after the operations illustrated by FIGS. 1-13A , and that some of the operations described below may be replaced or eliminated for additional embodiments of the method. . The order of actions/processes may be interchangeable. Also, in the present disclosure, source and drain are used interchangeably and source/drain refers to at least one of source and drain.
도 1에 도시되어 있는 바와 같이, 기판(10)이 제공된다. 몇몇 실시예에서, 기판(10)은 적어도 그 표면부 상에 단결정 반도체층을 포함한다. 기판(10)은 이들에 한정되는 것은 아니지만, Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb 및 InP와 같은 단결정 반도체 재료를 포함할 수도 있다. 특정 실시예에서, 기판(10)은 결정질 Si, SiGe 또는 Ge로 제조된다. 기판(10)은 몇몇 실시예에서 그 표면 구역 내에 하나 이상의 버퍼층(도시 생략)을 포함할 수도 있다. 버퍼층은 기판의 것으로부터 소스/드레인 구역의 것으로 격자 상수를 점진적으로 변경하는 역할을 할 수 있다. 버퍼층은 이들에 한정되는 것은 아니지만, Si, Ge, GeSn, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, GaN, GaP, 및 InP와 같은 에피택셜 성장된 단결정 반도체 재료로부터 형성될 수도 있다. 특정 실시예에서, 기판(10)은 실리콘 기판(10) 상에 에피택셜 성장된 실리콘 게르마늄(SiGe) 버퍼층을 포함한다. SiGe 버퍼층의 게르마늄 농도는 최하부 버퍼층에 대해 30 원자% 게르마늄으로부터 최상부 버퍼층에 대해 70 원자% 게르마늄으로 증가할 수도 있다.1 , a
또한, 도 1에 도시되어 있는 바와 같이, 하나 이상의 유전체층(20)이 기판(10) 위에 형성된다. 몇몇 실시예에서, 트랜지스터, 메모리[예를 들어, 동적 랜덤 액세스 메모리(dynamic random access memory: DRAM), 정적 RAM, 자기 MRAM, 및/또는 상변화 RAM]와 같은 하나 이상의 전자 디바이스가 기판(10) 상에 형성되고 하나 이상의 유전체층(20)은 전자 디바이스를 커버한다. 또한, 하나 이상의 금속 배선 구조체가 유전체층(20) 내에 매립된다. 유전체층(20)을 위한 유전 재료는, LPCVD(low pressure chemical vapor deposition: 저압 화학 기상 증착), 플라즈마-CVD 또는 유동성 CVD 또는 임의의 다른 적합한 성막 방법에 의해 형성된 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물(SiON), SiCN, 불소 도핑된 실리케이트 글래스(FSG), 또는 저-k 유전 재료를 포함한다. 어닐링 작업이 유전체층(20)의 형성 후에 수행될 수도 있다. 몇몇 실시예에서, 화학 기계적 연마(chemical mechanical polishing: CMP) 방법 및/또는 에치백(etch-back) 방법과 같은 평탄화 작업이 유전체층(20)의 표면을 편평하게 하기 위해 수행된다.Also, as shown in FIG. 1 , one or more
도 1을 계속 참조하면, 채널 반도체 재료로서 반도체층(30)이 유전체층(20) 위에 형성된다. 반도체층(30)을 위한 반도체 재료는 몇몇 실시예에서, Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb 및 InP를 포함한다. 특정 실시예에서, 반도체층(30)은 Si, SiGe 또는 Ge로 제조된다.With continued reference to FIG. 1 , a
몇몇 실시예에서, 반도체층(30)은 CVD, ALD 또는 임의의 다른 적합한 성막 방법에 의해 형성된다. 몇몇 실시예에서, 성막은 약 450℃ 미만의 온도에서 수행된다. 몇몇 실시예에서, 성막은 약 25℃ 이상의 온도에서 수행된다. 몇몇 실시예에서, Si2H6 가스가 Si의 소스 가스로서 사용되고, Ge2H6가 Ge의 소스 가스로서 사용된다. 특정 실시예에서, Ge2H6 및/또는 Si2H6 대신에 또는 추가하여, GeH4 및/또는 SiH4가 사용된다. 몇몇 실시예에서, 반도체층(30)은 비정질 또는 결정질이다. 몇몇 실시예에서, 반도체층(30)은 P, As, Sb 및/또는 B와 같은 불순물로 적절하게 도핑된다. 불순물은 성막 중에 인시츄(in-situ) 도핑되고, 또는 반도체층(30)이 형성된 후에 이온 주입 또는 플라즈마 도핑에 의해 도핑된다. 반도체층(30)의 두께는 몇몇 실시예에서 약 5 nm 내지 약 500 nm의 범위이고, 다른 실시예에서 약 10 nm 내지 약 50 nm의 범위이다.In some embodiments, the
다음에, 도 2에 도시되어 있는 바와 같이, 시드층(40)이 반도체층(30) 위에 형성된다. 몇몇 실시예에서, 반도체층(30) 아래에 시드층이 형성되지 않는다. 몇몇 실시예에서, 시드층(40)은 증착 상태에서 결정질인 특성을 갖거나 또는 약 300℃ 내지 약 450℃에서 저온 어닐링에 의해 금속 산화물로 제조된다. 특정 실시예에서, 시드층(40)은 마그네슘 산화물(MgO)로 제조된다. 몇몇 실시예에서, MgO 시드층(40)은 단결정질이다. 다른 실시예에서, MgO 시드층(40)은 다결정질이고 또는 단결정의 다수의 도메인을 갖는다. 시드층(40)은 CVD, 원자층 증착(ALD), 스퍼터링을 포함하는 물리적 기상 증착, 또는 임의의 다른 적합한 성막 방법에 의해 형성될 수 있다. 시드층(40)의 두께는 몇몇 실시예에서 약 1 nm 내지 약 100 nm의 범위이고, 다른 실시예에서 약 2 nm 내지 약 20 nm의 범위이다. 특정 실시예에서, 시드층(40)의 두께는 약 1 nm 내지 약 10 nm의 범위이다. 다른 실시예에서, HfO2, La2Hf2O7, Y2O3, SrTiO3 및 HfZrO2가 시드층(40)으로서 사용된다.Next, as shown in FIG. 2 , a
다음에, 도 3에 도시되어 있는 바와 같이, 시드층(40)은 하나 이상의 리소그래피 및 에칭 작업을 사용하여, 패터닝된 시드층(45)으로 패터닝된다. 리소그래피 작업은 자외선(UV) 리소그래피, 심 UV(DUV) 리소그래피, 극 UV(EUV) 리소그래피, 전자빔(e-빔) 리소그래피를 포함하고, 에칭 작업은 플라즈마 건식 에칭을 포함한다. 패터닝된 시드층(45)은 이후에 형성된 FET의 게이트 전극에 대응한다. 따라서, 패터닝된 시드층(45)의 폭(W1)은 FET의 게이트 길이에 대응하고, 패터닝된 시드층(45)은 FET의 게이트 전극에 대응하는 형상을 갖는다. 몇몇 실시예에서, 패터닝된 시드층(45)은 라인 형상을 갖는다. 폭(W1)은 몇몇 실시예에서 약 5 nm 내지 약 500 nm의 범위이고, 다른 실시예에서, 약 20 nm 내지 약 200 nm의 범위이다.Next, as shown in FIG. 3 ,
다음에, 도 4 내지 도 7에 도시되어 있는 바와 같이, 반도체층(30)을 결정화하기 위한 결정화 프로세스가 수행된다. 결정화 프로세스는 열적 어닐링을 포함한다. 몇몇 실시예에서, 열적 어닐링은 시드층에 투명한 나노초 레이저를 사용하는 레이저 어닐링 프로세스를 포함한다. 다른 실시예에서, 열적 어닐링은 약 350℃ 내지 450℃의 범위의 온도에서 저온 어닐링을 포함한다.Next, as shown in Figs. 4 to 7, a crystallization process for crystallizing the
도 5 및 도 6에 도시되어 있는 바와 같이, 반도체층(30)은 결정 템플레이트로서 패터닝된 시드층의 저부(이후에 형성된 FET의 채널 구역에 대응함)를 결정화하기 시작한다. 열적 어닐링 프로세스를 계속함으로써, 반도체층(30)의 결정화된 부분(35)은 도 7에 도시되어 있는 바와 같이 소스/드레인 구역 내로 측방향으로 팽창한다. 몇몇 실시예에서, 전체 반도체층(30)은 결정이 된다.5 and 6, the
다음에, 도 8에 도시되어 있는 바와 같이, 측벽 스페이서(50)가 패터닝된 시드층(45)의 대향 측면들 상에 형성된다. 측벽 스페이서(50)를 위한 절연 재료의 블랭킷층이 CVD 또는 다른 적합한 방법을 사용함으로써 컨포멀하게 형성된다(conformally formed). 블랭킷층은 측벽과 같은 수직면, 수평면, 및 패터닝된 시드층(45)의 상부에 실질적으로 동일한 두께를 갖게 형성되도록 컨포멀 방식으로 증착된다. 몇몇 실시예에서, 블랭킷층은 약 2 nm 내지 약 30 nm의 범위의 두께로 증착된다. 일 실시예에서, 블랭킷층의 절연 재료는 패터닝된 시드층(45)의 재료와는 상이하고, 실리콘 질화물, SiON, SiOCN 또는 SiCN 및 이들의 조합과 같은, 실리콘 질화물계 재료로 제조된다. 몇몇 실시예에서, 블랭킷층[측벽 스페이서(50)]은 실리콘 질화물로 제조된다. 측벽 스페이서(50)는 도 8에 도시되어 있는 바와 같이, 이방성 에칭에 의해, 패터닝된 시드층(45)의 대향 측면들 상에 형성된다. 패터닝된 시드층(45)은 게이트 치환 기술에서 더미 게이트 전극으로서 기능한다.Next, as shown in FIG. 8 ,
다음에, 도 9에 도시되어 있는 바와 같이, 소스 구역 및 드레인 구역이 형성된다. 몇몇 실시예에서, 소스/드레인 구역(60)은 하나 이상의 에피택셜 반도체층을 포함한다. 소스/드레인 에피택셜층(60)은 n-채널 FET를 위한 Si, SiP, SiC 및 SiCP 또는 p-채널 FET를 위한 Si, SiGe, Ge의 하나 이상의 층을 포함한다. P-채널 FET에 대해, 붕소(B)가 또한 소스/드레인 구역 내에 함유될 수도 있다. 소스/드레인 에피택셜층(50)은 CVD, ALD 또는 MBE를 사용하여 에피택셜 성장 방법에 의해 형성된다. 몇몇 실시예에서, 결정화된 반도체층(35)의 소스/드레인 구역은 에칭에 의해 리세스 형성되고, 이어서 소스/드레인 에피택셜층(60)은 결정화된 반도체층(35))의 리세스 형성된 소스/드레인 구역 위에 형성된다. 다른 실시예에서, 하나 이상의 이온 주입 프로세스가 수행되어 결정화된 반도체층(35)의 소스/드레인 구역 내로 불순물을 도입한다.Next, as shown in Fig. 9, a source region and a drain region are formed. In some embodiments, source/
다음에, 제1 층간 유전체(first interlayer dielectric: ILD) 층(65)이 소스/드레인 에피택셜층(60) 및 패터닝된 시드층(45) 위에 형성된다. 제1 ILD 층(65)을 위한 재료는 실리콘 산화물, SiCOH 및 SiOC와 같은, Si, O, C 및/또는 H를 포함하는 화합물을 포함한다. 폴리머와 같은 유기 재료가 제1 ILD 층(65)을 위해 사용될 수도 있다. 제1 ILD 층(65)이 형성된 후에, CMP와 같은 평탄화 작업이 수행되어, 도 10에 도시되어 있는 바와 같이, 패터닝된 시드층(45)의 상부 부분이 노출되게 된다. 몇몇 실시예에서, 패터닝된 시드층(45)은 CMP 정지층으로서 기능한다. 몇몇 실시예에서, 제1 ILD 층(65)이 형성되기 전에, 실리콘 질화물층 또는 실리콘 산질화물층과 같은 콘택트 에칭 정지층이 형성된다.Next, a first interlayer dielectric (ILD)
다음에, 패터닝된 시드층(45)은 제거되어, 이에 의해 도 11에 도시되어 있는 바와 같이 게이트 공간(47)을 형성한다. 패터닝된 시드층(45)은 플라즈마 건식 에칭 및/또는 습식 에칭을 사용하여 제거될 수 있다.Next, the patterned
패터닝된 시드층(45)이 제거된 후에, 도 12에 도시되어 있는 바와 같이, 게이트 유전체층(70) 및 게이트 전극(75)이 게이트 공간(47) 내에 형성된다. 몇몇 실시예에서, 게이트 유전체층(70)은 실리콘 산화물, 실리콘 질화물, 또는 고-k 유전 재료, 다른 적합한 유전 재료, 및/또는 이들의 조합과 같은 유전 재료의 하나 이상의 층을 포함한다. 고-k 유전 재료의 예는 HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, 지르코늄 산화물, 알루미늄 산화물, 티타늄 산화물, 하프늄 이산화물-알루미나(HfO2-Al2O3) 합금, 다른 적합한 고-k 유전 재료, 및/또는 이들의 조합을 포함한다. 몇몇 실시예에서, 게이트 유전체층(70)은 화학적 산화를 사용하여, 채널층(35)과 유전 재료 사이에 형성된 계면층을 포함한다. 게이트 유전체층(70)은 CVD, ALD 또는 임의의 적합한 방법에 의해 형성될 수도 있다. 일 실시예에서, 게이트 유전체층(70)은 각각의 채널층 주위에 균일한 두께를 갖는 게이트 유전체층의 형성을 보장하기 위해 ALD와 같은 고도의 컨포멀 증착 프로세스를 사용하여 형성된다. 게이트 유전체층(70)의 두께는 일 실시예에서 약 1 nm 내지 약 10 nm의 범위이다.After the patterned
이후에, 게이트 전극층(75)이 게이트 유전체층(70) 상에 형성된다. 게이트 전극층(75)은 알루미늄, 구리, 티타늄, 탄탈, 텅스텐, 코발트, 몰리브덴, 탄탈 질화물, 니켈 실리사이드, 코발트 실리사이드, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, 금속 합금, 다른 적합한 재료, 및/또는 이들의 조합과 같은 도전성 재료의 하나 이상의 층을 포함한다. 게이트 전극층(75)은 CVD, ALD, 전해 도금 또는 다른 적합한 방법에 의해 형성될 수도 있다. 게이트 유전체층(70) 및 게이트 전극층(75)을 위한 금속이 또한 제1 ILD 층(65)의 상부면 위에 증착된다. ILD 층(65) 위에 형성된 게이트 유전체층을 위한 재료는 이어서 ILD 층(65)의 상부면이 드러날 때까지, 예를 들어 CMP를 사용하여 평탄화된다. 몇몇 실시예에서, 평탄화 작업 후에, 금속 게이트 전극층(77)은 리세스 형성되고 캡 절연층(도시 생략)이 리세스 형성된 게이트 전극층 위에 형성된다. 캡 절연층은 실리콘 질화물과 같은 실리콘 질화물계 재료의 하나 이상의 층을 포함한다. 캡 절연층은 절연 재료를 증착하고 이어서 평탄화 작업에 의해 형성될 수 있다.Thereafter, a
본 개시내용의 특정 실시예에서, 하나 이상의 일함수 조정층(도시 생략)이 게이트 유전체층(70)과 게이트 전극층(75) 사이에 개재된다. 일함수 조정층은 TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi 또는 TiAlC의 단일층, 또는 이들 재료의 2개 이상의 다층과 같은 도전성 재료로 제조된다. n-채널 FET에 대해, TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi 및 TaSi 중 하나 이상이 일함수 조정층으로서 사용되고, p-채널 FET에 대해, TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC 및 Co 중 하나 이상이 일함수 조정층으로서 사용된다. 일함수 조정층은 ALD, PVD, CVD, e-빔 증착, 또는 다른 적합한 프로세스에 의해 형성될 수도 있다. 또한, 일함수 조정층은 상이한 금속층을 사용할 수도 있는 n-채널 FET 및 p-채널 FET에 대해 개별적으로 형성될 수도 있다.In certain embodiments of the present disclosure, one or more work function tuning layers (not shown) are interposed between the
또한, 도 13a에 도시되어 있는 바와 같이, 제2 ILD 층(80)이 제1 ILD 층(65) 위에 형성되고, 제2 ILD 층(80) 또는 제2 및 제1 ILD 층을 통과하는 도전성 콘택트(85)가 게이트 전극(75) 및 소스/드레인 에피택셜층(60)에 접촉하도록 형성된다. 콘택트 개구가 제1 및/또는 제2 ILD 층에 형성된다 도전성 재료의 하나 이상의 층이 콘택트 개구 내에 그리고 위에 형성되고, 이어서 도 13a에 도시되어 있는 바와 같이, CMP 작업과 같은 평탄화 작업이 수행되어 도전성 콘택트(85)를 형성한다. 몇몇 실시예에서, 도전성 콘택트(85)는 라이너층 및 바디층을 포함한다. 라이너층은 배리어층 및/또는 접착(접착제)층이다. 몇몇 실시예에서, Ti 층이 소스/드레인 에피택셜층(55) 상에 형성되고 TiN 또는 TaN 층이 라이너층으로서 Ti 층 상에 형성된다. 바디층은 Co, Ni, W, Ti, Ta, Cu 및 Al, 또는 임의의 다른 적합한 재료의 하나 이상의 층을 포함한다.Also, as shown in FIG. 13A , a
FET는 추가의 CMOS 프로세스를 경험하여 콘택트/비아, 상호접속 금속층, 유전체층, 패시베이션층 등과 같은 다양한 특징부를 형성한다는 것이 이해된다.It is understood that FETs undergo additional CMOS processes to form various features such as contacts/vias, interconnect metal layers, dielectric layers, passivation layers, and the like.
다른 실시예에서, 도 13a에 도시되어 있는 바와 같이, 결정화 프로세스는 결정화된 부분(35)이 채널 구역 및 소스/드레인 구역과 같은 필수 구역에 형성될 때 정지한다. 이에 따라, 비정질 또는 다결정인 비-결정화된 반도체층(30)의 부분이 존재한다.In another embodiment, as shown in FIG. 13A , the crystallization process stops when crystallized
도 14 내지 도 23은 본 개시내용의 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지를 도시하고 있다. 부가의 동작이 도 14 내지 도 23에 의해 도시되어 있는 동작 전, 중 및 후에 제공될 수 있고, 이하에 설명되는 동작의 일부는 방법의 부가의 실시예를 위해 교체되거나 제거될 수 있다는 것이 이해된다. 동작/프로세스의 순서는 상호교환 가능할 수도 있다.14-23 illustrate various stages of manufacturing a semiconductor FET device in accordance with an embodiment of the present disclosure. It is understood that additional operations may be provided before, during, and after the operations illustrated by FIGS. 14-23 , and that some of the operations described below may be replaced or eliminated for additional embodiments of the method. . The order of actions/processes may be interchangeable.
도 1 및 도 2와 유사하게, 시드층(40)이 반도체층(30) 위에 형성된다. 다음에, 도 14에 도시되어 있는 바와 같이, 시드층(40)은 하나 이상의 리소그래피 및 에칭 작업을 사용하여, 다수의 패터닝된 시드층(45)으로 패터닝된다. 리소그래피 작업은 UV 리소그래피, DUV 리소그래피, EUV 리소그래피, e-빔 리소그래피를 포함하고, 에칭 작업은 플라즈마 건식 에칭을 포함한다. 패터닝된 시드층(45)은 이후에 형성된 FET의 게이트 전극에 대응한다. 몇몇 실시예에서, 패터닝된 시드층(45)은 라인 형상을 갖는다. 패터닝된 시드층(45)의 폭은 몇몇 실시예에서 약 5 nm 내지 약 500 nm의 범위이고, 다른 실시예에서 약 20 nm 내지 약 200 nm의 범위이다.Similar to FIGS. 1 and 2 , a
다음에, 도 15 내지 도 18에 도시되어 있는 바와 같이, 반도체층(30)을 결정화하기 위한 결정화 프로세스가 수행된다. 결정화 프로세스는 열적 어닐링을 포함한다. 몇몇 실시예에서, 열적 어닐링은 시드층에 투명한 나노초 레이저를 사용하는 레이저 어닐링 프로세스를 포함한다. 다른 실시예에서, 열적 어닐링은 약 350℃ 내지 450℃의 범위의 온도에서 저온 어닐링을 포함한다.Next, as shown in Figs. 15 to 18, a crystallization process for crystallizing the
도 16 및 도 17에 도시되어 있는 바와 같이, 반도체층(30)은 결정 템플레이트로서 패터닝된 시드층(45)의 저부(이후에 형성된 FET의 채널 구역에 대응함)를 결정화하기 시작한다. 열적 어닐링 프로세스를 계속함으로써, 반도체층(30)의 결정화된 부분(35)은 도 17에 도시되어 있는 바와 같이 소스/드레인 구역 내로 측방향으로 팽창한다.16 and 17, the
도 18에 도시되어 있는 바와 같이, 결정화된 반도체층(35)의 각각의 전방부는 결정화된 반도체층(35)의 인접한 전방부에 조우하여, 결정 입계(grain boundary)(37)를 생성한다.As shown in FIG. 18 , each front portion of the crystallized
다음에, 도 19에 도시되어 있는 바와 같이, 측벽 스페이서(50)가 패터닝된 시드층(45)의 대향 측면들 상에 형성된다. 측벽 스페이서(50)를 위한 절연 재료의 블랭킷층이 CVD 또는 다른 적합한 방법을 사용함으로써 컨포멀하게 형성된다. 블랭킷층은 측벽과 같은 수직면, 수평면, 및 패터닝된 시드층(45)의 상부에 실질적으로 동일한 두께를 갖게 형성되도록 컨포멀 방식으로 증착된다. 몇몇 실시예에서, 블랭킷층은 약 2 nm 내지 약 30 nm의 범위의 두께로 증착된다. 일 실시예에서, 블랭킷층의 절연 재료는 패터닝된 시드층(45)의 재료와는 상이하고, 실리콘 질화물, SiON, SiOCN 또는 SiCN 및 이들의 조합과 같은, 실리콘 질화물계 재료로 제조된다. 몇몇 실시예에서, 블랭킷층[측벽 스페이서(50)]은 실리콘 질화물로 제조된다. 측벽 스페이서(50)는 도 8에 도시되어 있는 바와 같이, 이방성 에칭에 의해, 패터닝된 시드층(45)의 대향 측면들 상에 형성된다. 패터닝된 시드층(45)은 게이트 치환 기술에서 더미 게이트 전극으로서 기능한다.Next, as shown in FIG. 19 ,
다음에, 도 20에 도시되어 있는 바와 같이, 소스 구역 및 드레인 구역이 형성된다. 몇몇 실시예에서, 소스/드레인 구역(60)은 하나 이상의 에피택셜 반도체층을 포함한다. 소스/드레인 에피택셜층(60)은 n-채널 FET를 위한 Si, SiP, SiC 및 SiCP 또는 p-채널 FET를 위한 Si, SiGe, Ge의 하나 이상의 층을 포함한다. P-채널 FET에 대해, 붕소(B)가 또한 소스/드레인 구역 내에 함유될 수도 있다. 소스/드레인 에피택셜층(50)은 CVD, ALD 또는 MBE를 사용하여 에피택셜 성장 방법에 의해 형성된다. 몇몇 실시예에서, 결정화된 반도체층(35)의 소스/드레인 구역은 에칭에 의해 리세스 형성되고, 이어서 소스/드레인 에피택셜층(60)은 결정화된 반도체층(35))의 리세스 형성된 소스/드레인 구역 위에 형성된다. 다른 실시예에서, 하나 이상의 이온 주입 프로세스가 수행되어 결정화된 반도체층(35)의 소스/드레인 구역 내로 불순물을 도입한다. 몇몇 실시예에서, 소스/드레인 에피택셜층(60)은 인접한 더미 게이트 전극[패터닝된 시드층(45)] 사이의 공간을 완전히 충전하고, 다른 실시예에서, 소스/드레인 에피택셜층(60)은 인접한 더미 게이트 전극 사이의 공간을 단지 부분적으로 충전한다.Next, as shown in Fig. 20, a source region and a drain region are formed. In some embodiments, source/
다음에, 제1 층간 유전체(ILD) 층(65)이 소스/드레인 에피택셜층(60) 및 패터닝된 시드층(45) 위에 형성된다. 제1 ILD 층(65)을 위한 재료는 실리콘 산화물, SiCOH 및 SiOC와 같은, Si, O, C 및/또는 H를 포함하는 화합물을 포함한다. 폴리머와 같은 유기 재료가 제1 ILD 층(65)을 위해 사용될 수도 있다. 제1 ILD 층(65)이 형성된 후에, CMP와 같은 평탄화 작업이 수행되어, 도 21에 도시되어 있는 바와 같이, 패터닝된 시드층(45)의 상부 부분이 노출되게 된다. 몇몇 실시예에서, 패터닝된 시드층(45)은 CMP 정지층으로서 기능한다. 몇몇 실시예에서, 제1 ILD 층(65)이 형성되기 전에, 실리콘 질화물층 또는 실리콘 산질화물층과 같은 콘택트 에칭 정지층이 형성된다.Next, a first interlayer dielectric (ILD)
다음에, 패터닝된 시드층(45)은 제거되어, 이에 의해 도 22에 도시되어 있는 바와 같이 게이트 공간(47)을 형성한다. 패터닝된 시드층(45)은 플라즈마 건식 에칭 및/또는 습식 에칭을 사용하여 제거될 수 있다.Next, the patterned
패터닝된 시드층(45)이 제거된 후에, 도 23에 도시되어 있는 바와 같이, 게이트 유전체층(70) 및 게이트 전극(75)이 각각의 게이트 공간(47) 내에 형성된다. 몇몇 실시예에서, 게이트 유전체층(70)은 실리콘 산화물, 실리콘 질화물, 또는 고-k 유전 재료, 다른 적합한 유전 재료, 및/또는 이들의 조합과 같은 유전 재료의 하나 이상의 층을 포함한다. 고-k 유전 재료의 예는 HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, 지르코늄 산화물, 알루미늄 산화물, 티타늄 산화물, 하프늄 이산화물-알루미나(HfO2-Al2O3) 합금, 다른 적합한 고-k 유전 재료, 및/또는 이들의 조합을 포함한다. 몇몇 실시예에서, 게이트 유전체층(70)은 화학적 산화를 사용하여, 채널층(35)과 유전 재료 사이에 형성된 계면층을 포함한다. 게이트 유전체층(70)은 CVD, ALD 또는 임의의 적합한 방법에 의해 형성될 수도 있다. 일 실시예에서, 게이트 유전체층(70)은 각각의 채널층 주위에 균일한 두께를 갖는 게이트 유전체층의 형성을 보장하기 위해 ALD와 같은 고도의 컨포멀 증착 프로세스를 사용하여 형성된다. 게이트 유전체층(70)의 두께는 일 실시예에서 약 1 nm 내지 약 10 nm의 범위이다.After the patterned
이후에, 게이트 전극층(75)이 게이트 유전체층(70) 상에 형성된다. 게이트 전극층(75)은 알루미늄, 구리, 티타늄, 탄탈, 텅스텐, 코발트, 몰리브덴, 탄탈 질화물, 니켈 실리사이드, 코발트 실리사이드, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, 금속 합금, 다른 적합한 재료, 및/또는 이들의 조합과 같은 도전성 재료의 하나 이상의 층을 포함한다. 게이트 전극층(75)은 CVD, ALD, 전해 도금 또는 다른 적합한 방법에 의해 형성될 수도 있다. 게이트 유전체층(70) 및 게이트 전극층(75)을 위한 금속이 또한 제1 ILD 층(65)의 상부면 위에 증착된다. ILD 층(65) 위에 형성된 게이트 유전체층을 위한 재료는 이어서 ILD 층(65)의 상부면이 드러날 때까지, 예를 들어 CMP를 사용하여 평탄화된다. 몇몇 실시예에서, 평탄화 작업 후에, 금속 게이트 전극층(77)은 리세스 형성되고 캡 절연층(도시 생략)이 리세스 형성된 게이트 전극층 위에 형성된다. 캡 절연층은 실리콘 질화물과 같은 실리콘 질화물계 재료의 하나 이상의 층을 포함한다. 캡 절연층은 절연 재료를 증착하고 이어서 평탄화 작업에 의해 형성될 수 있다.Thereafter, a
본 개시내용의 특정 실시예에서, 하나 이상의 일함수 조정층(도시 생략)이 게이트 유전체층(70)과 게이트 전극층(75) 사이에 개재된다. 일함수 조정층은 TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi 또는 TiAlC의 단일층, 또는 이들 재료의 2개 이상의 다층과 같은 도전성 재료로 제조된다. n-채널 FET에 대해, TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi 및 TaSi 중 하나 이상이 일함수 조정층으로서 사용되고, p-채널 FET에 대해, TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC 및 Co 중 하나 이상이 일함수 조정층으로서 사용된다. 일함수 조정층은 ALD, PVD, CVD, e-빔 증착, 또는 다른 적합한 프로세스에 의해 형성될 수도 있다. 또한, 일함수 조정층은 상이한 금속층을 사용할 수도 있는 n-채널 FET 및 p-채널 FET에 대해 개별적으로 형성될 수도 있다.In certain embodiments of the present disclosure, one or more work function tuning layers (not shown) are interposed between the
또한, 도 13a와 유사하게, 제2 ILD 층이 제1 ILD 층 위에 형성되고, 제2 ILD 층 또는 제2 및 제1 ILD 층을 통과하는 도전성 콘택트가 게이트 전극 및 소스/드레인 에피택셜층에 접촉하도록 형성된다.Also similar to FIG. 13A , a second ILD layer is formed over the first ILD layer, and conductive contacts passing through the second ILD layer or the second and first ILD layers contact the gate electrode and the source/drain epitaxial layer. formed to do
다른 실시예에서, 결정화 프로세스는 결정화된 반도체층(35)의 각각의 전방부가 결정화된 반도체층(35)의 인접한 전방부에 조우하기 전에 정지한다. 이러한 경우에, 비-결정화된 반도체층(30)의 부분은 인접한 FET 사이에 남아 있다.In another embodiment, the crystallization process stops before each front portion of the crystallized
FET는 추가의 CMOS 프로세스를 경험하여 콘택트/비아, 상호접속 금속층, 유전체층, 패시베이션층 등과 같은 다양한 특징부를 형성한다는 것이 이해된다.It is understood that FETs undergo additional CMOS processes to form various features such as contacts/vias, interconnect metal layers, dielectric layers, passivation layers, and the like.
도 24 내지 도 36a는 본 개시내용의 실시예에 따른 반도체 FET 디바이스를 제조하는 다양한 스테이지를 도시하고 있다. 부가의 동작이 도 24 내지 도 36a에 의해 도시되어 있는 동작 전, 중 및 후에 제공될 수 있고, 이하에 설명되는 동작의 일부는 방법의 부가의 실시예를 위해 교체되거나 제거될 수 있다는 것이 이해된다. 동작/프로세스의 순서는 상호교환 가능할 수도 있다.24-36A illustrate various stages of manufacturing a semiconductor FET device in accordance with an embodiment of the present disclosure. It is understood that additional operations may be provided before, during, and after the operations illustrated by FIGS. 24-36A , and that some of the operations described below may be replaced or eliminated for additional embodiments of the method. . The order of actions/processes may be interchangeable.
도 1과 유사하게, 반도체층(30)이 도 25에 도시되어 있는 바와 같이, 기판(10) 위에 배치된 유전체층(20) 위에 형성된다. 다음에, 도 2와 유사하게, 시드층(40)이 도 25에 도시되어 있는 바와 같이, 반도체층(30) 위에 형성된다. 몇몇 실시예에서, 시드층(40)은 증착 상태에서 결정질인 특성을 갖거나 또는 약 350℃ 내지 약 450℃에서 저온 어닐링에 의해 금속 산화물로 제조된다. 특정 실시예에서, 시드층(40)은 마그네슘 산화물(MgO)로 제조된다. 몇몇 실시예에서, MgO 시드층(40)은 단결정질이다. 다른 실시예에서, MgO 시드층(40)은 다결정질이고 또는 단결정의 다수의 도메인을 갖는다. 시드층(40)은 CVD, 원자층 증착(ALD), 스퍼터링을 포함하는 물리적 기상 증착, 또는 임의의 다른 적합한 성막 방법에 의해 형성될 수 있다. 시드층(40)의 두께는 몇몇 실시예에서 약 1 nm 내지 약 100 nm의 범위이고, 다른 실시예에서 약 2 nm 내지 약 20 nm의 범위이다. 특정 실시예에서, 시드층(40)의 두께는 약 1 nm 내지 약 10 nm의 범위이다.Similar to FIG. 1 , a
다음에, 도 26에 도시되어 있는 바와 같이, 더미 게이트층(90)이 시드층(45) 위에 형성된다. 몇몇 실시예에서, 더미 게이트층(90)은 폴리실리콘 또는 비정질 실리콘으로 제조된다. ILD 층 및 측벽 스페이서에 관하여 선택적으로 제거될 수 있는 다른 반도체 재료 또는 유전 재료가 또한 사용될 수도 있다. 더미 게이트층(90)의 두께는 몇몇 실시예에서 약 50 nm 내지 약 500 nm의 범위이고, 다른 실시예에서, 약 100 nm 내지 약 200 nm의 범위이다. 더미 게이트층(90)은 CVD, 원자층 증착(ALD), 스퍼터링을 포함하는 물리적 기상 증착, 또는 임의의 다른 적합한 성막 방법에 의해 형성될 수 있다.Next, as shown in FIG. 26 , a
다음에, 도 27에 도시되어 있는 바와 같이, 더미 게이트층(90) 및 시드층(40)은 하나 이상의 리소그래피 및 에칭 작업을 사용하여, 다수의 패터닝된 더미 게이트층(95) 및 패터닝된 시드층(45)으로 패터닝된다. 리소그래피 작업은 UV 리소그래피, DUV 리소그래피, EUV 리소그래피, e-빔 리소그래피를 포함하고, 에칭 작업은 플라즈마 건식 에칭을 포함한다. 패터닝된 더미 게이트층(95) 및 패터닝된 시드층(45)은 이후에 형성된 FET의 게이트 전극에 대응한다. 몇몇 실시예에서, 패터닝된 더미 게이트층(95) 및 패터닝된 시드층(45)은 라인 형상을 갖는다. 패터닝된 더미 게이트층(95) 및 패터닝된 시드층(45)의 폭은 몇몇 실시예에서 약 5 nm 내지 약 500 nm의 범위이고, 다른 실시예에서 약 20 nm 내지 약 200 nm의 범위이다.Next, as shown in Figure 27,
다음에, 도 28 내지 도 31에 도시되어 있는 바와 같이, 반도체층(30)을 결정화하기 위한 결정화 프로세스가 수행된다. 결정화 프로세스는 열적 어닐링을 포함한다. 몇몇 실시예에서, 열적 어닐링은 시드층에 투명한 나노초 레이저를 사용하는 레이저 어닐링 프로세스를 포함한다. 다른 실시예에서, 열적 어닐링은 약 350℃ 내지 450℃의 범위의 온도에서 저온 어닐링을 포함한다.Next, as shown in Figs. 28 to 31, a crystallization process for crystallizing the
도 29 및 도 30에 도시되어 있는 바와 같이, 반도체층(30)은 결정 템플레이트로서 패터닝된 시드층(45)의 저부(이후에 형성된 FET의 채널 구역에 대응함)를 결정화하기 시작한다. 열적 어닐링 프로세스를 계속함으로써, 반도체층(30)의 결정화된 부분(35)은 도 30에 도시되어 있는 바와 같이 소스/드레인 구역 내로 측방향으로 팽창한다.29 and 30, the
도 31에 도시되어 있는 바와 같이, 결정화된 반도체층(35)의 각각의 전방부는 결정화된 반도체층(35)의 인접한 전방부에 조우하여, 결정 입계(37)를 생성한다.As shown in FIG. 31 , each front portion of the crystallized
다음에, 도 32에 도시되어 있는 바와 같이, 측벽 스페이서(50)가 패터닝된 더미 게이트층(95) 및 패터닝된 시드층(45)의 대향 측면들 상에 형성된다. 측벽 스페이서(50)를 위한 절연 재료의 블랭킷층이 CVD 또는 다른 적합한 방법을 사용함으로써 컨포멀하게 형성된다. 블랭킷층은 측벽과 같은 수직면, 수평면, 및 패터닝된 더미 게이트층(95) 및 패터닝된 시드층(45)의 상부에 실질적으로 동일한 두께를 갖게 형성되도록 컨포멀 방식으로 증착된다. 몇몇 실시예에서, 블랭킷층은 약 2 nm 내지 약 30 nm의 범위의 두께로 증착된다. 일 실시예에서, 블랭킷층의 절연 재료는 패터닝된 더미 게이트층(95) 및 패터닝된 시드층(45)의 재료와는 상이하고, 실리콘 질화물, SiON, SiOCN 또는 SiCN 및 이들의 조합과 같은, 실리콘 질화물계 재료로 제조된다. 몇몇 실시예에서, 블랭킷층[측벽 스페이서(50)]은 실리콘 질화물로 제조된다. 측벽 스페이서(50)는 도 8에 도시되어 있는 바와 같이, 이방성 에칭에 의해, 패터닝된 더미 게이트층(95) 및 패터닝된 시드층(45)의 대향 측면들 상에 형성된다. 패터닝된 더미 게이트층(95) 및 패터닝된 시드층(45)은 게이트 치환 기술에서 더미 게이트 전극으로서 기능한다.Next, as shown in FIG. 32 ,
다음에, 도 33에 도시되어 있는 바와 같이, 소스 구역 및 드레인 구역이 형성된다. 몇몇 실시예에서, 소스/드레인 구역(60)은 하나 이상의 에피택셜 반도체층을 포함한다. 소스/드레인 에피택셜층(60)은 n-채널 FET를 위한 Si, SiP, SiC 및 SiCP 또는 p-채널 FET를 위한 Si, SiGe, Ge의 하나 이상의 층을 포함한다. P-채널 FET에 대해, 붕소(B)가 또한 소스/드레인 구역 내에 함유될 수도 있다. 소스/드레인 에피택셜층(50)은 CVD, ALD 또는 MBE를 사용하여 에피택셜 성장 방법에 의해 형성된다. 몇몇 실시예에서, 결정화된 반도체층(35)의 소스/드레인 구역은 에칭에 의해 리세스 형성되고, 이어서 소스/드레인 에피택셜층(60)은 결정화된 반도체층(35))의 리세스 형성된 소스/드레인 구역 위에 형성된다. 다른 실시예에서, 하나 이상의 이온 주입 프로세스가 수행되어 결정화된 반도체층(35)의 소스/드레인 구역 내로 불순물을 도입한다. 몇몇 실시예에서, 소스/드레인 에피택셜층(60)은 인접한 더미 게이트 전극[패터닝된 더미 게이트층(95) 및 패터닝된 시드층(45)] 사이의 공간을 완전히 충전하고, 다른 실시예에서, 소스/드레인 에피택셜층(60)은 인접한 더미 게이트 전극 사이의 공간을 단지 부분적으로 충전한다.Next, as shown in Fig. 33, a source region and a drain region are formed. In some embodiments, source/
다음에, 제1 층간 유전체(ILD) 층(65)이 소스/드레인 에피택셜층(60) 및 패터닝된 더미 게이트층(95) 및 패터닝된 시드층(45) 위에 형성된다. 제1 ILD 층(65)을 위한 재료는 실리콘 산화물, SiCOH 및 SiOC와 같은, Si, O, C 및/또는 H를 포함하는 화합물을 포함한다. 폴리머와 같은 유기 재료가 제1 ILD 층(65)을 위해 사용될 수도 있다. 제1 ILD 층(65)이 형성된 후에, CMP와 같은 평탄화 작업이 수행되어, 도 34에 도시되어 있는 바와 같이, 패터닝된 더미 게이트층(95) 및 패터닝된 시드층(45)의 상부 부분이 노출되게 된다. 몇몇 실시예에서, 패터닝된 더미 게이트층(95)은 CMP 정지층으로서 기능한다. 몇몇 실시예에서, 제1 ILD 층(65)이 형성되기 전에, 실리콘 질화물층 또는 실리콘 산질화물층과 같은 콘택트 에칭 정지층이 형성된다.Next, a first interlayer dielectric (ILD)
다음에, 패터닝된 더미 게이트층(95) 및 패터닝된 시드층(45)은 제거되어, 이에 의해 도 22에 도시되어 있는 바와 같이 게이트 공간(47)을 형성한다. 패터닝된 더미 게이트층(95) 및 패터닝된 시드층(45)은 플라즈마 건식 에칭 및/또는 습식 에칭을 사용하여 제거될 수 있다. 패터닝된 더미 게이트층(95)이 폴리실리콘 또는 비정질 실리콘일 때, 테트라메틸암모늄 하이드록사이드(TMAH) 용액과 같은 습식 에칭제가 더미 게이트 전극층을 선택적으로 제거하는 데 사용될 수 있다. 패터닝된 시드층(45)은 그 후에 플라즈마 건식 에칭 및/또는 습식 에칭을 사용하여 제거된다.Next, the patterned
패터닝된 더미 게이트층(95) 및 패터닝된 시드층(45)이 제거된 후에, 도 36a에 도시되어 있는 바와 같이, 게이트 유전체층(70) 및 게이트 전극(75)이 각각의 게이트 공간(47) 내에 형성된다. 몇몇 실시예에서, 게이트 유전체층(70)은 실리콘 산화물, 실리콘 질화물, 또는 고-k 유전 재료, 다른 적합한 유전 재료, 및/또는 이들의 조합과 같은 유전 재료의 하나 이상의 층을 포함한다. 고-k 유전 재료의 예는 HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, 지르코늄 산화물, 알루미늄 산화물, 티타늄 산화물, 하프늄 이산화물-알루미나(HfO2-Al2O3) 합금, 다른 적합한 고-k 유전 재료, 및/또는 이들의 조합을 포함한다. 몇몇 실시예에서, 게이트 유전체층(70)은 화학적 산화를 사용하여, 채널층(35)과 유전 재료 사이에 형성된 계면층을 포함한다. 게이트 유전체층(70)은 CVD, ALD 또는 임의의 적합한 방법에 의해 형성될 수도 있다. 일 실시예에서, 게이트 유전체층(70)은 각각의 채널층 주위에 균일한 두께를 갖는 게이트 유전체층의 형성을 보장하기 위해 ALD와 같은 고도의 컨포멀 증착 프로세스를 사용하여 형성된다. 게이트 유전체층(70)의 두께는 일 실시예에서 약 1 nm 내지 약 10 nm의 범위이다.After the patterned
이후에, 게이트 전극층(75)이 게이트 유전체층(70) 상에 형성된다. 게이트 전극층(75)은 알루미늄, 구리, 티타늄, 탄탈, 텅스텐, 코발트, 몰리브덴, 탄탈 질화물, 니켈 실리사이드, 코발트 실리사이드, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, 금속 합금, 다른 적합한 재료, 및/또는 이들의 조합과 같은 도전성 재료의 하나 이상의 층을 포함한다. 게이트 전극층(75)은 CVD, ALD, 전해 도금 또는 다른 적합한 방법에 의해 형성될 수도 있다. 게이트 유전체층(70) 및 게이트 전극층(75)을 위한 금속이 또한 제1 ILD 층(65)의 상부면 위에 증착된다. ILD 층(65) 위에 형성된 게이트 유전체층을 위한 재료는 이어서 ILD 층(65)의 상부면이 드러날 때까지, 예를 들어 CMP를 사용하여 평탄화된다. 몇몇 실시예에서, 평탄화 작업 후에, 금속 게이트 전극층(77)은 리세스 형성되고 캡 절연층(도시 생략)이 리세스 형성된 게이트 전극층 위에 형성된다. 캡 절연층은 실리콘 질화물과 같은 실리콘 질화물계 재료의 하나 이상의 층을 포함한다. 캡 절연층은 절연 재료를 증착하고 이어서 평탄화 작업에 의해 형성될 수 있다.Thereafter, a
본 개시내용의 특정 실시예에서, 하나 이상의 일함수 조정층(도시 생략)이 게이트 유전체층(70)과 게이트 전극층(75) 사이에 개재된다. 일함수 조정층은 TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi 또는 TiAlC의 단일층, 또는 이들 재료의 2개 이상의 다층과 같은 도전성 재료로 제조된다. n-채널 FET에 대해, TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi 및 TaSi 중 하나 이상이 일함수 조정층으로서 사용되고, p-채널 FET에 대해, TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC 및 Co 중 하나 이상이 일함수 조정층으로서 사용된다. 일함수 조정층은 ALD, PVD, CVD, e-빔 증착, 또는 다른 적합한 프로세스에 의해 형성될 수도 있다. 또한, 일함수 조정층은 상이한 금속층을 사용할 수도 있는 n-채널 FET 및 p-채널 FET에 대해 개별적으로 형성될 수도 있다.In certain embodiments of the present disclosure, one or more work function tuning layers (not shown) are interposed between the
또한, 도 13a와 유사하게, 제2 ILD 층이 제1 ILD 층 위에 형성되고, 제2 ILD 층 또는 제2 및 제1 ILD 층을 통과하는 도전성 콘택트가 게이트 전극 및 소스/드레인 에피택셜층에 접촉하도록 형성된다. 도 13a에 도시되어 있는 바와 같이, 제조된 FET는 몇몇 실시예에서 박막 트랜지스터(thin film transistor: TFT)이다.Also similar to FIG. 13A , a second ILD layer is formed over the first ILD layer, and conductive contacts passing through the second ILD layer or the second and first ILD layers contact the gate electrode and the source/drain epitaxial layer. formed to do As shown in Figure 13A, the fabricated FET is a thin film transistor (TFT) in some embodiments.
다른 실시예에서, 결정화 프로세스는 결정화된 반도체층(35)의 각각의 전방부가 결정화된 반도체층(35)의 인접한 전방부에 조우하기 전에 정지한다. 이러한 경우에, 비-결정화된 반도체층(30)의 부분은 도 36b에 도시되어 있는 바와 같이, 인접한 FET 사이에 남아 있다.In another embodiment, the crystallization process stops before each front portion of the crystallized
FET는 추가의 CMOS 프로세스를 경험하여 콘택트/비아, 상호접속 금속층, 유전체층, 패시베이션층 등과 같은 다양한 특징부를 형성한다는 것이 이해된다.It is understood that FETs undergo additional CMOS processes to form various features such as contacts/vias, interconnect metal layers, dielectric layers, passivation layers, and the like.
도 37은 본 개시내용의 실시예에 따른 반도체 디바이스의 단면도를 도시하고 있다. 도 37에 도시되어 있는 바와 같이, 하부층 디바이스(100)가 기판 위에 형성된다. 하부층 디바이스(100)는 하나 이상의 핀 전계 효과 트랜지스터(fin field effect transistors: FinFETs), 게이트-올-어라운드 FET(gate-all-around FET: GAA FET), 평면형 FETs, 수직 FETs 또는 임의의 다른 전자 디바이스를 포함한다. 도 37은 하부층 디바이스(100) 위에 배치된 상부층 디바이스(200)를 또한 도시하고 있다. 몇몇 실시예에서, 하나 이상의 ILD 층, 금속 배선층 및/또는 비아 콘택트가 하부층 디바이스(100)와 상부층 디바이스(200) 사이에 배치된다. 몇몇 실시예에서, 상부층 디바이스(200)는 본 개시내용의 전술된 실시예에 의해 제조된 하나 이상의 FET를 포함한다.37 illustrates a cross-sectional view of a semiconductor device according to an embodiment of the present disclosure. As shown in FIG. 37 , an
본 명세서에 설명된 다양한 실시예 또는 예는 종래 기술에 비해 다수의 장점을 제공한다. 예를 들어, 본 개시내용에 있어서, 비결정 반도체층의 결정화 프로세스가 비결정 반도체층(상부 시드층) 상에 형성된 패터닝된 시드층을 사용하여 수행된다. 비결정 반도체층의 결정화는 패터닝된 시드층의 저부로부터 시작하고 패터닝된 시드층은 더미 게이트로서 이용되기 때문에, FET의 채널 구역으로서, 더 높은 결정질 품질을 갖는 초기에 결정화된 부분(시드층에 더 가까운)을 이용하는 것이 가능하다. 달리 말하면, 가장 결정질 부분이 자기 정렬된 방식으로 채널 구역으로서 이용될 수 있다. 또한, 게이트 치환 프로세스를 위한 더미 게이트로서 시드층을 이용함으로써, 반도체 디바이스를 제조하는 동작 단계의 증가를 억제하는 것이 가능하다. 본 개시내용의 동작은 반도체 제조의 후공정 프로세스와 호환 가능하다.The various embodiments or examples described herein provide a number of advantages over the prior art. For example, in the present disclosure, a crystallization process of the amorphous semiconductor layer is performed using a patterned seed layer formed on the amorphous semiconductor layer (top seed layer). Since the crystallization of the amorphous semiconductor layer starts from the bottom of the patterned seed layer and the patterned seed layer is used as the dummy gate, as the channel region of the FET, the initially crystallized portion with higher crystalline quality (closer to the seed layer) ) can be used. In other words, the most crystalline portion can be used as the channel region in a self-aligned manner. Further, by using the seed layer as a dummy gate for the gate replacement process, it is possible to suppress the increase in the operation steps for manufacturing the semiconductor device. The operation of the present disclosure is compatible with post-processing processes of semiconductor manufacturing.
모든 장점이 본 명세서에 반드시 설명되어 있는 것은 아니고, 어떠한 특정 장점도 모든 실시예 또는 예에 대해 요구되는 것은 아니고, 다른 실시예 또는 예는 상이한 장점을 제공할 수도 있다는 것이 이해될 수 있을 것이다.Not all advantages are necessarily described herein, no particular advantage is required for all embodiments or examples, and it will be understood that other embodiments or examples may provide different advantages.
본 개시내용의 양태에 따르면, 반도체 디바이스의 제조 방법에서, 반도체층이 기판 위에 배치된 유전체층 상에 형성된다. 시드층이 반도체층 상에 형성된다. 시드층은 패터닝된 시드층으로 패터닝된다. 결정화 작업이 패터닝된 시드층을 결정화의 시드로서 사용하여 반도체층 상에 수행되어, 이에 의해 결정화된 반도체층을 형성한다. 상기 및 이하의 실시예의 하나 이상에서, 시드층은 MgO이다. 상기 및 이하의 실시예의 하나 이상에서, 반도체층은 비정질 또는 다결정이다. 상기 및 이하의 실시예의 하나 이상에서, 반도체층은 Si, SiGe 및 Ge 중 하나이다. 상기 및 이하의 실시예의 하나 이상에서, 시드층의 두께는 1 nm 내지 10 nm의 범위이다. 상기 및 이하의 실시예의 하나 이상에서, 반도체층의 두께는 10 nm 내지 50 nm의 범위이다. 상기 및 이하의 실시예의 하나 이상에서, 결정화 작업은 350℃ 내지 450℃의 온도에서의 열적 어닐링 또는 레이저 어닐링을 포함한다. 상기 및 이하의 실시예의 하나 이상에서, 측벽 스페이서가 패터닝된 시드층의 대향 측면들 상에 형성된다. 소스/드레인 구조체가 형성된다. 층간 유전체(ILD) 층이 측벽 스페이서, 패터닝된 시드층 및 소스/드레인 구조체 위에 형성된다. ILD 층이 형성된 후에, 패터닝된 시드층은 제거되어, 이에 의해 게이트 공간을 형성한다. 게이트 유전체층 및 게이트 전극층이 게이트 공간에 형성된다.According to an aspect of the present disclosure, in a method of manufacturing a semiconductor device, a semiconductor layer is formed on a dielectric layer disposed over a substrate. A seed layer is formed on the semiconductor layer. The seed layer is patterned with a patterned seed layer. A crystallization operation is performed on the semiconductor layer using the patterned seed layer as a seed of crystallization, thereby forming a crystallized semiconductor layer. In one or more of the above and below embodiments, the seed layer is MgO. In one or more of the above and below embodiments, the semiconductor layer is amorphous or polycrystalline. In one or more of the above and below embodiments, the semiconductor layer is one of Si, SiGe, and Ge. In one or more of the above and below embodiments, the thickness of the seed layer is in the range of 1 nm to 10 nm. In one or more of the above and below embodiments, the thickness of the semiconductor layer is in the range of 10 nm to 50 nm. In one or more of the above and below embodiments, the crystallization operation comprises thermal annealing or laser annealing at a temperature of 350°C to 450°C. In one or more of the above and below embodiments, sidewall spacers are formed on opposite sides of the patterned seed layer. A source/drain structure is formed. An interlayer dielectric (ILD) layer is formed over the sidewall spacers, the patterned seed layer, and the source/drain structures. After the ILD layer is formed, the patterned seed layer is removed, thereby forming a gate space. A gate dielectric layer and a gate electrode layer are formed in the gate space.
본 개시내용의 다른 양태에 따르면, 반도체 디바이스의 제조 방법에서, 반도체층이 기판 위에 배치된 유전체층 상에 형성된다. 시드층이 반도체층 상에 형성된다. 시드층은 패터닝된 시드층으로 패터닝된다. 결정화 작업이 패터닝된 시드층을 결정화의 시드로서 사용하여 반도체층 상에 수행되어, 이에 의해 결정화된 반도체층을 형성한다. 상기 및 이하의 실시예의 하나 이상에서, 시드층은 MgO이다. 상기 및 이하의 실시예의 하나 이상에서, 반도체층은 Si, SiGe 및 Ge 중 하나의 비정질 또는 다결정이다. 상기 및 이하의 실시예의 하나 이상에서, 결정화 작업은 350℃ 내지 450℃의 온도에서의 열적 어닐링 또는 레이저 어닐링을 포함한다. 상기 및 이하의 실시예의 하나 이상에서, 측벽 스페이서가 패터닝된 시드층의 대향 측면들 상에 형성된다. 소스/드레인 구조체가 형성된다. 층간 유전체(ILD) 층이 측벽 스페이서, 패터닝된 시드층 및 소스/드레인 구조체 위에 형성된다. ILD 층이 형성된 후에, 패터닝된 시드층은 제거되어, 이에 의해 게이트 공간을 형성한다. 게이트 유전체층 및 게이트 전극층이 각각의 게이트 공간에 형성된다. 상기 및 이하의 실시예의 하나 이상에서, 결정화 작업은, 시드층들 중 하나 아래의 결정화된 반도체층의 전방부가 시드층들 중 하나에 인접한 시드층들 중 다른 하나 아래의 결정화된 반도체층의 전방부에 조우하여, 이에 의해 결정 입계를 형성하도록 수행된다. 상기 및 이하의 실시예의 하나 이상에서, 결정화 작업은, 시드층들 중 하나 아래의 결정화된 반도체층의 전방부가 시드층들 중 하나에 인접한 시드층들 중 다른 하나 아래의 결정화된 반도체층의 전방부에 조우하기 전에 정지된다.According to another aspect of the present disclosure, in a method of manufacturing a semiconductor device, a semiconductor layer is formed on a dielectric layer disposed over a substrate. A seed layer is formed on the semiconductor layer. The seed layer is patterned with a patterned seed layer. A crystallization operation is performed on the semiconductor layer using the patterned seed layer as a seed of crystallization, thereby forming a crystallized semiconductor layer. In one or more of the above and below embodiments, the seed layer is MgO. In one or more of the above and below embodiments, the semiconductor layer is amorphous or polycrystalline of one of Si, SiGe, and Ge. In one or more of the above and below embodiments, the crystallization operation comprises thermal annealing or laser annealing at a temperature of 350°C to 450°C. In one or more of the above and below embodiments, sidewall spacers are formed on opposite sides of the patterned seed layer. A source/drain structure is formed. An interlayer dielectric (ILD) layer is formed over the sidewall spacers, the patterned seed layer, and the source/drain structures. After the ILD layer is formed, the patterned seed layer is removed, thereby forming a gate space. A gate dielectric layer and a gate electrode layer are formed in each gate space. In one or more of the above and below embodiments, the crystallization operation comprises: a front portion of the crystallized semiconductor layer under one of the seed layers adjacent one of the seed layers and a front portion of the crystallized semiconductor layer under the other of the seed layers , thereby forming a grain boundary. In one or more of the above and below embodiments, the crystallization operation comprises: a front portion of the crystallized semiconductor layer under one of the seed layers adjacent one of the seed layers and a front portion of the crystallized semiconductor layer under the other of the seed layers Stopped before encountering
본 개시내용의 다른 양태에 따르면, 반도체 디바이스의 제조 방법에서, 반도체층이 기판 위에 배치된 유전체층 상에 형성된다. 시드층이 반도체층 상에 형성된다. 더미 게이트층이 시드층 상에 형성된다. 더미 게이트층 및 시드층은 패터닝된 더미 게이트층 및 패터닝된 시드층으로 형성된다. 결정화 작업이 패터닝된 시드층을 결정화의 시드로서 사용하여 반도체층 상에 수행되어, 이에 의해 결정화된 반도체층을 형성한다. 상기 및 이하의 실시예의 하나 이상에서, 더미 게이트층은 Si, SiGe 및 Ge 중 하나의 비정질 또는 다결정이다. 상기 및 이하의 실시예의 하나 이상에서, 더미 게이트층의 두께는 50 nm 내지 200 nm의 범위이다. 상기 및 이하의 실시예의 하나 이상에서, 시드층은 MgO이다. 상기 및 이하의 실시예의 하나 이상에서, 측벽 스페이서가 패터닝된 더미 게이트층 및 패터닝된 시드층의 대향 측면들 상에 형성된다. 소스/드레인 구조체가 형성된다. 층간 유전체(ILD) 층이 측벽 스페이서, 패터닝된 더미 게이트층 및 소스/드레인 구조체 위에 형성된다. ILD 층이 형성된 후에, 패터닝된 더미 게이트층 및 패터닝된 시드층은 제거되어, 이에 의해 게이트 공간을 형성한다. 게이트 유전체층 및 게이트 전극층이 게이트 공간에 형성된다.According to another aspect of the present disclosure, in a method of manufacturing a semiconductor device, a semiconductor layer is formed on a dielectric layer disposed over a substrate. A seed layer is formed on the semiconductor layer. A dummy gate layer is formed on the seed layer. The dummy gate layer and the seed layer are formed of the patterned dummy gate layer and the patterned seed layer. A crystallization operation is performed on the semiconductor layer using the patterned seed layer as a seed of crystallization, thereby forming a crystallized semiconductor layer. In one or more of the above and below embodiments, the dummy gate layer is amorphous or polycrystalline of one of Si, SiGe, and Ge. In one or more of the above and below embodiments, the thickness of the dummy gate layer is in the range of 50 nm to 200 nm. In one or more of the above and below embodiments, the seed layer is MgO. In one or more of the above and below embodiments, sidewall spacers are formed on opposite sides of the patterned dummy gate layer and the patterned seed layer. A source/drain structure is formed. An interlayer dielectric (ILD) layer is formed over the sidewall spacers, the patterned dummy gate layer, and the source/drain structures. After the ILD layer is formed, the patterned dummy gate layer and the patterned seed layer are removed, thereby forming a gate space. A gate dielectric layer and a gate electrode layer are formed in the gate space.
본 개시내용의 일 양태에 따르면, 반도체 디바이스는 유전체층 상에 배치된 반도체층의 부분으로서 형성된 채널, 채널 위에 배치된 게이트 유전체층, 게이트 유전체층 위에 배치된 게이트 전극층, 게이트 전극층의 대향 측면들 상에 배치된 측벽 스페이서, 및 소스 및 드레인을 포함한다. 반도체층은 채널로서 결정부 및 비결정부를 포함한다. 상기 및 이하의 실시예의 하나 이상에서, 반도체 디바이스는 유전체층에 의해 커버된 하나 이상의 트랜지스터를 더 포함한다. 상기 및 이하의 실시예의 하나 이상에서, 하나 이상의 트랜지스터는 핀 전계 효과 트랜지스터를 포함한다. 상기 및 이하의 실시예의 하나 이상에서, 반도체층은 Si, SiGe 및 Ge 중 하나로 제조된다. 상기 및 이하의 실시예의 하나 이상에서, 반도체층의 두께는 10 nm 내지 50 nm의 범위이다.According to an aspect of the present disclosure, a semiconductor device includes a channel formed as part of a semiconductor layer disposed on a dielectric layer, a gate dielectric layer disposed over the channel, a gate electrode layer disposed over the gate dielectric layer, disposed on opposite sides of the gate electrode layer. sidewall spacers, and a source and a drain. The semiconductor layer includes a crystalline portion and an amorphous portion as a channel. In one or more of the above and below embodiments, the semiconductor device further comprises one or more transistors covered by a dielectric layer. In one or more of the above and below embodiments, the one or more transistors comprise finned field effect transistors. In one or more of the above and below embodiments, the semiconductor layer is made of one of Si, SiGe, and Ge. In one or more of the above and below embodiments, the thickness of the semiconductor layer is in the range of 10 nm to 50 nm.
본 개시내용의 다른 양태에 따르면, 반도체 디바이스는 기판 상에 배치된 전자 디바이스, 전자 디바이스 위에 배치된 하나 이상의 유전체층, 하나 이상의 유전체층의 최상부층 상에 배치된 박막 트랜지스터를 포함한다. 각각의 박막 트랜지스터는 최상부층 상에 배치된 반도체층의 부분으로서 형성된 채널, 채널 위에 배치된 게이트 유전체층, 게이트 유전체층 위에 배치된 게이트 전극층, 게이트 전극층의 대향 측면들 상에 배치된 측벽 스페이서, 및 소스 및 드레인을 포함한다. 반도체층은 단결정이고, 결정 입계가 박막 트랜지스터들 중 하나의 반도체층과 박막 트랜지스터들 중 하나에 인접한 박막 트랜지스터들 중 다른 하나의 반도체층 사이에 존재한다. 상기 및 이하의 실시예의 하나 이상에서, 전자 디바이스는 트랜지스터이다. 상기 및 이하의 실시예의 하나 이상에서, 트랜지스터는 핀 전계 효과 트랜지스터 및 게이트-올-어라운드 트랜지스터 중 하나이다. 상기 및 이하의 실시예의 하나 이상에서, 반도체층은 Si, SiGe 및 Ge 중 하나로 제조된다. 상기 또는 이하의 실시예 중 하나 이상에서, 최상부층은 실리콘 산화물로 제조된다. 상기 및 이하의 실시예의 하나 이상에서, 반도체층의 두께는 10 nm 내지 50 nm의 범위이다. 상기 및 이하의 실시예의 하나 이상에서, 소스 및 드레인은 에피택셜 반도체층을 포함한다. 상기 및 이하의 실시예의 하나 이상에서, 에피택셜 반도체층은 박막 트랜지스터들 중 하나의 측벽 스페이서들 중 하나 및 박막 트랜지스터들 중 다른 하나의 측벽 스페이서들 중 하나와 접촉하고 있다.According to another aspect of the present disclosure, a semiconductor device includes an electronic device disposed on a substrate, one or more dielectric layers disposed over the electronic device, and a thin film transistor disposed on a top layer of the one or more dielectric layers. Each thin film transistor includes a channel formed as part of a semiconductor layer disposed on a topmost layer, a gate dielectric layer disposed over the channel, a gate electrode layer disposed over the gate dielectric layer, sidewall spacers disposed on opposite sides of the gate electrode layer, and a source and Includes drain. The semiconductor layer is single crystal, and a grain boundary exists between the semiconductor layer of one of the thin film transistors and the semiconductor layer of the other of the thin film transistors adjacent to one of the thin film transistors. In one or more of the above and below embodiments, the electronic device is a transistor. In one or more of the above and below embodiments, the transistor is one of a fin field effect transistor and a gate-all-around transistor. In one or more of the above and below embodiments, the semiconductor layer is made of one of Si, SiGe, and Ge. In one or more of the above or below embodiments, the top layer is made of silicon oxide. In one or more of the above and below embodiments, the thickness of the semiconductor layer is in the range of 10 nm to 50 nm. In one or more of the above and below embodiments, the source and drain include epitaxial semiconductor layers. In one or more of the above and below embodiments, the epitaxial semiconductor layer is in contact with one of the sidewall spacers of one of the thin film transistors and one of the sidewall spacers of the other of the thin film transistors.
본 개시내용의 다른 양태에 따르면, 반도체 디바이스는 기판 상에 배치된 전자 디바이스, 전자 디바이스 위에 배치된 하나 이상의 유전체층, 및 하나 이상의 유전체층의 최상부층 상에 배치된 박막 트랜지스터를 포함한다. 각각의 박막 트랜지스터는 최상부층 상에 배치된 반도체층의 부분으로서 형성된 채널, 채널 위에 배치된 게이트 유전체층, 게이트 유전체층 위에 배치된 게이트 전극층, 게이트 전극층의 대향 측면들 상에 배치된 측벽 스페이서, 및 소스 및 드레인을 포함한다. 채널은 단결정이고, 반도체층과 동일한 재료로 제조된 비결정질 반도체층이 박막 트랜지스터들 중 하나의 반도체층과 박막 트랜지스터들 중 하나에 인접한 박막 트랜지스터들 중 다른 하나의 반도체층 사이에 존재한다. 상기 및 이하의 실시예의 하나 이상에서, 전자 디바이스는 트랜지스터이다. 상기 및 이하의 실시예의 하나 이상에서, 트랜지스터는 핀 전계 효과 트랜지스터 및 게이트-올-어라운드 트랜지스터 중 하나이다. 상기 및 이하의 실시예의 하나 이상에서, 반도체층은 Si, SiGe 및 Ge 중 하나로 제조된다. 상기 및 이하의 실시예의 하나 이상에서, 반도체층의 두께는 10 nm 내지 50 nm의 범위이다. 상기 및 이하의 실시예의 하나 이상에서, 소스 및 드레인은 에피택셜 반도체층을 포함한다. 상기 및 이하의 실시예의 하나 이상에서, 에피택셜 반도체층은 박막 트랜지스터들 중 하나의 측벽 스페이서들 중 하나 및 박막 트랜지스터들 중 다른 하나의 측벽 스페이서들 중 하나와 접촉하고 있다.According to another aspect of the present disclosure, a semiconductor device includes an electronic device disposed on a substrate, one or more dielectric layers disposed over the electronic device, and a thin film transistor disposed on a top layer of the one or more dielectric layers. Each thin film transistor includes a channel formed as part of a semiconductor layer disposed on a topmost layer, a gate dielectric layer disposed over the channel, a gate electrode layer disposed over the gate dielectric layer, sidewall spacers disposed on opposite sides of the gate electrode layer, and a source and Includes drain. The channel is single crystal, and an amorphous semiconductor layer made of the same material as the semiconductor layer exists between the semiconductor layer of one of the thin film transistors and the semiconductor layer of the other of the thin film transistors adjacent to one of the thin film transistors. In one or more of the above and below embodiments, the electronic device is a transistor. In one or more of the above and below embodiments, the transistor is one of a fin field effect transistor and a gate-all-around transistor. In one or more of the above and below embodiments, the semiconductor layer is made of one of Si, SiGe, and Ge. In one or more of the above and below embodiments, the thickness of the semiconductor layer is in the range of 10 nm to 50 nm. In one or more of the above and below embodiments, the source and drain include epitaxial semiconductor layers. In one or more of the above and below embodiments, the epitaxial semiconductor layer is in contact with one of the sidewall spacers of one of the thin film transistors and one of the sidewall spacers of the other of the thin film transistors.
상기에는 당 기술 분야의 숙련자들이 본 발명의 양태를 더 양호하게 이해할 수도 있도록 다수의 실시예 또는 예의 특징을 개략 설명하였다. 당 기술 분야의 숙련자들은 이들이 본 명세서에 소개된 실시예 또는 예의 동일한 목적을 수행하고 그리고/또는 동일한 장점을 성취하기 위해 다른 프로세스 및 구조를 설계하거나 수정하기 위한 기초로서 본 개시내용을 즉시 사용할 수도 있다는 것을 이해해야 한다. 당 기술 분야의 숙련자들은 또한 이러한 등가의 구성이 본 발명의 사상 및 범주로부터 벗어나지 않는다는 것과, 이들이 본 발명의 사상 및 범주로부터 벗어나지 않고 본 명세서의 다양한 변경, 치환, 및 변형을 행할 수도 있다는 것을 또한 인식해야 한다.The foregoing has outlined the features of a number of embodiments or examples in order that those skilled in the art may better understand aspects of the present invention. Those skilled in the art will recognize that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments or examples introduced herein. have to understand Those skilled in the art will also recognize that such equivalent constructions do not depart from the spirit and scope of the present invention, and that they may make various changes, substitutions, and modifications herein without departing from the spirit and scope of the present invention. Should be.
<부 기><Note>
1. 반도체 디바이스의 제조 방법으로서,1. A method of manufacturing a semiconductor device, comprising:
기판 위에 배치된 유전체층 상에 반도체층을 형성하는 단계;forming a semiconductor layer on the dielectric layer disposed over the substrate;
상기 반도체층 상에 시드층을 형성하는 단계;forming a seed layer on the semiconductor layer;
상기 시드층을 패터닝된 시드층으로 패터닝하는 단계; 및patterning the seed layer into a patterned seed layer; and
상기 패터닝된 시드층을 결정화의 시드로서 사용하여 상기 반도체층에 대해 결정화 작업을 수행하여, 이에 의해 결정화된 반도체층을 형성하는 단계performing a crystallization operation on the semiconductor layer using the patterned seed layer as a seed of crystallization, thereby forming a crystallized semiconductor layer;
를 포함하는 반도체 디바이스의 제조 방법.A method of manufacturing a semiconductor device comprising a.
2. 제1항에 있어서, 상기 시드층은 MgO인 것인 반도체 디바이스의 제조 방법.2. The method of claim 1, wherein the seed layer is MgO.
3. 제1항에 있어서, 상기 반도체층은 비정질 또는 다결정인 것인 반도체 디바이스의 제조 방법.3. The method of claim 1, wherein the semiconductor layer is amorphous or polycrystalline.
4. 제3항에 있어서, 상기 반도체층은 Si, SiGe 및 Ge 중 하나인 것인 반도체 디바이스의 제조 방법.4. The method of claim 3, wherein the semiconductor layer is one of Si, SiGe and Ge.
5. 제1항에 있어서, 상기 시드층의 두께는 1 nm 내지 10 nm의 범위에 있는 것인 반도체 디바이스의 제조 방법.5. The method of claim 1, wherein the thickness of the seed layer is in the range of 1 nm to 10 nm.
6. 제1항에 있어서, 상기 반도체층의 두께는 10 nm 내지 50 nm의 범위에 있는 것인 반도체 디바이스의 제조 방법.6. The method according to claim 1, wherein the thickness of the semiconductor layer is in the range of 10 nm to 50 nm.
7. 제1항에 있어서, 상기 결정화 작업은 350℃ 내지 450℃의 온도에서의 열적 어닐링 또는 레이저 어닐링을 포함하는 것인 반도체 디바이스의 제조 방법.7. The method of claim 1, wherein the crystallizing operation comprises thermal annealing or laser annealing at a temperature of 350°C to 450°C.
8. 제1항에 있어서,8. Item 1,
상기 패터닝된 시드층의 대향 측면들에 측벽 스페이서를 형성하는 단계;forming sidewall spacers on opposite sides of the patterned seed layer;
소스/드레인 구조체를 형성하는 단계;forming a source/drain structure;
상기 측벽 스페이서, 상기 패터닝된 시드층 및 상기 소스/드레인 구조체 위에 층간 유전체(interlayer dielectric: ILD) 층을 형성하는 단계;forming an interlayer dielectric (ILD) layer over the sidewall spacers, the patterned seed layer, and the source/drain structures;
상기 ILD 층이 형성된 후에, 상기 패터닝된 시드층을 제거하여, 이에 의해 게이트 공간을 형성하는 단계; 및after the ILD layer is formed, removing the patterned seed layer, thereby forming a gate space; and
상기 게이트 공간 내에 게이트 유전체층 및 게이트 전극층을 형성하는 단계를 더 포함하는 반도체 디바이스의 제조 방법.and forming a gate dielectric layer and a gate electrode layer in the gate space.
9. 반도체 디바이스의 제조 방법으로서,9. A method of manufacturing a semiconductor device, comprising:
기판 위에 배치된 유전체층 상에 비정질(amorphous) 또는 다결정 반도체층을 형성하는 단계;forming an amorphous or polycrystalline semiconductor layer on the dielectric layer disposed over the substrate;
상기 반도체층 상에 시드층을 형성하는 단계;forming a seed layer on the semiconductor layer;
상기 시드층을 복수의 패터닝된 시드층으로 패터닝하는 단계; 및patterning the seed layer into a plurality of patterned seed layers; and
상기 패터닝된 시드층을 결정화의 시드로서 사용하여 상기 반도체층 상에 결정화 작업을 수행하여, 이에 의해 상기 유전체층 위에 복수의 단결정질(single crystalline) 반도체층을 형성하는 단계performing a crystallization operation on the semiconductor layer using the patterned seed layer as a seed of crystallization, thereby forming a plurality of single crystalline semiconductor layers over the dielectric layer;
를 포함하는 반도체 디바이스의 제조 방법.A method of manufacturing a semiconductor device comprising a.
10. 제9항에 있어서, 상기 시드층은 MgO인 것인 반도체 디바이스의 제조 방법.10. The method of claim 9, wherein the seed layer is MgO.
11. 제9항에 있어서, 상기 반도체층은 Si, SiGe 및 Ge 중 하나의 비정질 또는 다결정인 것인 반도체 디바이스의 제조 방법.11. The method of manufacturing a semiconductor device according to claim 9, wherein the semiconductor layer is an amorphous or polycrystalline one of Si, SiGe and Ge.
12. 제9항에 있어서, 상기 결정화 작업은 350℃ 내지 450℃의 온도에서의 열적 어닐링 또는 레이저 어닐링을 포함하는 것인 반도체 디바이스의 제조 방법.12. The method of claim 9, wherein the crystallizing operation comprises thermal annealing or laser annealing at a temperature of 350°C to 450°C.
13. 제9항에 있어서,13. Item 9,
상기 패터닝된 시드층의 대향 측면들 상에 측벽 스페이서를 형성하는 단계;forming sidewall spacers on opposite sides of the patterned seed layer;
소스/드레인 구조체를 형성하는 단계;forming a source/drain structure;
상기 측벽 스페이서, 상기 패터닝된 시드층 및 상기 소스/드레인 구조체 위에 층간 유전체(ILD) 층을 형성하는 단계;forming an interlayer dielectric (ILD) layer over the sidewall spacers, the patterned seed layer, and the source/drain structures;
상기 ILD 층이 형성된 후에, 상기 패터닝된 시드층을 제거하여, 이에 의해 게이트 공간을 형성하는 단계; 및after the ILD layer is formed, removing the patterned seed layer, thereby forming a gate space; and
상기 게이트 공간 각각 내에 게이트 유전체층 및 게이트 전극층을 형성하는 단계를 더 포함하는 반도체 디바이스의 제조 방법.and forming a gate dielectric layer and a gate electrode layer within each of the gate spaces.
14. 제9항에 있어서, 상기 결정화 작업은, 상기 시드층들 중 하나 아래의 결정화된 반도체층의 전방부가 상기 시드층들 중 하나에 인접한 상기 시드층들 중 다른 하나 아래의 결정화된 반도체층의 전방부에 조우하여, 이에 의해 결정 입계를 형성하도록 수행되는 것인 반도체 디바이스의 제조 방법.14. The method of clause 9, wherein the crystallization operation comprises: a front portion of a crystallized semiconductor layer under one of the seed layers adjacent to one of the seed layers; A method of manufacturing a semiconductor device, which is performed so as to encounter the front portion and thereby form a grain boundary.
15. 제9항에 있어서, 상기 결정화 작업은, 상기 시드층들 중 하나 아래의 결정화된 반도체층의 전방부가 상기 시드층들 중 하나에 인접한 상기 시드층들 중 다른 하나 아래의 결정화된 반도체층의 전방부에 조우하기 전에 정지되는 것인 반도체 디바이스의 제조 방법.15. The method of clause 9, wherein the crystallization operation comprises: a front portion of the crystallized semiconductor layer under one of the seed layers adjacent to one of the seed layers of the crystallized semiconductor layer under the other of the seed layers. A method of manufacturing a semiconductor device, wherein it is stopped before encountering the front part.
16. 반도체 디바이스에 있어서,16. A semiconductor device comprising:
기판 상에 배치된 전자 디바이스;an electronic device disposed on the substrate;
상기 전자 디바이스 위에 배치된 하나 이상의 유전체층; 및one or more dielectric layers disposed over the electronic device; and
상기 하나 이상의 유전체층의 최상부층(uppermost layer) 상에 배치된 박막 트랜지스터a thin film transistor disposed on the uppermost layer of the one or more dielectric layers
를 포함하고,including,
상기 박막 트랜지스터의 각각은,Each of the thin film transistors,
상기 최상부층 상에 배치된 반도체층의 부분으로서 형성된 채널;a channel formed as part of a semiconductor layer disposed on the top layer;
상기 채널 위에 배치된 게이트 유전체층;a gate dielectric layer disposed over the channel;
상기 게이트 유전체층 위에 배치된 게이트 전극층;a gate electrode layer disposed over the gate dielectric layer;
상기 게이트 전극층의 대향 측면들 상에 배치된 측벽 스페이서; 및sidewall spacers disposed on opposite sides of the gate electrode layer; and
소스 및 드레인을 포함하고,comprising a source and a drain;
상기 채널은 단결정이고,The channel is a single crystal,
결정 입계(grain boundary)가 상기 박막 트랜지스터들 중 하나의 박막 트랜지스터의 반도체층과 상기 박막 트랜지스터들 중 상기 하나의 박막 트랜지스터에 인접한 상기 박막 트랜지스터들 중 다른 하나의 박막 트랜지스터의 반도체층 사이에 존재하는 것인 반도체 디바이스.wherein a grain boundary exists between the semiconductor layer of one of the thin film transistors and the semiconductor layer of the other thin film transistor of the thin film transistor adjacent to the one of the thin film transistors. a semiconductor device.
17. 제16항에 있어서, 상기 전자 디바이스는 트랜지스터인 것인 반도체 디바이스.17. The semiconductor device of clause 16, wherein the electronic device is a transistor.
18. 제17항에 있어서, 상기 트랜지스터는 핀 전계 효과 트랜지스터 및 게이트-올-어라운드 트랜지스터 중 하나인 것인 반도체 디바이스.18. The semiconductor device of
19. 제16항에 있어서, 상기 반도체층은 Si, SiGe 및 Ge 중 하나로 제조되는 것인 반도체 디바이스.19. The semiconductor device of clause 16, wherein the semiconductor layer is made of one of Si, SiGe and Ge.
20. 제16항에 있어서, 상기 최상부층은 실리콘 산화물로 제조되는 것인 반도체 디바이스.20. The semiconductor device of clause 16, wherein the top layer is made of silicon oxide.
Claims (10)
기판 위에 배치된 유전체층(dielectric layer) 상에 반도체층을 형성하는 단계;
상기 반도체층 상에 시드층(seed layer)을 형성하는 단계;
상기 시드층을 패터닝된 시드층으로 패터닝하는 단계;
상기 패터닝된 시드층을 결정화의 시드로서 사용하여 상기 반도체층에 대해 결정화 작업을 수행하여, 이에 의해 결정화된 반도체층을 형성하는 단계;
상기 패터닝된 시드층의 대향 측면들에 측벽 스페이서들을 형성하는 단계;
소스/드레인 구조체를 형성하는 단계;
상기 측벽 스페이서들, 상기 패터닝된 시드층 및 상기 소스/드레인 구조체 위에 층간 유전체(interlayer dielectric: ILD) 층을 형성하는 단계;
상기 ILD 층이 형성된 후에, 상기 패터닝된 시드층을 제거하여, 이에 의해 게이트 공간을 형성하는 단계; 및
상기 게이트 공간 내에 게이트 유전체층 및 게이트 전극층을 형성하는 단계
를 포함하는 반도체 디바이스의 제조 방법.A method of manufacturing a semiconductor device, comprising:
forming a semiconductor layer on a dielectric layer disposed over the substrate;
forming a seed layer on the semiconductor layer;
patterning the seed layer into a patterned seed layer;
performing a crystallization operation on the semiconductor layer using the patterned seed layer as a seed of crystallization, thereby forming a crystallized semiconductor layer;
forming sidewall spacers on opposite sides of the patterned seed layer;
forming a source/drain structure;
forming an interlayer dielectric (ILD) layer over the sidewall spacers, the patterned seed layer, and the source/drain structures;
after the ILD layer is formed, removing the patterned seed layer, thereby forming a gate space; and
forming a gate dielectric layer and a gate electrode layer in the gate space;
A method of manufacturing a semiconductor device comprising a.
기판 위에 배치된 유전체층 상에 비정질(amorphous) 또는 다결정 반도체층을 형성하는 단계;
상기 반도체층 상에 시드층을 형성하는 단계;
상기 시드층을 복수의 패터닝된 시드층들로 패터닝하는 단계;
상기 패터닝된 시드층들을 결정화의 시드로서 사용하여 상기 반도체층 상에 결정화 작업을 수행하여, 이에 의해 상기 유전체층 위에 복수의 단결정질(single crystalline) 반도체층들을 형성하는 단계;
상기 패터닝된 시드층들의 대향 측면들에 측벽 스페이서들을 형성하는 단계;
소스/드레인 구조체를 형성하는 단계;
상기 측벽 스페이서들, 상기 패터닝된 시드층들 및 상기 소스/드레인 구조체 위에 층간 유전체(interlayer dielectric: ILD) 층을 형성하는 단계;
상기 ILD 층이 형성된 후에, 상기 패터닝된 시드층들을 제거하여, 이에 의해 게이트 공간들을 형성하는 단계; 및
각각의 상기 게이트 공간들 내에 게이트 유전체층 및 게이트 전극층을 형성하는 단계
를 포함하는 반도체 디바이스의 제조 방법.A method of manufacturing a semiconductor device, comprising:
forming an amorphous or polycrystalline semiconductor layer on the dielectric layer disposed over the substrate;
forming a seed layer on the semiconductor layer;
patterning the seed layer into a plurality of patterned seed layers;
performing a crystallization operation on the semiconductor layer using the patterned seed layers as seeds of crystallization, thereby forming a plurality of single crystalline semiconductor layers over the dielectric layer;
forming sidewall spacers on opposite sides of the patterned seed layers;
forming a source/drain structure;
forming an interlayer dielectric (ILD) layer over the sidewall spacers, the patterned seed layers, and the source/drain structure;
after the ILD layer is formed, removing the patterned seed layers, thereby forming gate spaces; and
forming a gate dielectric layer and a gate electrode layer in each of the gate spaces;
A method of manufacturing a semiconductor device comprising a.
기판 위에 배치된 유전체층 상에 반도체층을 형성하는 단계;
상기 반도체층 상에 시드 층을 형성하는 단계;
상기 시드 층 상에 더미 게이트 층을 형성하는 단계;
상기 더미 게이트 층 및 상기 시드 층을 패터닝된 더미 게이트 층 및 패터닝된 시드 층으로 패터닝하는 단계;
상기 패터닝된 시드 층을 결정화의 시드로서 사용하여 상기 반도체층 상에 결정화 작업을 수행하여, 이에 의해 결정화된 반도체층을 형성하는 단계;
상기 패터닝된 더미 게이트 층 및 상기 패터닝된 시드 층의 대향 측면들에 측벽 스페이서들을 형성하는 단계;
소스/드레인 구조체를 형성하는 단계;
상기 측벽 스페이서들, 상기 패터닝된 더미 게이트 층 및 상기 소스/드레인 구조체 위에 층간 유전체(interlayer dielectric: ILD) 층을 형성하는 단계;
상기 ILD 층이 형성된 후에, 상기 패터닝된 더미 게이트 층 및 상기 패터닝된 시드 층을 제거하여, 이에 의해 게이트 공간을 형성하는 단계; 및
상기 게이트 공간 내에 게이트 유전체 층 및 게이트 전극 층을 형성하는 단계
를 포함하는 반도체 디바이스의 제조 방법.A method of manufacturing a semiconductor device, comprising:
forming a semiconductor layer on the dielectric layer disposed over the substrate;
forming a seed layer on the semiconductor layer;
forming a dummy gate layer on the seed layer;
patterning the dummy gate layer and the seed layer into a patterned dummy gate layer and a patterned seed layer;
performing a crystallization operation on the semiconductor layer using the patterned seed layer as a seed of crystallization, thereby forming a crystallized semiconductor layer;
forming sidewall spacers on opposite sides of the patterned dummy gate layer and the patterned seed layer;
forming a source/drain structure;
forming an interlayer dielectric (ILD) layer over the sidewall spacers, the patterned dummy gate layer, and the source/drain structure;
after the ILD layer is formed, removing the patterned dummy gate layer and the patterned seed layer, thereby forming a gate space; and
forming a gate dielectric layer and a gate electrode layer in the gate space;
A method of manufacturing a semiconductor device comprising a.
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862738595P | 2018-09-28 | 2018-09-28 | |
US62/738,595 | 2018-09-28 | ||
US16/399,669 US11189490B2 (en) | 2018-09-28 | 2019-04-30 | Method of manufacturing a semiconductor device and a semiconductor device |
US16/399,669 | 2019-04-30 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20200037726A KR20200037726A (en) | 2020-04-09 |
KR102390076B1 true KR102390076B1 (en) | 2022-04-26 |
Family
ID=69781286
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020190093413A KR102390076B1 (en) | 2018-09-28 | 2019-07-31 | Method of manufacturing a semiconductor device and a semiconductor device |
Country Status (2)
Country | Link |
---|---|
KR (1) | KR102390076B1 (en) |
DE (1) | DE102019112120A1 (en) |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070246752A1 (en) * | 2006-04-21 | 2007-10-25 | Kangguo Cheng | Semiconductor device structures with reduced junction capacitance and drain induced barrier lowering and methods for fabricating such device structures and for fabricating a semiconductor-on-insulator substrate |
US20130193431A1 (en) | 2012-01-26 | 2013-08-01 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method for manufacturing the same |
US20150102466A1 (en) | 2013-10-16 | 2015-04-16 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor-on-insulator structure and method of fabricating the same |
US20150162415A1 (en) | 2011-11-23 | 2015-06-11 | Haizhou Yin | Semiconductor structure and method for manufacturing the same |
Family Cites Families (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100579179B1 (en) * | 2004-06-09 | 2006-05-11 | 삼성에스디아이 주식회사 | Thin film transistor and method fabricating thereof |
-
2019
- 2019-05-09 DE DE102019112120.5A patent/DE102019112120A1/en active Pending
- 2019-07-31 KR KR1020190093413A patent/KR102390076B1/en active IP Right Grant
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070246752A1 (en) * | 2006-04-21 | 2007-10-25 | Kangguo Cheng | Semiconductor device structures with reduced junction capacitance and drain induced barrier lowering and methods for fabricating such device structures and for fabricating a semiconductor-on-insulator substrate |
US20150162415A1 (en) | 2011-11-23 | 2015-06-11 | Haizhou Yin | Semiconductor structure and method for manufacturing the same |
US20130193431A1 (en) | 2012-01-26 | 2013-08-01 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method for manufacturing the same |
US20150102466A1 (en) | 2013-10-16 | 2015-04-16 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor-on-insulator structure and method of fabricating the same |
Also Published As
Publication number | Publication date |
---|---|
DE102019112120A1 (en) | 2020-04-02 |
KR20200037726A (en) | 2020-04-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10811518B2 (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
US12002811B2 (en) | Semiconductor device and manufacturing method thereof | |
US11848242B2 (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
US11600616B2 (en) | Semiconductor device including FINFETs having different channel heights | |
CN110970360B (en) | Semiconductor device and method for manufacturing semiconductor device | |
KR102330560B1 (en) | Semiconductor device and manufacturing method thereof | |
US10818777B2 (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
US20230411215A1 (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
US20240204105A1 (en) | Semiconductor device | |
KR102281071B1 (en) | Semiconductor device and manufacturing method thereof | |
US20230361202A1 (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
KR102232552B1 (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
US11222980B2 (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
KR102390076B1 (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
TW202213642A (en) | Semiconductor device and method of forming the same | |
US20240030310A1 (en) | Semiconductor device and method for forming the same |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
E902 | Notification of reason for refusal | ||
E90F | Notification of reason for final refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant |