KR102322475B1 - 다수의-언듈레이터 나선형 소형 광 소스 - Google Patents

다수의-언듈레이터 나선형 소형 광 소스 Download PDF

Info

Publication number
KR102322475B1
KR102322475B1 KR1020197011327A KR20197011327A KR102322475B1 KR 102322475 B1 KR102322475 B1 KR 102322475B1 KR 1020197011327 A KR1020197011327 A KR 1020197011327A KR 20197011327 A KR20197011327 A KR 20197011327A KR 102322475 B1 KR102322475 B1 KR 102322475B1
Authority
KR
South Korea
Prior art keywords
loop
light source
storage rings
spiral
storage
Prior art date
Application number
KR1020197011327A
Other languages
English (en)
Other versions
KR20190055178A (ko
Inventor
알빈 루리히
레오니드 리브킨
안드레아스 스트로인
Original Assignee
폴 슈레 앙스띠뛰
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 폴 슈레 앙스띠뛰 filed Critical 폴 슈레 앙스띠뛰
Publication of KR20190055178A publication Critical patent/KR20190055178A/ko
Application granted granted Critical
Publication of KR102322475B1 publication Critical patent/KR102322475B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H7/00Details of devices of the types covered by groups H05H9/00, H05H11/00, H05H13/00
    • H05H7/06Two-beam arrangements; Multi-beam arrangements storage rings; Electron rings
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J3/00Details of electron-optical or ion-optical arrangements or of ion traps common to two or more basic types of discharge tubes or lamps
    • H01J3/26Arrangements for deflecting ray or beam
    • H01J3/34Arrangements for deflecting ray or beam along a circle, spiral, or rotating radial line
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H13/00Magnetic resonance accelerators; Cyclotrons
    • H05H13/04Synchrotrons
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H7/00Details of devices of the types covered by groups H05H9/00, H05H11/00, H05H13/00
    • H05H7/04Magnet systems, e.g. undulators, wigglers; Energisation thereof

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Optics & Photonics (AREA)
  • Particle Accelerators (AREA)

Abstract

본 발명의 목적은 1개 초과의 삽입 디바이스를 호스팅할 수 있는 작은 풋프린트를 갖는 소형이고 비용 효율적인 광 소스를 제공하는 것이다. 예시적으로 - 그러나 이에 제한되지 않음 - 특히 코히어런트 산란 방법들을 사용하는 화학선 마스크 검사에 최적화된, EUV 범위에서 계측 적용을 위한 소형 소스가 제시된다. 이 목적은 본 발명에 따라 전자 빔 가속기 기술에 기반한 소형 광 소스에 의해 달성되고, 여기서 3개(그러나 이에 제한되지 않음)의 저장 링은 삽입 디바이스들의 구현을 위해 3개의 평면 직선 부분들을 제공하는 나선형 구성으로 연결된다. 소형 다수의-휨 자석 구조는 광의 높은 광도 및 큰 코히어런트 함량을 유도하는 작은 이미턴스(emittance)를 생성하기 위해 저장 링에 사용된다. 부스터는 탑-업(top-up) 주입에 의해 저장 링에 지속적으로 공급하고 이런 방식으로 전자 빔의 세기를 10-3의 레벨에 이르기까지 안정적으로 유지한다. 부스터는 나선형 저장 링 아래 레벨 상에 위치되고 부스터의 중앙 영역에 포지셔닝된 선형 가속기로부터 전자 빔을 수신한다. 이들 조치들은 종래의 실험실들 또는 이들의 유지 보수 영역들에 들어맞고 풋프린트가 약 50m2이도록 설계된 충분히 소형의 소스를 초래한다. 공간 절약 외에, 3개의 분리된 소형 소스들을 가지는 개념과 비교하여 다수의 다른 장점들이 있다. 주입, RF-가속, 빔 조작 디바이스들 및 대형 진단장치들 같은 주요 시스템들은 단지 1회만 요구된다. 더 높은 평균 전류들은 전체 중앙 원뿔형 출력을 향상시키는 그런 나선형 구성으로 저장될 수 있다. 작고 소형의 소스에서, 번치(bunch)들의 수는 이온 트래핑(trapping)에 의해 제한되고 그러므로 이온들을 제거하기 위해 큰 갭이 필요해진다. 동일한 갭 길이에 대해, 평균 전류는 나선형 구성에서 증가된다. 그러므로, 중앙 원뿔형 출력의 이득은, 단일 저장 링 원주의 절반의 갭 사이즈를 가정하면, 3배가 될 뿐만 아니라, 5배만큼 증가된다.

Description

다수의-언듈레이터 나선형 소형 광 소스
본 발명은 삽입 디바이스들의 구현을 위해 직선 부분들을 갖는 가속기 기술에 기반한 소형 광 소스(source)에 관한 것이다. 바닥 공간이 제한되고 이 설비에 의해 제공되는 파장 범위가 관심 있는 곳이라면 어디에서나 이의 적용이 이루어질 것이다. 예시적으로 - 그러나 이에 제한되지 않음 - 특히 코히어런트 산란 방법들을 사용하는 화학선 마스크 검사(actinic mask inspection)에 최적화된, EUV 범위에서 계측 적용을 위한 소형 소스가 본원에서 제시된다. 소형 광 소스는 예컨대 국제 특허 출원 PCT/EP2016/069809호에서 제안된다.
작은 풋프린트(footprint)들을 갖는 소형 소스들의 단점은 언듈레이터(undulator)들 또는 위글러(wiggler)들의 통합에 이용가능한 공간이 제한된다는 것이다. 그런 작은 소형 소스는 보통 2개의 긴 직선 부분들을 갖는 레이스트랙(racetrack) 형상을 가지며, 여기서 1개의 긴 직선 부분은 삽입 디바이스의 구현에 사용되고 다른 1개의 긴 직선 부분은 주입 시스템, 가속 공동들, 더 높은 고조파 공동으로서의 빔 조작 디바이스들 및 대형 빔 진단장치들에 사용된다.
[1] A. Wrulich et al, Feasibility Study for COSAMI - a Compact EUV Source for Actinic Mask Inspection [2] A. Streun, : "COSAMI lattices: ring, booster and transfer line", Internal note, PSI June 28, 2016. with coherent diffraction imaging methods [3] A. Wrulich, Ion trapping ....
본 발명의 목적은 1개 초과(본 경우에, 3개(그러나 이에 제한되지 않음))의 삽입 디바이스들을 호스팅(host)할 수 있는 저장 링에 기반한 작은 풋프린트를 갖는 소형이고 비용 효율적인 광 소스를 제공하는 것이다.
이 목적은 본 발명에 따라 나선형 소형 광 소스에 의해 달성되고, 여기서 복수의 저장 링들(그러나 이에 제한되지 않음)은 삽입 디바이스들의 구현을 위해 대응하는 수의 평면 직선 부분들을 제공하는 나선형 구성으로 연결된다.
상세히, 화학선 마스크 검사를 위한 특성을 갖는, 이를테면 13.5 nm의 광을 예시적으로(그러나 이에 제한되지 않음) 제공하는 삽입 디바이스들의 구현을 위해 다수의 직선 부분들을 갖는 가속기 기술에 기반한 본 발명에 따른 나선형 소형 광 소스(SCL)는 이하 특징(feature)들을 포함한다:
a) 요구된 바닥 공간은 하나의 언듈레이터만을 갖는 종래의 소형 소스에 대해서보다 크지 않거나;
b) 복수, 즉 3개(그러나 이에 제한되지 않음)의 저장 링들은 나선형 루프 형태로 결합되거나;
c) 나선형 루프들은 수직 전송 부분들의 필요 없이 쿼터 아크(quarter arc)들의 회전에 의해 연결되거나;
d) 최상위 루프로부터 최하위 루프로의 복귀 경로는 저장 링 구조를 간섭하지 않도록 최하위 루프와 최상위 루프의 아크 대칭 점들에 매칭 부분을 도입함으로써 변위되거나;
e) 주입, RF-가속, 전자 빔 조작 디바이스들 및 대형 진단장치들 같은 주요 가속기 시스템들은 3개의 저장 링들의 평면 배열과 비교하여, 1회만 요구되거나;
f) 평균 전류 제한 이온 트래핑(trapping) 효과들은, 단일 설비에 대해서와 동일한 듀티 사이클에 대해, 이온 제거 효율성을 정의하는 링 충전의 갭이 3배 더 크기 때문에, 크게 완화되거나, 또는
g) 대안적으로 단일 루프 설비에 대해서와 동일한 갭에 대해, 번치(bunch)들의 수 및 결과적으로 평균 전자 빔 세기는 증가될 수 있고; 결과적으로, 즉 3개의 저장 링들에 대해, 전체 중앙 원뿔형 방사 출력(cone radiation power)은 3개의 언듈레이터들에 의해서 3배가 될 뿐 아니라 5배만큼 증가되거나;
h) 부스터 링(booster ring)으로부터 저장 링으로의 탑-업(top-up) 주입을 위해, 2개의 비대칭적으로 배열된 램버슨 셉타(Lambertson septa)가 사용된다.
소형 다수의(multi)-휨 자석 구조는 광의 높은 광도 및 큰 코히어런트 함량을 유도하는 작은 이미턴스(emittance)를 생성하기 위해 저장 링에 사용된다.
부스터는 나선형 저장 링 아래 레벨 상에 위치되고 부스터의 중앙 영역에 배치된 선형 가속기로부터 전자 빔을 수신한다.
부스터는 탑-업 주입에 의해 저장 링에 지속적으로 공급하고 이런 방식으로 전자 빔의 세기를 10-3의 레벨에 이르기까지 안정적으로 유지한다. 탑-업 주입은 요구되는 세기 안전성에 도달할 뿐 아니라 토우셰크(Touschek) 산란 및 탄성 빔 가스 산란으로 인한 수명 감소들을 방지하기 위해 필수적이다. 전자 빔의 낮은 에너지 및 언듈레이터의 작은 수직 애퍼처(aperture) 갭 둘 모두는 이들 효과들을 강하게 향상시킨다.
이들 조치들은 종래의 실험실들 또는 이들의 유지 보수 영역들에 들어맞고 풋프린트가 약 50m2이도록 설계된 충분히 소형의 소스를 초래한다.
공간 절약 외에, 3개의 분리된 소형의 소스의 설치와 비교하여 다수의 다른 장점들이 있다. 주입, RF-가속, 빔 조작 디바이스들 및 대형 진단장치들 같은 주요 시스템들은 단지 1회만 요구된다.
단일 소형 소스에 대해, 주 빔 및 소스 파라미터들은 표 1에 모아졌다. 하나의 중대한 성능 제한 파라미터는 빔 전류이다. 더 높은 단일 번치 전류들은 불안정성들에 노출되고 결과적으로 저장가능 번치 전류에 대해 상한이 존재한다. 이어서, 중앙 원뿔형 출력을 정의하는 평균 전류는, 트래핑된 이온들의 제거를 위해, 번치 트레인(train)에 갭이 도입되어야 하기 때문에, 저장 링 내에 누적될 수 있는 번치들의 수에 의해 제한된다. 필수적으로 이 갭의 길이가 제거 효율성을 정의하는 것이 [3]에서 입증되었다. 작은 원주를 갖는 소형 소스에 대해, 이 갭은 원주의 절반을 넘어 연장될 수 있다.
이에 대해, 나선형 소형 소스는 명확한 장점을 가진다. 동일한 갭 길이에 대해, 평균 전류는 증가되고 결과적으로 중앙 원뿔형 출력이 향상된다. 단일 소스에 대해서와 동일한 제거 효율성을 위해, 갭 길이를 원주의 절반으로 가정하면, 250 mA의 평균 전류가 150 mA 대신 저장될 수 있다. 결과적으로, 3개의-나선형 소형 소스에 대한 전체 광빔 출력의 이득은 3배뿐 아니라 심지어 5배이다. 단지 2개 또는 심지어 4개 이상의 루프들의 저장 링들을 갖는 다른 실시예들은 또한 나선형 구조에서의 루프들의 수에 대응하는 언듈레이터들의 수로 인해 개별 빔 출력을 제공하는 것을 가능하게 한다.
Figure 112019040446530-pct00001
본 발명의 바람직한 실시예들은 이후 도시된 첨부된 도면들을 참조하여 설명된다.
도 1은 나선형 저장 링의 사시도 및 평면도이다.
도 2는 다음 저장 링 레벨에 연결하기 위한 쿼터의 회전이다.
도 3은 쿼터 아크 회전들의 개략도이다.
도 4는 저장 링 주입 레이아웃의 개념도이다.
나선형 광 소스의 기본 엘리먼트들은 서로의 상부 상에 포지셔닝된 3개의 동일한 저장 링이고, 3개의 동일한 저장 링은 도 1에 도시된 바와 같고 이런 방식으로 하나의 유닛을 구성하는 나선형 형태로 연결된다. 루프들 각각은 하나의 언듈레이터를 포함하고, 하나의 언듈레이터는, 화학선 마스크 검사에 사용되지 않으면, 상이한 파장 범위(파장은 EUV일 수 있지만, 또한 언듈레이터의 자석 극들의 주기성 및 거리의 설계에 따라 더 높거나 더 낮을 수 있음)에 대해 최적화될 수 있다. 도 1의 후면의 3개의 반쪽 링들은 3개의 언듈레이터를 호스팅한다. 하나의 레벨에서 다른 레벨로 빔을 전송하는 데 요구되는 어떠한 특정 수직 편향도 없다. (도 1의 전면에서) 쿼터 아크들은 단순히 인접한 링과 연결하기 위해 휘어진다. SR-1의 전면에서 좌측 쿼터 아크는 도 2에 도시된 방식으로 상향으로 휘어지는 반면, SR-2의 우측 쿼터 아크는 하향으로 휘어진다. 동일한 구성이 SR-2와 SR-3 사이에 구현된다. SR-3으로부터 SR-1로의 복귀 아크에 대해, 쿼터 아크는 링들의 전면 구조를 간섭하지 않도록 0.5 내지 1 m만큼 변위된다. 전송 경로들의 개념도가 도 3에 도시된다. 전송 경로 각도들의 경사도는 2개의 루프들 사이에서 α=7.4°이고 복귀 경로에 대해 β=14.8°이다.
부스터 싱크로트론(synchroton)의 설계는 나선형 저장 링의 레이스트랙 형상을 따르고 나선형 저장 링의 최하위 루프 아래에 포지셔닝된다. 저장 링의 주입은 SR-1과 SR-2 사이의 경사부 상에서 수직으로 수행된다. 부스터로부터 오는 빔은 수평 변위 및 각도로 램버슨 셉텀(septum)(LS)에 진입하고 LS의 수직 편향 이후 저장 링의 수용부에서 캡처된 하류에 위치된 펄스화된 비선형 다중극 키커(pulsed nonlinear multipole kicker)(NK)로 향한다. 도 4는 수직 및 수평 빔 전송을 개념적으로 도시한다.
부스터 링으로부터 저장 링으로의 탑-업 주입을 위해, 2개의 비대칭적으로 배열된 램버슨 셉타가 사용된다. 저장 링으로의 주입을 위해, 펄스화된 다중극 시스템이 사용되어 주입 프로세스 동안 저장된 빔이 영향을 받지 않게 한다.
선형 가속기는 저장 링의 구조 내에 완전히 들어맞는다. 이 조치는 또한 소스의 풋프린트를 감소시키는 요구에 기여한다.
가속 RF-공동들, 빔 조작 디바이스들 및 대형 진단장치들은 SR-2를 SR-3과 연결하는 제2 직선 부분에 포지셔닝될 것이다.
본 발명의 추가 바람직한 실시예들은 종속항들에 열거된다.

Claims (8)

  1. 삽입 디바이스들을 구현하기 위해 다수의 직선 부분들을 갖는 가속기 기술에 기반한 나선형 소형 광 소스로서,
    a) 단 하나의 언듈레이터(undulator)만을 갖는 소형 소스에 대한 것보다 크지 않은 바닥 공간을 요구하는 풋프린트;
    b) 나선형 루프 형상으로 결합되며, 최상위 루프 및 최하위 루프를 포함하는 복수의 저장 링들;
    c) 수직 전송 부분들 없이 쿼터 아크들(quarter arcs)의 회전에 의해 연결되는 상기 나선형 루프들;
    d) 상기 저장 링들의 구조와 간섭하지 않도록 상기 최하위 루프와 상기 최상위 루프의 아크 대칭 점들에 매칭 부분을 도입함으로써 변위되는, 상기 최상위 루프로부터 상기 최하위 루프로의 복귀 경로;
    e) 복수의 저장 링들의 평면 구성과 비교하여, 단 1회만 요구되는, 주입, RF-가속, 전자 빔 조작 디바이스들 및 진단장치들을 포함하는 가속기 시스템들;
    f) 평균 전류 제한 이온 트래핑 효과들(average current limiting ion trapping effects)을 완화하기 위해 단일 설비와 동일한 듀티 사이클에 대한 것보다 3배 더 큰 이온 제거 효율성을 정의하는 갭을 갖는 링 충전, 또는
    g) 전체 중앙 원뿔형 방사 출력(overall central cone radiation power)이 증가되도록 하는, 단일 루프 설비와 동일한 갭에 대한 증가된 수의 번치들 및 평균 전자 빔 세기; 및
    h) 부스터 링(booster ring)으로부터 상기 저장 링들로의 탑-업 주입(top-up injection)을 위한 2개의 비대칭적으로 배치된 램버슨 셉타(Lambertson septa)
    를 포함하는, 나선형 소형 광 소스.
  2. 제1항에 있어서,
    상기 광 소스는 화학선 마스크 검사(actinic mask inspection)를 위한 특성들을 갖는 광을 제공하는, 나선형 소형 광 소스.
  3. 제2항에 있어서,
    상기 광 소스는 13.5 nm의 파장을 갖는 광을 제공하는, 나선형 소형 광 소스.
  4. 제1항에 있어서,
    상기 복수의 저장 링들은 3개의 저장 링들을 포함하고, 상기 전체 중앙 원뿔형 방사 출력은, 상기 3개의 저장 링들에 대하여 3개의 언듈레이터들에 의해 3배가 되는 것보다는 5배만큼 증가되는, 나선형 소형 광 소스.
  5. 제1항에 있어서,
    상기 부스터 링은, 빔이 램버슨 셉텀(Lambertson septum)에 의해 수직으로 추출되는 상기 저장 링들의 나선형 구성의 상기 최하위 루프 아래에 포지셔닝되는, 나선형 소형 광 소스.
  6. 제1항에 있어서,
    상기 저장 링의 주입 시스템은 상기 최하위 루프와 다음 인접 루프를 상호연결하는 상향 배향 직선 부분에 배치되는, 나선형 소형 광 소스.
  7. 제1항에 있어서,
    가속 공동, 상기 빔 조작 디바이스들 및 상기 진단장치들은 상기 최상위 루프와 인접 루프를 상호연결하는 상향 배향 직선 부분에 배치되는, 나선형 소형 광 소스.
  8. 제1항에 있어서,
    상기 풋프린트는 총 50 m2이고;
    상기 복수의 저장 링들은 3개의 저장 링들을 포함하고;
    상기 풋프린트는, 상기 3개의 저장 링들의 나선형 구성, 상기 저장 링의 나선형 구성의 상기 최하위 루프 아래의 상기 부스터 링의 포지셔닝, 및 상기 부스터 링 내부의 선형 가속기의 포지셔닝에 의해 달성되는 2개의 긴 직선 부분들을 갖는 레이스트랙 형상을 갖는, 나선형 소형 광 소스.
KR1020197011327A 2016-10-20 2017-08-16 다수의-언듈레이터 나선형 소형 광 소스 KR102322475B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP16194829.4 2016-10-20
EP16194829 2016-10-20
PCT/EP2017/070696 WO2018072913A1 (en) 2016-10-20 2017-08-16 A multi-undulator spiral compact light source

Publications (2)

Publication Number Publication Date
KR20190055178A KR20190055178A (ko) 2019-05-22
KR102322475B1 true KR102322475B1 (ko) 2021-11-08

Family

ID=57233300

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197011327A KR102322475B1 (ko) 2016-10-20 2017-08-16 다수의-언듈레이터 나선형 소형 광 소스

Country Status (4)

Country Link
US (1) US10638594B2 (ko)
KR (1) KR102322475B1 (ko)
TW (1) TWI638117B (ko)
WO (1) WO2018072913A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110944446B (zh) * 2019-10-29 2020-09-25 清华大学 电子束团储存环以及具有该电子束团储存环的极紫外光源

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060249685A1 (en) 2005-04-26 2006-11-09 Mitsubushi Denki Kabushiki Kaisha Electromagnetic wave generator
US20080157011A1 (en) 2004-12-17 2008-07-03 Osaka University Extreme Ultraviolet Light and X-Ray Source Target and Manufacturing Method Thereof
US20090016493A1 (en) 2006-05-19 2009-01-15 Colorado State University Research Foundation Renewable laser target
US20110170079A1 (en) 2008-09-09 2011-07-14 Asml Netherlands B.V Radiation system and lithographic apparatus
US20150043719A1 (en) 2011-09-01 2015-02-12 Universidad Industrial De Santander Compact self-resonant x-ray source

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5353291A (en) * 1993-02-19 1994-10-04 The United States Of America As Represented By The Secretary Of The Navy Laser synchrotron source (LSS)
JP3219376B2 (ja) 1997-02-18 2001-10-15 川崎重工業株式会社 低エミッタンス電子蓄積リング
US7329886B2 (en) 1998-05-05 2008-02-12 Carl Zeiss Smt Ag EUV illumination system having a plurality of light sources for illuminating an optical element
US6724782B2 (en) * 2002-04-30 2004-04-20 The Regents Of The University Of California Femtosecond laser-electron x-ray source
US7382861B2 (en) * 2005-06-02 2008-06-03 John M. J. Madey High efficiency monochromatic X-ray source using an optical undulator
RU2462009C1 (ru) 2011-06-08 2012-09-20 Мурадин Абубекирович Кумахов Способ изменения направления движения пучка ускоренных заряженных частиц, устройство для осуществления этого способа, источник электромагнитного излучения, линейный и циклический ускорители заряженных частиц, коллайдер и средство для получения магнитного поля, создаваемого током ускоренных заряженных частиц
US8749179B2 (en) 2012-08-14 2014-06-10 Kla-Tencor Corporation Optical characterization systems employing compact synchrotron radiation sources
US9844124B2 (en) 2015-03-12 2017-12-12 Globalfoundries Inc. Method, apparatus and system for using free-electron laser compatible EUV beam for semiconductor wafer metrology
EP3136828A1 (en) 2015-08-28 2017-03-01 Paul Scherrer Institut A compact light source for metrology applications in the euv range

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080157011A1 (en) 2004-12-17 2008-07-03 Osaka University Extreme Ultraviolet Light and X-Ray Source Target and Manufacturing Method Thereof
US20060249685A1 (en) 2005-04-26 2006-11-09 Mitsubushi Denki Kabushiki Kaisha Electromagnetic wave generator
US20090016493A1 (en) 2006-05-19 2009-01-15 Colorado State University Research Foundation Renewable laser target
US20110170079A1 (en) 2008-09-09 2011-07-14 Asml Netherlands B.V Radiation system and lithographic apparatus
US20150043719A1 (en) 2011-09-01 2015-02-12 Universidad Industrial De Santander Compact self-resonant x-ray source

Also Published As

Publication number Publication date
US20190254155A1 (en) 2019-08-15
TWI638117B (zh) 2018-10-11
US10638594B2 (en) 2020-04-28
WO2018072913A1 (en) 2018-04-26
TW201816329A (zh) 2018-05-01
KR20190055178A (ko) 2019-05-22

Similar Documents

Publication Publication Date Title
Cappi et al. Novel method for multiturn extraction: Trapping charged particles in islands of phase space
Gilardoni et al. Fifty years of the CERN Proton Synchrotron: Volume 2
CN107251658B (zh) 同步加速器用入射器系统及漂移管直线加速器的运转方法
CN109842986B (zh) 横向束流均匀的快循环同步加速器和加速器系统
Jiao et al. Modified theoretical minimum emittance lattice for<? format?> an electron storage ring with extreme-low emittance
KR102322475B1 (ko) 다수의-언듈레이터 나선형 소형 광 소스
JP7318935B2 (ja) 加速器及び加速器システム
Tsoupas et al. Uniform beam distributions at the target of the NASA Space Radiation Laboratory’s beam line
Streun et al. Design studies for an upgrade of the SLS storage ring
Stupakov et al. FEL oscillator for EUV lithography
Heine Current status of the MESA project
Corsini et al. Beam dynamics for the CTF3 preliminary phase
Abelleira et al. Design Status of LHeC Linac-Ring Interaction Region
CN107251151B (zh) 用于中性束注入器的光子中和器
Poirier et al. Dynamics on the positron capture and accelerating sections of CLIC
Ji et al. Design of a compact ion beam transport system for the BELLA ion accelerator
KR102627859B1 (ko) 자유전자 레이저 발생 장치 및 방법
Belikov et al. Transport and matching of the injecting beam
Pozdeyev et al. FRIB front end design status
Härer Lattice design and beam optics calculations for the new large-scale electron-positron collider FCC-ee
Sun A Compact Ring Design with Tunable Momentum Compaction
Miyamoto et al. Design of ultra-low emittance ring with multi-bend lattice on a torus-knot
Kumar et al. Start to end simulation of high current injector using tracewin code
Geng et al. Issues in CEPC Pretzel and Partial Double Ring Scheme Design
Levichev Collision technologies for circular colliders

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant