KR102313223B1 - Control of etch rate using modeling, feedback and impedance match - Google Patents

Control of etch rate using modeling, feedback and impedance match Download PDF

Info

Publication number
KR102313223B1
KR102313223B1 KR1020140132714A KR20140132714A KR102313223B1 KR 102313223 B1 KR102313223 B1 KR 102313223B1 KR 1020140132714 A KR1020140132714 A KR 1020140132714A KR 20140132714 A KR20140132714 A KR 20140132714A KR 102313223 B1 KR102313223 B1 KR 102313223B1
Authority
KR
South Korea
Prior art keywords
variable
impedance
computer
capacitor
impedance matching
Prior art date
Application number
KR1020140132714A
Other languages
Korean (ko)
Other versions
KR20150039125A (en
Inventor
브래드포드 제이. 린다커
주니어 존 씨. 발코어
알렉세이 마라크타노브
세예드 자파르 자파리안-테라니
첸 즈강
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/043,525 external-priority patent/US9337000B2/en
Priority claimed from US14/043,574 external-priority patent/US9401264B2/en
Priority claimed from US14/152,729 external-priority patent/US9620334B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150039125A publication Critical patent/KR20150039125A/en
Application granted granted Critical
Publication of KR102313223B1 publication Critical patent/KR102313223B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

에칭 레이트를 달성하기 위한 방법이 기술된다. 이 방법은 플라즈마 챔버에서의 워크피스 프로세싱과 연관된 계산된 변수를 수신하는 단계를 포함한다. 이 방법은 모델의 출력에서 상기 계산된 변수의 값을 생성하도록 상기 모델을 통해 상기 계산된 변수를 전파하는 단계, 상기 값과 연관된 계산된 프로세싱 레이트를 식별하는 단계, 및 상기 계산된 프로세싱 레이트에 기초하여 미리 결정된 프로세싱 레이트를 식별하는 단계를 더 포함한다. 이 방법은 또한 미리 결정된 프로세싱 레이트에 기초하여 상기 출력에서 미리 결정된 변수가 달성되는지 식별하는 단계, 및 상기 미리 결정된 변수의 실수부 및 허수부와 연관된 지수들을 식별하는 단계를 포함한다. 이 방법은 상기 미리 결정된 변수를 또한 달성하도록 상기 지수들을 달성하도록 상기 가변 회로 구성요소들을 제어하는 단계를 포함한다.A method for achieving an etch rate is described. The method includes receiving a calculated parameter associated with processing a workpiece in a plasma chamber. The method includes propagating the computed variable through the model to produce a value of the computed variable at an output of the model, identifying a computed processing rate associated with the value, and based on the computed processing rate to identify the predetermined processing rate. The method also includes identifying whether a predetermined variable is achieved in the output based on a predetermined processing rate, and identifying exponents associated with the real and imaginary parts of the predetermined variable. The method includes controlling the variable circuit components to achieve the indices to also achieve the predetermined variable.

Figure R1020140132714
Figure R1020140132714

Description

모델링, 피드백 및 임피던스 매칭을 사용하는 에칭 레이트 제어{CONTROL OF ETCH RATE USING MODELING, FEEDBACK AND IMPEDANCE MATCH}CONTROL OF ETCH RATE USING MODELING, FEEDBACK AND IMPEDANCE MATCH

본 발명은 모델링, 피드백 및 임피던스 매칭 회로를 사용하여 에칭 레이트를 제어하는 것에 관한 것이다. FIELD OF THE INVENTION The present invention relates to controlling etch rate using modeling, feedback and impedance matching circuitry.

일부 플라즈마 프로세싱 시스템들에서, 무선 주파수 (RF) 생성기가 RF 신호를 생성하기 위해 사용된다. RF 신호는 챔버 내에 플라즈마를 생성하기 위해 플라즈마 챔버에 공급된다.In some plasma processing systems, a radio frequency (RF) generator is used to generate an RF signal. An RF signal is supplied to the plasma chamber to create a plasma within the chamber.

플라즈마는 광범위한 동작들, 예를 들어, 웨이퍼를 세정하고, 웨이퍼 상에 산화물들을 증착하고, 산화물들을 에칭하는, 등의 동작들을 위해 사용된다. 웨이퍼 수율을 달성하도록, 플라즈마의 균일성을 제어하는 것이 중요하다. Plasma is used for a wide range of operations, eg, cleaning a wafer, depositing oxides on a wafer, etching oxides, and the like. To achieve wafer yield, it is important to control the uniformity of the plasma.

이것이 본 개시에 기술된 실시예들이 발생한 맥락이다. This is the context in which the embodiments described in this disclosure occurred.

본 개시의 실시예들은 모델링, 피드백 및 임피던스 매칭 회로를 사용하여 에칭 레이트를 제어하기 위한 장치, 방법들, 및 컴퓨터 프로그램들을 제공한다. 본 실시예들은 다양한 방식들, 예를 들어, 프로세스, 장치, 시스템, 디바이스, 또는 컴퓨터-판독가능 매체 상의 방법으로 구현될 수 있다는 것이 이해되어야 한다. 몇몇 실시예들이 이하에 기술된다. Embodiments of the present disclosure provide apparatus, methods, and computer programs for controlling an etch rate using modeling, feedback, and impedance matching circuitry. It should be understood that the present embodiments may be implemented in various ways, for example, in a process, an apparatus, a system, a device, or a method on a computer-readable medium. Some embodiments are described below.

일부 실시예들에서, 웨이퍼 상의 균일성 제어는 에칭 반응 장치, 예를 들어, 300 ㎜ 웨이퍼 에칭 반응 장치, 200 ㎜ 웨이퍼 에칭 반응 장치, 등에서 달성된다. 에칭 균일성에 영향을 주는 일부 요인들은 RF 생성기의 동작의 기본 주파수와 연관된 고조파 주파수들 (harmonic frequencies) 에 의해 생성되고 상호변조 왜곡 (IMD; intermodulation distortion) 주파수들에 의해 생성된다. In some embodiments, uniformity control on the wafer is achieved in an etch reaction apparatus, eg, a 300 mm wafer etch reaction apparatus, a 200 mm wafer etch reaction apparatus, or the like. Some factors affecting etch uniformity are created by harmonic frequencies associated with the fundamental frequency of operation of the RF generator and are created by intermodulation distortion (IMD) frequencies.

다양한 실시예들에서, 플라즈마 시스템의 일부의 모델이 프로세서에 의해 생성된다. 모델의 출력에서 변수가 결정된다. 변수에 기초하여, 파라미터, 예를 들어, 에칭 레이트, 증착 레이트, 감마 (gamma), 등이 결정된다. 계산된 파라미터는 계산된 파라미터와 미리 결정된 파라미터 간의 매칭이 있는지 여부를 결정하기 위해 미리 결정된 파라미터와 비교된다. 매칭이 없다는 결정 시, 임피던스 매칭 회로 내의 가변 인덕터의 커패시턴스 및/또는 임피던스 매칭 회로 내의 가변 인덕터의 인덕턴스가 매칭을 달성하도록 변화된다. 매칭이 달성될 때, 플라즈마 챔버 내의 플라즈마의 균일성이 상승한다. In various embodiments, a model of a portion of a plasma system is generated by a processor. Variables are determined from the output of the model. Based on the variables, parameters such as etch rate, deposition rate, gamma, etc. are determined. The calculated parameter is compared to the predetermined parameter to determine whether there is a match between the calculated parameter and the predetermined parameter. Upon determining that there is no match, the capacitance of the variable inductor in the impedance matching circuit and/or the inductance of the variable inductor in the impedance matching circuit is changed to achieve the match. When matching is achieved, the uniformity of the plasma within the plasma chamber rises.

몇몇 실시예들에서, 에칭 레이트를 달성하기 위한 방법이 기술된다. 이 방법은 플라즈마 챔버에서의 워크피스 프로세싱과 연관된 계산된 변수를 수신하는 단계를 포함한다. 플라즈마 챔버는 무선 주파수 (RF) 송신선을 통해 임피던스 매칭 회로에 커플링된다. 임피던스 매칭 회로는 RF 케이블을 통해 RF 생성기에 커플링된다. 컴퓨터-생성된 모델의 출력에서 계산된 변수의 값을 생성하도록 컴퓨터-생성된 모델을 통해 계산된 변수를 전파하는 단계, 계산된 변수의 값과 연관된 계산된 프로세싱 레이트를 식별하는 단계, 및 계산된 프로세싱 레이트에 기초하여 미리 결정된 프로세싱 레이트가 달성되는지 식별하는 단계를 포함한다. 이 방법은 또한 미리 결정된 프로세싱 레이트에 기초하여 컴퓨터-생성된 모델의 출력에서 미리 결정된 변수가 달성되는지 식별하는 단계 및 미리 결정된 변수의 실수부와 연관된 제1 지수 (characteristic) 를 식별하는 단계를 포함한다. 제1 지수는 임피던스 매칭 회로 내의 제1 가변 회로 구성요소의 제1 지수이다. 이 방법은 제1 지수를 달성하여 미리 결정된 변수의 실수부를 또한 달성하도록 제1 가변 회로 구성요소를 제어하는 단계 및 미리 결정된 변수의 허수부와 연관된 제2 지수를 식별하는 단계를 포함한다. 제2 지수는 임피던스 매칭 회로 내의 제2 가변 회로 구성요소의 제2 지수이다. 이 방법은 제2 지수를 달성하여 미리 결정된 변수의 허수부를 또한 달성하도록 제2 가변 회로 구성요소로 신호를 전송하는 단계를 포함한다. In some embodiments, a method for achieving an etch rate is described. The method includes receiving a calculated parameter associated with processing a workpiece in a plasma chamber. The plasma chamber is coupled to an impedance matching circuit via a radio frequency (RF) transmission line. The impedance matching circuit is coupled to the RF generator via an RF cable. propagating the computed variable through the computer-generated model to produce a value of the computed variable at the output of the computer-generated model, identifying a computed processing rate associated with the computed variable's value, and and identifying whether a predetermined processing rate is achieved based on the processing rate. The method also includes identifying whether a predetermined variable is achieved in the output of the computer-generated model based on the predetermined processing rate and identifying a first characteristic associated with the real part of the predetermined variable. . The first index is a first index of the first variable circuit component in the impedance matching circuit. The method includes controlling the first variable circuit component to achieve the first exponent to also achieve the real part of the predetermined variable and identifying a second exponent associated with the imaginary part of the predetermined variable. The second index is a second index of the second variable circuit component in the impedance matching circuit. The method includes sending a signal to a second variable circuit component to achieve a second exponent to also achieve an imaginary part of the predetermined variable.

일부 실시예들에서, 호스트 제어기가 기술된다. 호스트 제어기는 복소 변수를 저장하기 위한 메모리 디바이스 및 메모리 디바이스에 커플링된 호스트 프로세서를 포함한다. 호스트 프로세서는 플라즈마 챔버에서의 워크피스 프로세싱과 연관된 계산된 변수를 수신하는 동작, 컴퓨터-생성된 모델의 출력에서 계산된 변수의 값을 생성하도록 컴퓨터-생성된 모델을 통해 계산된 변수를 전파하는 동작 및 계산된 변수의 값과 연관된 계산된 프로세싱 레이트를 식별하는 동작을 위해 사용된다. 이 호스트 프로세서는 또한 계산된 프로세싱 레이트에 기초하여 미리 결정된 프로세싱 레이트가 달성되는지 식별하는 동작, 미리 결정된 프로세싱 레이트에 기초하여 컴퓨터-생성된 모델의 출력에서 미리 결정된 변수를 식별하는 동작 및 미리 결정된 변수의 실수부와 연관된 제1 지수를 식별하는 동작을 위해 사용된다. 제1 지수는 임피던스 매칭 회로 내의 제1 가변 회로 구성요소의 제1 지수이다. 호스트 프로세서는 제1 지수를 달성하여 미리 결정된 변수의 실수부를 또한 달성하도록 제1 가변 회로 구성요소로 신호를 전송하는 동작 및 미리 결정된 변수의 허수부와 연관된 제2 지수를 식별하는 동작을 위해 사용된다. 제2 지수는 임피던스 매칭 회로 내의 제2 가변 회로 구성요소의 제2 지수이다. 이 방법은 제2 지수를 달성하여 미리 결정된 변수의 허수부를 또한 달성하도록 제2 가변 회로 구성요소로 신호를 전송하는 동작을 포함한다. In some embodiments, a host controller is described. The host controller includes a memory device for storing the complex variable and a host processor coupled to the memory device. The host processor operates to receive the calculated variable associated with processing the workpiece in the plasma chamber, and propagate the calculated variable through the computer-generated model to produce a value of the calculated variable at an output of the computer-generated model. and identifying a calculated processing rate associated with the value of the calculated variable. The host processor is also configured to: identify whether a predetermined processing rate is achieved based on the calculated processing rate; identify a predetermined variable in the output of the computer-generated model based on the predetermined processing rate; and used for the operation of identifying the first exponent associated with the real part. The first index is a first index of the first variable circuit component in the impedance matching circuit. The host processor is used for transmitting a signal to the first variable circuit component to achieve the first exponent to also achieve the real part of the predetermined variable and identifying the second exponent associated with the imaginary part of the predetermined variable. . The second index is a second index of the second variable circuit component in the impedance matching circuit. The method includes sending a signal to a second variable circuit component to achieve a second exponent to also achieve an imaginary part of the predetermined variable.

몇몇 실시예들에서, 그 위에 실행가능한 프로그램이 저장된 비일시적 (non-transitory) 컴퓨터-판독가능 저장 매체가 기술된다. 이 프로그램은 다음의 동작들을 수행하도록 프로세서에 지시한다. 이 동작들은 플라즈마 챔버에서의 워크피스 프로세싱과 연관된 계산된 변수를 수신하는 동작을 포함한다. 이 동작은 컴퓨터-생성된 모델의 출력에서 계산된 변수의 값을 생성하도록 컴퓨터-생성된 모델을 통해 계산된 변수를 전파하는 동작, 계산된 변수의 값과 연관된 계산된 프로세싱 레이트를 식별하는 동작, 및 계산된 프로세싱 레이트에 기초하여 미리 결정된 프로세싱 레이트가 달성되는지 식별하는 동작을 더 포함한다. 이 동작들은 미리 결정된 프로세싱 레이트에 기초하여 컴퓨터-생성된 모델의 출력에서 미리 결정된 변수가 달성되는지를 식별하는 동작 및 미리 결정된 변수의 실수부와 연관된 제1 지수를 식별하는 동작을 포함한다. 제1 지수는 임피던스 매칭 회로 내의 제1 가변 회로 구성요소의 제1 지수이다. 이 동작들은 제1 지수를 달성하여 미리 결정된 변수의 실수부를 또한 달성하도록 제1 가변 회로 구성요소로 신호를 전송하는 동작 및 미리 결정된 변수의 허수부와 연관된 제2 지수를 식별하는 동작을 포함한다. 제2 지수는 임피던스 매칭 회로 내의 제2 가변 회로 구성요소의 제2 지수이다. 이 동작들은 제2 지수를 달성하여 미리 결정된 변수의 허수부를 또한 달성하도록 제2 가변 회로 구성요소로 신호를 전송하는 동작을 포함한다. In some embodiments, a non-transitory computer-readable storage medium having an executable program stored thereon is described. This program instructs the processor to perform the following operations. These operations include receiving a calculated parameter associated with processing a workpiece in a plasma chamber. The operation comprises propagating the computed variable through the computer-generated model to produce a value of the computed variable at the output of the computer-generated model, identifying a computed processing rate associated with the computed variable's value; and identifying whether a predetermined processing rate is achieved based on the calculated processing rate. These operations include identifying whether a predetermined variable is achieved in an output of the computer-generated model based on a predetermined processing rate and identifying a first exponent associated with a real part of the predetermined variable. The first index is a first index of the first variable circuit component in the impedance matching circuit. These operations include sending a signal to the first variable circuit component to achieve the first exponent to also achieve the real part of the predetermined variable and identifying a second exponent associated with the imaginary part of the predetermined variable. The second index is a second index of the second variable circuit component in the impedance matching circuit. These operations include sending a signal to the second variable circuit component to achieve a second exponent to also achieve the imaginary part of the predetermined variable.

상기 기술된 실시예들의 일부 장점들은 플라즈마 챔버 내의 플라즈마의 균일성의 레벨을 달성하는 것을 포함한다. 균일성의 레벨은 이미 임피던스 매칭 회로 내에 있는 회로 구성요소를 제어함으로써 달성된다. 그 결과, 균일성을 달성하는 것과 연관된 추가 비용이 없거나 최소이다. 일부 실시예들에서, 균일성은 플라즈마 챔버 내에 회로 구성요소를 추가함으로써 달성된다. 회로 구성요소를 추가하는데 소비된 비용 및 시간은 높지 않다. 회로 구성요소는 균일성을 달성하도록 제어된다. Some advantages of the embodiments described above include achieving a level of uniformity of plasma within the plasma chamber. The level of uniformity is achieved by controlling circuit components that are already in the impedance matching circuit. As a result, there are no or minimal additional costs associated with achieving uniformity. In some embodiments, uniformity is achieved by adding a circuit component within the plasma chamber. The cost and time spent adding circuit components is not high. Circuit components are controlled to achieve uniformity.

상기 기술된 실시예들의 다른 장점들은 변수의 실수부를 제어하기 위해 임피던스 매칭 회로의 하나의 회로 엘리먼트를 제어하는 것 및 변수의 허수부를 제어하기 위해 임피던스 매칭 회로의 다른 회로 엘리먼트를 제어하는 것을 포함한다. 변수의 다른 부분들을 제어하기 위한 별도의 제어가 균일성을 달성하는 것을 돕는다. 예를 들어, 균일성에서의 약간의 변화가 허수부를 제어함으로써 달성되고 균일성의 커다란 변화가 실수부를 제어함으로써 달성된다. Other advantages of the described embodiments include controlling one circuit element of the impedance matching circuit to control the real part of the variable and controlling another circuit element of the impedance matching circuit to control the imaginary part of the variable. Separate controls for controlling different parts of the variable help achieve uniformity. For example, small changes in uniformity are achieved by controlling the imaginary part and large changes in uniformity are achieved by controlling the real part.

다른 양태들이 첨부된 도면들과 함께 취해진, 이하의 상세한 설명에서 명백해질 것이다.Other aspects will become apparent from the following detailed description taken in conjunction with the accompanying drawings.

실시예들은 첨부된 도면들과 함께 취해진 이하의 설명을 참조하여 가장 잘 이해될 수도 있다.
도 1은 본 개시에 기술된 실시예에 따라, 컴퓨터-생성된 모델 및 임피던스 매칭 회로를 사용하여 레이트를 제어하기 위한 시스템의 블록도이다.
도 2는 본 개시에 기술된 실시예에 따라, 컴퓨터-생성된 모델 및 임피던스 매칭 회로를 사용하여 에칭 레이트, 또는 증착 레이트를 제어하기 위한 플라즈마 시스템의 도면이다.
도 3은 본 개시에 기술된 실시예에 따라, 컴퓨터-생성된 모델 및 임피던스 매칭 회로를 사용하여 에칭 레이트, 또는 증착 레이트를 제어하기 위한 플라즈마 시스템의 도면이다.
도 4는 본 개시에 기술된 실시예에 따라, 컴퓨터-생성된 모델 및 임피던스 매칭 회로를 사용하여 에칭 레이트, 또는 증착 레이트를 제어하기 위한 플라즈마 시스템의 도면이다.
도 5는 본 개시에 기술된 실시예에 따라, 컴퓨터-생성된 모델의 출력에서 결정된 복소 전압 및 전류에 기초하여 임피던스 매칭 네트워크의 커패시턴스 값 및 인덕턴스 값의 결정을 위해 사용된 표 (table) 를 도시한다.
도 6은 본 개시에 기술된 실시예에 따라, 전자 회로 엘리먼트를 제어하기 위한 제어 시스템의 블록도이다.
도 7은 본 개시에 기술된 실시예에 따른, 도 1 내지 도 4의 시스템들의 호스트 제어기의 도면이다.
도 8은 본 개시에 기술된 실시예에 따라, 컴퓨터-생성된 모델의 노드에서의 임피던스 대 상기 노드에 대응하는 RF 송신선 상의 지점에서 RF 공급 신호의 고조 주파수를 플롯하는 그래프이다.
도 9는 본 개시에 기술된 실시예에 따라, 상이한 에칭 레이트 제어 레벨에서 기판의 반경에 대한 기판의 에칭 레이트를 플롯하는 그래프이다.
Embodiments may be best understood with reference to the following description taken in conjunction with the accompanying drawings.
1 is a block diagram of a system for controlling rate using a computer-generated model and impedance matching circuit, in accordance with an embodiment described in this disclosure.
2 is a diagram of a plasma system for controlling an etch rate, or deposition rate, using a computer-generated model and impedance matching circuit, in accordance with an embodiment described in this disclosure.
3 is a diagram of a plasma system for controlling an etch rate, or deposition rate, using a computer-generated model and impedance matching circuitry, in accordance with an embodiment described in this disclosure.
4 is a diagram of a plasma system for controlling an etch rate, or deposition rate, using a computer-generated model and impedance matching circuit, in accordance with an embodiment described in this disclosure.
5 shows a table used for determination of capacitance and inductance values of an impedance matching network based on complex voltages and currents determined at the output of a computer-generated model, in accordance with an embodiment described in this disclosure; do.
6 is a block diagram of a control system for controlling an electronic circuit element, in accordance with an embodiment described in the present disclosure.
7 is a diagram of a host controller of the systems of FIGS. 1-4 , in accordance with an embodiment described in this disclosure.
8 is a graph plotting the impedance at a node of a computer-generated model versus the harmonic frequency of an RF supply signal at a point on the RF transmission line corresponding to the node, in accordance with an embodiment described in this disclosure.
9 is a graph plotting an etch rate of a substrate versus a radius of the substrate at different etch rate control levels, in accordance with an embodiment described in this disclosure.

이하의 실시예들은 모델링, 피드백 및 임피던스 매칭 회로를 사용하여 에칭 레이트를 제어하기 위한 시스템들 및 방법들을 제공한다. 본 실시예들은 이들 구체적인 상세들의 전부 또는 일부가 없이도 실시될 수 있다는 것이 명백해질 것이다. 다른 예들에서, 공지의 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않도록 상세히 설명되지 않는다. The following embodiments provide systems and methods for controlling etch rate using modeling, feedback and impedance matching circuitry. It will be apparent that the present embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail so as not to unnecessarily obscure the present embodiments.

도 1은 컴퓨터-생성된 모델 (140A) 및 임피던스 매칭 회로 (134) 를 사용하여, 레이트, 예를 들어, 에칭 레이트, 증착 레이트, 감마의 변화, 등을 제어하기 위한 시스템 (130) 의 블록도이다. 시스템 (130) 은 RF 생성기 (132), 호스트 제어기 (224), 임피던스 매칭 회로 (134), 및 플라즈마 챔버 (122) 를 포함한다. RF 생성기 (132) 의 실례들은 2 ㎒ RF 생성기, 27 ㎒ RF 생성기, 및 60 ㎒ RF 생성기를 포함한다. 1 is a block diagram of a system 130 for controlling a rate, eg, an etch rate, a deposition rate, a change in gamma, etc., using a computer-generated model 140A and an impedance matching circuit 134 . am. The system 130 includes an RF generator 132 , a host controller 224 , an impedance matching circuit 134 , and a plasma chamber 122 . Examples of RF generator 132 include a 2 MHz RF generator, a 27 MHz RF generator, and a 60 MHz RF generator.

RF 생성기 (132) 는 로컬 제어기 (212), 센서 (214), 및 무선 주파수 (RF) 전력 공급부 (216) 를 포함한다. 다양한 실시예들에서, 센서 (214) 는 RF 생성기 (132) 를 조정하도록 사용되는 전압 및 전류 프로브이고 NIST (National Institute of Standards and Technology) 표준을 따른다. 예를 들어, RF 생성기 (132) 를 조정하기 위해 사용된 센서 (214) 는 NIST 유래될 수 있다. NIST 표준은 NIST 표준에 의해 명시된 정확도를 센서 (214) 에 제공한다. 센서 (214) 는 RF 생성기 (132) 의 출력부 (172) 에 커플링된다. The RF generator 132 includes a local controller 212 , a sensor 214 , and a radio frequency (RF) power supply 216 . In various embodiments, the sensor 214 is a voltage and current probe used to tune the RF generator 132 and is compliant with the National Institute of Standards and Technology (NIST) standard. For example, the sensor 214 used to calibrate the RF generator 132 may be NIST derived. The NIST standard provides the sensor 214 with the accuracy specified by the NIST standard. The sensor 214 is coupled to the output 172 of the RF generator 132 .

일부 실시예들에서, 센서 (214) 는 RF 생성기 (132) 외부에 위치된다. In some embodiments, the sensor 214 is located external to the RF generator 132 .

본 명세서에 사용된 바와 같은 제어기는, 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함한다. 프로세서의 실례들은 CPU (central processing unit), 마이크로프로세서, ASIC (application specific integrated circuit), 및 PLD (progra㎜able logic device), 등을 포함한다. 메모리 디바이스의 실례들은 ROM (read-only memory), RAM (random access memory), 또는 이들의 조합을 포함한다. 메모리 디바이스의 다른 예들은 플래시 메모리, RAID (redundant array of storage disks), 비일시적 컴퓨터-판독가능 매체, 하드 디스크 등을 포함한다. A controller as used herein includes one or more processors and one or more memory devices. Examples of a processor include a central processing unit (CPU), a microprocessor, an application specific integrated circuit (ASIC), and a programmable logic device (PLD), and the like. Examples of memory devices include read-only memory (ROM), random access memory (RAM), or a combination thereof. Other examples of memory devices include flash memory, redundant array of storage disks (RAIDs), non-transitory computer-readable media, hard disks, and the like.

일부 실시예들에서, RF 공급부 (216) 는 드라이버 (미도시) 및 증폭기 (미도시) 을 포함한다. 드라이버, 예를 들어, 신호 생성기, RF 신호 생성기, 등은 증폭기에 커플링되고, 이는 또한 RF 케이블 (144) 에 커플링된다. 드라이버는 로컬 제어기 (212) 에 접속된다.In some embodiments, the RF supply 216 includes a driver (not shown) and an amplifier (not shown). A driver, eg, a signal generator, an RF signal generator, etc., is coupled to the amplifier, which is also coupled to the RF cable 144 . The driver is connected to the local controller 212 .

RF 생성기 (132) 는 RF 케이블 (144) 을 통해 임피던스 매칭 회로 (134) 에 커플링된다. 몇몇 실시예들에서, 임피던스 매칭 회로 (134) 는 하나 이상의 인덕터들 및/또는 하나 이상의 커패시터들의 회로이다. 임피던스 매칭 회로 (134) 의 구성요소 각각, 예를 들어, 인덕터, 커패시터, 등은 임피던스 매칭 회로 (134) 의 다른 구성요소에 직렬로 접속되거나, 병렬로 접속되고, 또는 션트 (shunt) 로서 기능한다.The RF generator 132 is coupled to the impedance matching circuit 134 via an RF cable 144 . In some embodiments, impedance matching circuit 134 is a circuit of one or more inductors and/or one or more capacitors. Each of the components of the impedance matching circuit 134, for example, an inductor, a capacitor, etc., is connected in series, in parallel, or functions as a shunt to other components of the impedance matching circuit 134 . .

임피던스 매칭 회로 (134) 는 RF 송신선 (168) 을 통해 플라즈마 챔버 (122) 의 척 (218) 에 접속된다. 다양한 실시예들에서, RF 송신선 (168) 은 임피던스 매칭 네트워크 (134) 에 접속된, 실린더, 예를 들어, 터널 등을 포함한다. 실린더의 할로우 (hollow) 내에 절연체 및 RF 라드 (rod) 가 놓여 있다. RF 송신선 (168) 은 일 단부에서 실린더의 RF 라드에 커플링되는, RF 스푼, 예를 들어, RF 스트랩 (strap), 등을 더 포함한다. RF 스푼은 타 단부에서 수직으로 위치된 실린더의 RF 라드에 커플링되고 RF 라드는 플라즈마 챔버 (122)의 척 (218) 에 커플링된다. The impedance matching circuit 134 is connected to the chuck 218 of the plasma chamber 122 via an RF transmission line 168 . In various embodiments, the RF transmission line 168 includes a cylinder, eg, a tunnel, or the like, connected to an impedance matching network 134 . An insulator and RF rod are placed in the hollow of the cylinder. The RF transmission line 168 further includes an RF spoon, eg, an RF strap, or the like, coupled at one end to an RF rod of the cylinder. The RF spoon is coupled at the other end to an RF rod of a vertically positioned cylinder and the RF rod is coupled to a chuck 218 of the plasma chamber 122 .

플라즈마 챔버 (122) 는 척 (218), 상부 전극 (220), 및 다른 부품들 (미도시), 예를 들어, 상부 전극 (220) 을 둘러싸는 상부 유전체 링, 상부 유전체 링을 둘러싸는 상부 전극 연장부, 척 (218) 의 하부 전극을 둘러싸는 하부 유전체 링, 하부 유전체 링을 둘러싸는 하부 전극 연장부, 상부 PEZ (plasma exclusion zone) 링, 하부 PEZ 링, 등을 포함한다. 상부 전극 (220) 은 척 (218) 에 대향하여 그리고 마주보며 위치된다. 워크피스 (120) 는 척 (218) 의 상부 표면 (222) 상에 지지된다. 워크피스 (120) 의 실례들은 기판, 웨이퍼, 그 위에 집적 회로들이 형성된 기판, 그 위에 재료 층이 증착된 기판, 그 위에 산화물이 증착된 기판, 등을 포함한다. 하부 전극 및 상부 전극 (220) 각각은 금속, 예를 들어, 알루미늄, 알루미늄 합금, 구리, 등으로 이루어진다. 척 (218) 은 정전 척 (ESC) 이거나 자기 척일 수도 있다. 상부 전극 (220) 은 기준 전압, 예를 들어, 접지 전압, 제로 전압, 음 전압, 등에 커플링된다.The plasma chamber 122 includes a chuck 218 , an upper electrode 220 , and other components (not shown), eg, an upper dielectric ring surrounding the upper electrode 220 , and an upper electrode surrounding the upper dielectric ring. an extension, a lower dielectric ring surrounding the lower electrode of the chuck 218 , a lower electrode extension surrounding the lower dielectric ring, an upper plasma exclusion zone (PEZ) ring, a lower PEZ ring, and the like. The upper electrode 220 is positioned opposite and opposite the chuck 218 . The workpiece 120 is supported on the upper surface 222 of the chuck 218 . Examples of workpiece 120 include a substrate, a wafer, a substrate having integrated circuits formed thereon, a substrate having a material layer deposited thereon, a substrate having an oxide deposited thereon, and the like. Each of the lower electrode and upper electrode 220 is made of a metal, for example, aluminum, aluminum alloy, copper, or the like. Chuck 218 may be an electrostatic chuck (ESC) or a magnetic chuck. The upper electrode 220 is coupled to a reference voltage, eg, a ground voltage, a zero voltage, a negative voltage, or the like.

호스트 제어기 (224) 는 케이블 (227), 예를 들어, 데이터의 병렬 전송을 용이하게 하는 케이블, 데이터의 직렬 전송을 용이하게 하는 케이블, 또는 USB (universal serial bus) 케이블을 통해 RF 생성기 (132) 의 로컬 제어기 (212) 에 커플링된다. The host controller 224 is connected to the RF generator 132 via a cable 227 , for example, a cable that facilitates parallel transmission of data, a cable that facilitates serial transmission of data, or a universal serial bus (USB) cable. coupled to the local controller 212 of

호스트 제어기 (224) 는 컴퓨터-생성된 모델 (140A) 을 포함한다. 컴퓨터-생성된 모델 (140A) 의 실례들은 RF 케이블 (144) 및 임피던스 매칭 회로 (134) 모델, 또는 RF 케이블 (144), 임피던스 매칭 회로 (134), 및 적어도 일부의 RF 송신선 (168) 모델을 포함한다. RF 송신선 (168) 의 일부는 임피던스 매칭 회로 (134) 의 출력으로부터 RF 송신선 (168) 의 지점으로 연장한다. The host controller 224 includes a computer-generated model 140A. Examples of computer-generated model 140A include RF cable 144 and impedance matching circuit 134 model, or RF cable 144 , impedance matching circuit 134 , and at least some RF transmission line 168 model. includes A portion of the RF transmission line 168 extends from the output of the impedance matching circuit 134 to the point of the RF transmission line 168 .

플라즈마 시스템 (130) 의 부품의 컴퓨터-생성된 모델은 플라즈마 시스템의 부품과 유사한 구조 및 기능을 갖는다. 예를 들어, 컴퓨터-생성된 모델 (140A) 은 플라즈마 시스템 (130) 의 부품의 회로 구성요소들을 나타내는 회로 엘리먼트들을 포함하고 회로 엘리먼트들은 회로 구성요소들과 동일한 접속부들을 갖는다. 예시를 위해, 임피던스 매칭 회로 (134) 의 가변 커패시터 (104) 는 임피던스 매칭 회로 (134) 의 인덕터 (106) 와 직렬로 커플링되고, 가변 커패시터 (104) 의 컴퓨터 소프트웨어 표현인 가변 커패시터는 인덕터 (106) 의 컴퓨터 소프트웨어 표현인 인덕터와 직렬로 커플링된다. 다른 예시로서, 임피던스 매칭 회로 (134) 의 가변 션트 커패시터 (102) 는 가변 커패시터 (104) 및 RF 케이블 (144) 과 T-구성으로 커플링되고, 가변 션트 커패시터 (102) 의 컴퓨터 소프트웨어 표현인 컴퓨터-생성된 모델 (140A) 의 가변 션트 커패시터는 가변 커패시터 (104) 의 컴퓨터 소프트웨어 표현인 가변 커패시터 및 RF 케이블 (144) 의 컴퓨터 소프트웨어 표현인 RF 케이블 모델과 T-구성으로 커플링된다. 또 다른 예시로서, 임피던스 매칭 회로 (134) 의 제1 커패시터와 커플링되는 임피던스 매칭 회로 (134) 의 제2 커패시터가 병렬로 커플링될 때, 제1 커패시터의 컴퓨터 소프트웨어 표현인 커패시터는 제2 커패시터의 컴퓨터 소프트웨어 표현인 커패시터와 병렬로 커플링된다. 다른 실례로서, 컴퓨터-생성된 모델 예를 들어, 커패시턴스, 레지스턴스, 인덕턴스, 임피던스, 복소 전압 및 전류, 등은 모델에 의해 나타낸 부품과 유사한 특성들을 갖는다. 인덕터 (106) 는 RF 송신선 (168) 과 직렬로 커플링되고, 가변 커패시터 (104) 는 RF 케이블 (144) 에 커플링된다.The computer-generated model of the components of the plasma system 130 has a structure and function similar to the components of the plasma system. For example, computer-generated model 140A includes circuit elements representing circuit components of a component of plasma system 130 , the circuit elements having the same connections as the circuit components. For illustrative purposes, a variable capacitor 104 of the impedance matching circuit 134 is coupled in series with an inductor 106 of the impedance matching circuit 134 , and the variable capacitor, which is a computer software representation of the variable capacitor 104 , is an inductor ( 106) is coupled in series with an inductor, which is a computer software representation of As another example, the variable shunt capacitor 102 of the impedance matching circuit 134 is coupled in a T-configuration with the variable capacitor 104 and the RF cable 144 , and is a computer software representation of the variable shunt capacitor 102 . - the variable shunt capacitor of the generated model 140A is coupled in a T-configuration with the variable capacitor, which is a computer software representation of the variable capacitor 104 , and the RF cable model, which is a computer software representation of the RF cable 144 . As another example, when a second capacitor of impedance matching circuit 134 coupled with a first capacitor of impedance matching circuit 134 is coupled in parallel, the capacitor that is a computer software representation of the first capacitor is the second capacitor. coupled in parallel with a capacitor, which is a computer software representation of As another example, a computer-generated model, eg, capacitance, resistance, inductance, impedance, complex voltage and current, etc., has properties similar to the component represented by the model. The inductor 106 is coupled in series with the RF transmission line 168 , and the variable capacitor 104 is coupled to the RF cable 144 .

일부 실시예들에서, 복소 전압 및 전류는 전류의 크기, 전압의 크기, 및 전류와 전압 간의 위상을 포함한다. In some embodiments, the complex voltage and current includes a magnitude of the current, a magnitude of the voltage, and a phase between the current and the voltage.

플라즈마 시스템의 부품의 실례들은 RF 케이블, 또는 RF 케이블에 커플링되는 임피던스 매칭 회로, 또는 임피던스 매칭 회로에 커플링되는 RF 송신선, 또는 RF 송신선에 커플링되는 척, 또는 이들의 조합을 포함한다. 플라즈마 시스템의 부품의 회로 구성요소의 실례들은 커패시터, 인덕터, 및 레지스터를 포함한다. 컴퓨터-생성된 모델의 회로 엘리먼트의 실례들은 커패시터, 인덕터, 및 레지스터를 포함한다. Examples of components of a plasma system include an RF cable, or an impedance matching circuit coupled to the RF cable, or an RF transmission line coupled to the impedance matching circuit, or a chuck coupled to the RF transmission line, or a combination thereof. . Examples of circuit components of a component of a plasma system include capacitors, inductors, and resistors. Examples of circuit elements in a computer-generated model include capacitors, inductors, and resistors.

일부 실시예들에서, 컴퓨터-생성된 모델의 회로 엘리먼트는 회로 엘리먼트가 회로 구성요소와 유사한 특성들, 예를 들어, 커패시턴스, 임피던스, 인덕턴스, 또는 이들의 조합, 등을 가질 때 플라즈마 시스템 (130) 의 부품의 회로 구성요소를 나타낸다. 예를 들어, 컴퓨터-생성된 모델 (140A) 의 인덕터는 인덕터 (106) 와 동일한 인덕턴스를 갖는다. 다른 실례로서, 컴퓨터-생성된 모델 (140A) 의 가변 커패시터는 가변 커패시터 (104) 와 동일한 커패시턴스를 갖는다. 또 다른 실례로서, 컴퓨터-생성된 모델 (140A) 의 가변 인덕터의 커패시턴스는 가변 커패시터 (102) 와 동일한 커패시턴스를 갖는다. In some embodiments, a circuit element of a computer-generated model is a plasma system 130 when the circuit element has similar properties as a circuit component, eg, capacitance, impedance, inductance, or a combination thereof, etc. represents the circuit components of the part of For example, the inductor of computer-generated model 140A has the same inductance as inductor 106 . As another example, the variable capacitor of the computer-generated model 140A has the same capacitance as the variable capacitor 104 . As another example, the capacitance of the variable inductor of the computer-generated model 140A has the same capacitance as the variable capacitor 102 .

컴퓨터-생성된 모델은 호스트 제어기 (224) 의 프로세서에 의해 생성된다. The computer-generated model is generated by the processor of the host controller 224 .

호스트 제어기 (224) 의 프로세서는 플라즈마 챔버 (122) 내에서 플라즈마를 생성하고 플라즈마의 특성들 예를 들어, 임피던스, 균일성, 등을 수정하기 위한 레시피를 포함한다. 일부 실시예들에서, 레시피는 RF 생성기 (132) 의 동작의 전력 및 주파수를 포함한다. 호스트 제어기 (224) 의 프로세서는 동작의 전력 및 주파수를 그 전력 및 주파수로 RF 생성기 (232) 를 동작시키기 위해 케이블 (227) 을 통해 로컬 제어기 (212) 로 전송한다. RF 생성기 (232) 가 그 전력 및 주파수에서 동작할 때, RF 생성기 (232) 는 그 전력 및 주파수를 갖는 RF 신호를 생성한다. The processor of the host controller 224 includes a recipe for generating a plasma within the plasma chamber 122 and modifying properties of the plasma, eg, impedance, uniformity, and the like. In some embodiments, the recipe includes the power and frequency of operation of the RF generator 132 . The processor of the host controller 224 transmits the power and frequency of operation to the local controller 212 via cable 227 to operate the RF generator 232 at that power and frequency. When the RF generator 232 operates at that power and frequency, the RF generator 232 generates an RF signal having that power and frequency.

호스트 제어기 (224) 의 레시피 (226A) 는 임피던스 매칭 회로 (134) 의 출력과 척 (218) 사이의 RF 송신선 (168) 의 지점에서 달성될 임피던스, 예를 들어, 바람직한 임피던스, 등을 포함한다. 이 지점은 임피던스 매칭 회로 (134) 의 출력, 또는 RF 송신선 (168) 상에, 또는 척 (222) 의 입력이다. 레시피 (226A) 는 이 지점에서의 임피던스, 예를 들어, 바람직한 임피던스, 등과 컴퓨터-생성된 모델 (140A) 의 출력부 (142A) 의 임피던스 간의 대응관계, 예를 들어, 관계, 링크, 1-대-1 관계, 1-대-1 도표 (tabular) 관계, 표 내에서 1-대-1관계, 등을 포함한다. 일부 실시예들에서, 레시피는 표의 일부 또는 표를 포함한다. The recipe 226A of the host controller 224 includes the impedance to be achieved at the point of the RF transmission line 168 between the output of the impedance matching circuit 134 and the chuck 218, eg, the desired impedance, etc. . This point is the output of the impedance matching circuit 134 , or on the RF transmission line 168 , or the input of the chuck 222 . Recipe 226A describes the impedance at this point, eg, the desired impedance, and the like, and the correspondence between the impedance of output 142A of computer-generated model 140A, eg, relation, link, one-to-one. Includes -1 relationships, 1-to-1 tabular relationships, 1-to-1 relationships within tables, and so on. In some embodiments, a recipe includes a table or part of a table.

다양한 실시예들에서, 이 지점에서의 임피던스와 컴퓨터-생성된 모델 (140A) 의 출력부 (142A) 에서의 임피던스 간의 대응관계 대신, 레시피 (226A) 는 컴퓨터-생성된 모델 (140A) 의 출력부 (142A) 에서의 다른 변수의 값과 임피던스 매칭 회로 (134) 와 상부 전극 (220) 사이의 지점에서의 다른 변수의 값 간의 대응관계를 포함한다. 다른 변수들의 실례들은 전압, 전류, 에칭 레이트, 감마, 증착 레이트, 복소 전압 및 전류, 등을 포함한다. In various embodiments, instead of the correspondence between the impedance at this point and the impedance at the output 142A of the computer-generated model 140A, the recipe 226A is the output of the computer-generated model 140A. and a correspondence between the value of the other variable at 142A and the value of the other variable at the point between the impedance matching circuit 134 and the upper electrode 220 . Examples of other variables include voltage, current, etch rate, gamma, deposition rate, complex voltage and current, and the like.

일부 실시예들에서, 이 지점에서 달성될 바람직한 임피던스는 이 지점에서의 임피던스이고, 컴퓨터-생성된 모델 (140A) 은 RF 생성기 (132) 의 출력부 (172) 와 RF 송신선 (168) 사이의 지점 간의 플라즈마 시스템 (130) 의 부품들의 모델이다. 예를 들어, 달성될 바람직한 임피던스가 RF 송신선 (168) 의 RF 스트랩의 입력에서의 임피던스일 때, 컴퓨터-생성된 모델 (140A) 은 RF 케이블 (144), 임피던스 매칭 회로 (134), 및 터널을 포함하는 RF 송신선 (168) 의 일부의 모델이다. 다른 실례로서, 달성될 바람직한 임피던스가 척 (218) 의 입력에서의 임피던스일 때, 컴퓨터-생성된 모델 (140A) 은 RF 케이블 (144), 임피던스 매칭 회로 (134), RF 송신선 (168) 의 모델이다. In some embodiments, the desired impedance to be achieved at this point is the impedance at this point, and the computer-generated model 140A is calculated between the output 172 of the RF generator 132 and the RF transmission line 168 . A model of the parts of the point-to-point plasma system 130 . For example, when the desired impedance to be achieved is the impedance at the input of the RF strap of the RF transmission line 168 , the computer-generated model 140A uses the RF cable 144 , the impedance matching circuit 134 , and the tunnel is a model of a portion of the RF transmission line 168 including As another example, when the desired impedance to be achieved is the impedance at the input of the chuck 218 , the computer-generated model 140A may be the one of the RF cable 144 , the impedance matching circuit 134 , and the RF transmission line 168 . is a model

호스트 제어기 (224) 는 호스트 제어기 (224) 의 메모리 디바이스로부터의 파라미터들, 예를 들어, 주파수, 전력, 등을 검색하고, 이 파라미터들을 RF 생성기 (132) 의 로컬 제어기 (212) 에 제공한다. 로컬 제어기 (212) 는 파라미터들을 수신하고 이 파라미터들을 RF 전력 공급부 (216) 에 제공하고, 이는 이 파라미터들을 갖는 RF 신호, 예를 들어, 펄스된 신호, 펄스되지 않은 신호, 등을 생성한다.The host controller 224 retrieves parameters from the memory device of the host controller 224 , eg, frequency, power, etc. and provides these parameters to the local controller 212 of the RF generator 132 . The local controller 212 receives the parameters and provides the parameters to the RF power supply 216 , which generates an RF signal having the parameters, eg, a pulsed signal, a non-pulsed signal, and the like.

일부 실시예들에서, 로컬 제어기 (212) 는 이 파라미터들과 RF 전력 공급부 (216) 에 제공될 파라미터들 간의 대응관계를 포함하는 색인 표 (look-up table) 를 포함한다. 호스트 제어기 (224) 로부터 수신된 파라미터들 대신, 로컬 제어기 (212) 는 수신된 파라미터들에 대응하는 파라미터들, 예를 들어, 주파수, 전력, 등을 검색하고, 검색된 파라미터들을 RF 전력 공급부 (216) 에 제공한다. In some embodiments, the local controller 212 includes a look-up table that includes a correspondence between these parameters and the parameters to be provided to the RF power supply 216 . Instead of the parameters received from the host controller 224 , the local controller 212 retrieves parameters corresponding to the received parameters, eg, frequency, power, etc., and applies the retrieved parameters to the RF power supply 216 . provided to

임피던스 매칭 회로 (134) 는 RF 생성기 (132) 로부터 RF 신호를 수신하고 수정된 RF 신호를 생성하기 위해 임피던스 매칭 회로 (134) 에 접속된 부하의 임피던스와 임피던스 매칭 회로 (104) 에 접속된 소스의 임피던스를 매칭한다. 소스의 실례들은 RF 생성기 (132), 또는 RF 케이블 (144), 또는 이들의 조합을 포함한다. 부하의 실례들은 RF 송신선 (168), 또는 플라즈마 챔버 (122), 또는 이들의 조합을 포함한다. The impedance matching circuit 134 receives the RF signal from the RF generator 132 and generates a modified RF signal between the impedance of the load connected to the impedance matching circuit 134 and the source connected to the impedance matching circuit 104 . Match the impedance. Examples of sources include RF generator 132 , or RF cable 144 , or a combination thereof. Examples of the load include RF transmission line 168 , or plasma chamber 122 , or a combination thereof.

척 (218) 은 임피던스 매칭 회로 (134) 의 RF 송신선 (168) 을 통해 수정된 RF 신호를 수신하고, 플라즈마 챔버 (122) 내로 프로세스 가스의 도입 시, 플라즈마가 플라즈마 챔버 (122) 내에서 생성된다. 프로세스 가스의 실례들은 O2와 같은 산소 함유 가스를 포함한다. 프로세스 가스의 다른 예들은 불소 함유 가스, 예를 들어, 테트라플루오로메탄 (CF4), 육불화 황 (SF6), 헥사플루오로에탄 (C2F6), 등을 포함한다. Chuck 218 receives the modified RF signal via RF transmission line 168 of impedance matching circuit 134 , and upon introduction of a process gas into plasma chamber 122 , a plasma is generated within plasma chamber 122 . do. Examples of a process gas include an oxygen containing gas such as O 2 . Other examples of process gases include fluorine-containing gases, such as tetrafluoromethane (CF 4 ), sulfur hexafluoride (SF 6 ), hexafluoroethane (C 2 F 6 ), and the like.

플라즈마는 워크피스 (120) 를 프로세싱하도록 사용된다. 예를 들어, 플라즈마는 워크피스 (120) 를 에칭하기 위해, 또는 워크피스 (120) 상에 증착된 재료를 에칭하기 위해, 또는 워크피스 (120) 상에 재료를 증착하기 위해, 또는 워크피스 (120) 를 세정하기 위해, 등으로 사용된다.Plasma is used to process the workpiece 120 . For example, the plasma may be used to etch the workpiece 120 , or to etch a material deposited on the workpiece 120 , or to deposit a material on the workpiece 120 , or the workpiece ( 120), etc.

RF 신호의 공급에 의해 워크피스 (120) 가 프로세스 될 때, 컴퓨터-생성된 모델 (140A) 의 출력부 (142A) 에서의 임피던스는 RF 생성기 (132) 의 출력부 (172) 에서 센서 (214) 에 의해 측정된 복소 전압 및 전류를 컴퓨터-생성된 모델 (140A) 을 통해 전파함으로써 호스트 제어기 (224) 에 의해 생성된다. 예를 들어, RF 생성기 (132) 의 출력부 (172) 에서의 복소 전압 및 전류의 방향성 합 및 컴퓨터-생성된 모델 (140A) 의 전기 회로 구성요소들의 복소 전압들 및 복소 전류들의 방향성 합은 컴퓨터-생성된 모델 (140A) 의 출력부 (142A) 에서의 복소 전압 및 전류를 생성하기 위해 호스트 제어기 (224) 에 의해 계산되고, RF 생성기 (132) 의 출력부 (172) 에서의 임피던스는 출력부 (142A) 에서의 복소 전압 및 전류로부터 계산된다. When the workpiece 120 is processed by the supply of an RF signal, the impedance at the output 142A of the computer-generated model 140A is changed from the output 172 of the RF generator 132 to the sensor 214 is generated by the host controller 224 by propagating the complex voltage and current measured by the computer-generated model 140A through the computer-generated model 140A. For example, the directional sum of the complex voltage and current at the output 172 of the RF generator 132 and the directional sum of the complex voltages and complex currents of the electrical circuit components of the computer-generated model 140A are - calculated by the host controller 224 to generate a complex voltage and current at the output 142A of the generated model 140A, and the impedance at the output 172 of the RF generator 132 is It is calculated from the complex voltage and current at (142A).

다른 변수들이 출력부 (142A) 에서 사용되는 실시예들에서, 다른 변수들은 출력부 (142A) 에서의 복소 전압 및 전류에 기초하여 호스트 제어기 (224) 에 의해 계산된다.In embodiments where other variables are used at the output 142A, the other variables are calculated by the host controller 224 based on the complex voltage and current at the output 142A.

임피던스 매칭 회로 (134) 가 RF 생성기 (132) 로부터 RF 신호를 수신할 때, 호스트 제어기 (224) 는 임피던스 매칭 회로 (134) 와 척 (218) 간의 지점에서의 바람직한 임피던스가 컴퓨터-생성된 모델 (140A) 의 출력부 (142A) 에서의 임피던스와 매칭하는지 여부를 결정한다. 이 지점에서의 바람직한 임피던스가 출력부 (142A) 에서의 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 션트 커패시터 (102) 의 커패시턴스를 변화시킴으로써 출력부 (142A) 에서의 임피던스의 실수부를 조정한다. 호스트 제어기 (224) 는 출력부 (142A) 의 임피던스의 실수부와 이 지점에서의 바람직한 임피던스의 실수부가 매칭하도록 가변 션트 커패시터 (102) 의 커패시턴스를 변화시킨다. 출력부 (142A) 에서의 임피던스의 실수부와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 실수부 간의 매칭은 에칭 레이트, 또는 증착 레이트, 또는 감마 값, 또는 이들의 조합을 달성하도록 발생한다. 감마는 이하에 기술된다.When the impedance matching circuit 134 receives the RF signal from the RF generator 132 , the host controller 224 determines the desired impedance at the point between the impedance matching circuit 134 and the chuck 218 in a computer-generated model ( It determines whether it matches the impedance at the output 142A of 140A. If it is determined that the desired impedance at this point does not match the impedance at the output 142A, the host controller 224 may change the capacitance of the variable shunt capacitor 102 to divide the real part of the impedance at the output 142A. Adjust. Host controller 224 changes the capacitance of variable shunt capacitor 102 to match the real part of the impedance of output 142A with the real part of the desired impedance at this point. Matching between the real part of the impedance at output 142A and the real part of the desired impedance at a point on the RF transmission line 168 occurs to achieve an etch rate, or deposition rate, or gamma value, or a combination thereof. . Gamma is described below.

또한, 일부 실시예들에서, 이 지점에서의 바람직한 임피던스가 출력부 (142A) 에서의 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 커패시터 (104) 의 커패시턴스를 변화시킴으로써 출력부 (142A) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 출력부 (142A) 에서의 임피던스의 허수부와 바람직한 임피던스의 허수부 간의 매칭을 달성하도록 가변 커패시터 (104) 의 커패시턴스를 변화시킨다. 출력부 (142A) 에서의 임피던스의 허수부와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부 간의 매칭은 에칭 레이트, 또는 증착 레이트, 또는 이들의 조합을 달성하도록 발생한다.Also, in some embodiments, if it is determined that the desired impedance at this point does not match the impedance at the output 142A, the host controller 224 may change the capacitance of the variable capacitor 104 by changing the capacitance of the output 142A. ) adjusts the imaginary part of the impedance at The host controller 224 changes the capacitance of the variable capacitor 104 to achieve a match between the imaginary part of the impedance at the output 142A and the imaginary part of the desired impedance. Matching between the imaginary part of the impedance at output 142A and the desired impedance at a point on RF transmission line 168 occurs to achieve an etch rate, or deposition rate, or a combination thereof.

다양한 실시예들에서, 가변 커패시터 (104) 의 커패시턴스는 컴퓨터-생성된 모델 (140A) 의 출력부 (142A) 에서의 임피던스와 이 지점에서의 바람직한 임피던스를 매칭하도록 가변 션트 커패시터 (102) 의 커패시턴스 대신 또는 이와 더불어서 조정된다. In various embodiments, the capacitance of the variable capacitor 104 is replaced with the capacitance of the variable shunt capacitor 102 to match the desired impedance at this point with the impedance at the output 142A of the computer-generated model 140A. or adjusted therewith.

일부 실시예들에서, RF 송신선 (168) 상의 이 지점은 RF 송신선 (168) 에 접속된 임피던스 매칭 회로의 출력에서의 지점 또는 척 (218) 의 입력에서의 지점을 포함한다. In some embodiments, this point on the RF transmission line 168 includes a point at the input of the chuck 218 or at the output of an impedance matching circuit connected to the RF transmission line 168 .

일부 실시예들에서, 컴퓨터-생성된 모델 (140A) 의 출력부 (142A) 에서의 임피던스를 사용하는 대신, 센서 (미도시) 가 RF 송신선 (168) 상의 이 지점에 커플링되고 이 지점에서의 임피던스를 측정하기 위해 사용된다. 센서 (미도시) 는 측정된 임피던스를 호스트 제어기 (224) 에 제공하기 위해 호스트 제어기 (224) 에 커플링된다. 호스트 제어기 (224) 는 측정된 임피던스가 이 지점에서 달성될 바람직한 임피던스와 매칭하는지 여부를 결정한다. 측정된 임피던스가 이 지점에서의 바람직한 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 션트 커패시터 (102) 의 커패시턴스를 변화시킴으로써 출력부 (142A) 에서의 임피던스의 실수부를 조정한다. 호스트 제어기 (224) 는 측정된 임피던스의 실수부와 바람직한 임피던스의 실수부를 매칭하도록 가변 션트 커패시터 (102) 의 커패시턴스를 변화시킨다. 측정된 임피던스의 실수부와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 실수부 간의 매칭은 에칭 레이트, 또는 증착 레이트, 또는 이들의 조합을 달성하도록 발생한다. In some embodiments, instead of using the impedance at the output 142A of the computer-generated model 140A, a sensor (not shown) is coupled to this point on the RF transmission line 168 and at this point used to measure the impedance of A sensor (not shown) is coupled to the host controller 224 to provide a measured impedance to the host controller 224 . Host controller 224 determines whether the measured impedance matches the desired impedance to be achieved at this point. If it is determined that the measured impedance does not match the desired impedance at this point, the host controller 224 adjusts the real part of the impedance at the output 142A by changing the capacitance of the variable shunt capacitor 102 . The host controller 224 changes the capacitance of the variable shunt capacitor 102 to match the real part of the measured impedance and the real part of the desired impedance. Matching between the real part of the measured impedance and the real part of the desired impedance at a point on the RF transmission line 168 occurs to achieve an etch rate, or deposition rate, or a combination thereof.

또한, 몇몇 실시예들에서, 이 지점에서의 바람직한 임피던스가 측정된 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 커패시터 (104) 의 커패시턴스를 변화시킴으로써 이 지점에 커플링된 센서 (미도시) 로부터 획득된 측정된 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 센서 (미도시) 로부터 수신된 측정된 임피던스의 허수부와 바람직한 임피던스의 허수부 간의 매칭을 달성하도록 가변 커패시터 (104) 의 커패시턴스를 변화시킨다. 측정된 임피던스의 허수부와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부 간의 매칭은 에칭 레이트, 또는 증착 레이트, 또는 이들의 조합을 달성하도록 발생한다.Also, in some embodiments, if it is determined that the desired impedance at this point does not match the measured impedance, the host controller 224 changes the capacitance of the variable capacitor 104 by changing the capacitance of the sensor coupled to this point (not shown). ) and adjust the imaginary part of the measured impedance obtained from . The host controller 224 changes the capacitance of the variable capacitor 104 to achieve a match between the imaginary part of the measured impedance received from a sensor (not shown) and the imaginary part of the desired impedance. Matching between the imaginary part of the measured impedance and the imaginary part of the desired impedance at a point on the RF transmission line 168 occurs to achieve an etch rate, or deposition rate, or a combination thereof.

다양한 실시예들에서, 가변 커패시터 (104) 의 커패시턴스는 센서 (미도시) 로부터 수신된 측정된 임피던스와 바람직한 임피던스를 매칭하도록 가변 션트 커패시터 (102) 의 커패시턴스 대신 또는 이와 더불어서 조정된다.In various embodiments, the capacitance of the variable capacitor 104 is adjusted instead of or in addition to the capacitance of the variable shunt capacitor 102 to match the desired impedance with the measured impedance received from a sensor (not shown).

일부 실시예들에서, 가변 션트 커패시터 (102) 및 인덕터 (106) 는 가변 커패시터 (104) 가 임피던스 매칭 회로 (134) 에 추가될 때 임피던스 매칭 회로 (134) 내에 있다는 것을 주의해야 한다. 예를 들어, 임피던스 매칭 회로 (134) 는 가변 커패시터 (104) 가 임피던스 매칭 회로 (134) 내에 포함되기 전에 가변 션트 커패시터 (102) 및 인덕터 (106) 를 사용함으로써 일 단부에서 임피던스 매칭 회로 (134) 에 접속된 부하의 임피던스를 타 단부에서 임피던스 매칭 회로 (134) 에 접속된 소스의 임피던스와 매칭시킨다. It should be noted that in some embodiments, variable shunt capacitor 102 and inductor 106 are in impedance matching circuit 134 when variable capacitor 104 is added to impedance matching circuit 134 . For example, the impedance matching circuit 134 may be configured at one end by using a variable shunt capacitor 102 and an inductor 106 before the variable capacitor 104 is included in the impedance matching circuit 134 . Matches the impedance of the load connected to the impedance of the source connected to the impedance matching circuit 134 at the other end.

다양한 실시예들에서, 호스트 제어기 (224)에 의해 수행되는 것으로 본 명세서에 기술된 동작들은 호스트 제어기 (224) 의 하나 이상의 프로세서들에 의해 수행된다. In various embodiments, operations described herein as being performed by host controller 224 are performed by one or more processors of host controller 224 .

일부 실시예들에서, 가변 션트 커패시터 (102) 대신, 가변 인덕터 (미도시) 가 사용되고 가변 인덕터의 인덕턴스는 컴퓨터-생성된 모델의 출력에서의 임피던스의 실수부가 RF 송신선 (168) 상의 이 지점에서 달성될 임피던스의 실수부와 매칭하도록 또는 이 지점에서의 센서 (미도시) 에 의해 측정된 임피던스의 실수부와 매칭하도록 변화된다.In some embodiments, instead of variable shunt capacitor 102 , a variable inductor (not shown) is used and the inductance of the variable inductor is the real part of the impedance at the output of the computer-generated model at this point on the RF transmission line 168 . It is varied to match the real part of the impedance to be achieved or to match the real part of the impedance measured by a sensor (not shown) at this point.

도 2는 컴퓨터-생성된 모델 (140B) 및 임피던스 매칭 회로 (135) 를 사용하여 에칭 레이트 또는 증착 레이트를 제어하기 위한 플라즈마 시스템 (150) 의 실시예의 도면이다. 컴퓨터-생성된 모델 (140B) 의 실례는 RF 케이블 (144) 및 임피던스 매칭 회로 (135) 의 모델, 또는 RF 케이블 (144), 임피던스 매칭 회로 (135), 및 적어도 일부의 RF 송신선 (168) 의 모델을 포함한다. 컴퓨터-생성된 모델 (140B) 은 임피던스 매칭 회로 (134) (도 1) 로부터 컴퓨터-생성된 모델 (140A) (도 1)을 생성하는 것과 유사한 방식으로 임피던스 매칭 회로 (135) 로부터 생성된다. 플라즈마 시스템 (150) 은 플라즈마 시스템 (150) 이, 고정된 인덕터 (106) (도 1) 대신 가변 인덕터 (137) 를 포함하는 임피던스 매칭 회로 (135) 를 포함한다는 점을 제외하고, 플라즈마 시스템 (150) 이 컴퓨터-생성된 모델 (140A) 대신 컴퓨터-생성된 모델 (140B) 을 포함한다는 점을 제외하고, 그리고 플라즈마 시스템 (150) 이 레시피 (226A) (도 1) 대신 레시피 (226B) 를 포함한다는 점을 제외하고 플라즈마 시스템 (130) (도 1) 과 유사하다. 2 is a diagram of an embodiment of a plasma system 150 for controlling an etch rate or deposition rate using a computer-generated model 140B and an impedance matching circuit 135 . An example of a computer-generated model 140B is a model of an RF cable 144 and an impedance matching circuit 135 , or an RF cable 144 , an impedance matching circuit 135 , and at least a portion of an RF transmission line 168 . includes a model of Computer-generated model 140B is generated from impedance matching circuit 135 in a manner similar to generating computer-generated model 140A ( FIG. 1 ) from impedance matching circuit 134 ( FIG. 1 ). The plasma system 150 is a plasma system 150, except that the plasma system 150 includes an impedance matching circuit 135 that includes a variable inductor 137 instead of a fixed inductor 106 (FIG. 1). ) includes the computer-generated model 140B instead of the computer-generated model 140A, and that the plasma system 150 includes the recipe 226B instead of the recipe 226A ( FIG. 1 ). Similar to plasma system 130 (FIG. 1) except that.

호스트 제어기 (224) 의 레시피 (226B) 는 또한 임피던스 매칭 회로 (135)의 출력과 척 (218) 사이의 RF 송신선 (168) 상의 이 지점에서 달성될 임피던스, 예를 들어, 바람직한 임피던스, 등을 포함한다. 레시피 (226B) 는 RF 송신선 (168) 상의 지점에서의 임피던스와 컴퓨터-생성된 모델 (140B) 의 출력부 (142B) 의 임피던스 간의 대응관계를 포함한다. The recipe 226B of the host controller 224 also determines the impedance to be achieved at this point on the RF transmission line 168 between the output of the impedance matching circuit 135 and the chuck 218, eg, the desired impedance, etc. include Recipe 226B includes a correspondence between the impedance at a point on RF transmission line 168 and the impedance of output 142B of computer-generated model 140B.

일부 실시예들에서, RF 송신선 (168) 의 이 지점에서의 임피던스와 출력부 (142B) 에서의 임피던스 간의 대응관계 대신, 레시피 (226B) 는 출력부 (142B) 에서의 다른 변수의 값과 임피던스 매칭 회로 (135) 와 상부 전극 (220) 간의 이 지점에서의 다른 변수의 값 간의 대응관계를 포함한다.In some embodiments, instead of a correspondence between the impedance at this point of the RF transmission line 168 and the impedance at the output 142B, the recipe 226B is the impedance and the value of the other variable at the output 142B. correspondence between the values of the other variables at this point between the matching circuit 135 and the upper electrode 220 .

가변 인덕터 (137) 는 가변 커패시터 (104) 및 RF 송신선 (168) 과 직렬로 커플링된다. The variable inductor 137 is coupled in series with the variable capacitor 104 and the RF transmission line 168 .

또한, 일부 실시예들에서, 이 지점에서의 바람직한 임피던스가 컴퓨터-생성된 모델 (140B) 의 출력부 (142B) 에서의 임피던스가 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 인덕터 (137) 의 인덕턴스를 변화시킴으로써 출력부 (142B) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 출력부 (142B) 에서의 임피던스의 허수부와 이 지점에서의 바람직한 임피던스의 허수부 간의 매칭을 달성하도록 가변 인덕터 (137) 의 인덕턴스를 변화시킨다. Also, in some embodiments, if it is determined that the desired impedance at this point does not match the impedance at the output 142B of the computer-generated model 140B, then the host controller 224 controls the variable inductor 137 . The imaginary part of the impedance at the output 142B is adjusted by changing the inductance of . The host controller 224 changes the inductance of the variable inductor 137 to achieve a match between the imaginary part of the impedance at the output 142B and the imaginary part of the desired impedance at this point.

다양한 실시예들에서, 가변 인덕터 (137) 의 인덕턴스는 컴퓨터-생성된 모델 (140B) 의 출력부 (142B) 에서의 임피던스를 바람직한 임피던스와 매칭하도록 가변 션트 커패시터 (102) 의 커패시턴스 대신 또는 이와 더불어서 조정된다. In various embodiments, the inductance of the variable inductor 137 is adjusted instead of or in addition to the capacitance of the variable shunt capacitor 102 to match the impedance at the output 142B of the computer-generated model 140B with the desired impedance. do.

몇몇 실시예들에서, 이 지점에서의 바람직한 임피던스가 출력부 (142B) 에서의 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 인덕터 (137) 의 인덕턴스를 변화시키고 가변 커패시터 (104) 의 커패시턴스를 변화시킴으로써 출력부 (142B) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 출력부 (142B) 에서의 임피던스의 허수부와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부 간의 매칭을 달성하도록 가변 인덕터 (137) 의 인덕턴스 및 가변 커패시터 (104) 의 커패시턴스를 변화시킨다.In some embodiments, if it is determined that the desired impedance at this point does not match the impedance at output 142B, host controller 224 changes the inductance of variable inductor 137 and changes the inductance of variable capacitor 104 . The imaginary part of the impedance at the output 142B is adjusted by changing the capacitance. The host controller 224 controls the inductance of the variable inductor 137 and the variable capacitor 104 to achieve a match between the imaginary part of the impedance at the output 142B and the imaginary part of the desired impedance at a point on the RF transmission line 168 . ) to change the capacitance of

다양한 실시예들에서, 가변 인덕터 (137) 의 인덕턴스 및 가변 커패시터 (104) 의 커패시턴스는 컴퓨터-생성된 모델 (140B) 의 출력부 (142B) 에서의 임피던스를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스와 매칭하도록 가변 션트 커패시터 (102) 의 커패시턴스 대신 또는 이와 더불어서 조정된다.In various embodiments, the inductance of variable inductor 137 and the capacitance of variable capacitor 104 are equal to the impedance at output 142B of computer-generated model 140B at a point on RF transmission line 168 . Instead of, or in addition to, the capacitance of the variable shunt capacitor 102 is adjusted to match the desired impedance.

일부 실시예들에서, 컴퓨터-생성된 모델 (140B) 의 출력부 (142B) 에서의 임피던스를 사용하는 대신, 센서 (미도시) 가 RF 송신선 (168) 상의 이 지점에 커플링되고 RF 송신선 (168) 의 이 지점에서의 임피던스를 측정하기 위해 사용된다. 센서 (미도시) 는 측정된 임피던스를 호스트 제어기 (224) 에 제공한다. 호스트 제어기 (224) 는 측정된 임피던스가 이 지점에서 달성될 바람직한 임피던스와 매칭하는지 여부를 결정한다. 측정된 임피던스가 이 지점에서의 바람직한 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 인덕터 (137) 의 인덕턴스를 변화시킴으로써 출력부 (142B) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 센서 (미도시) 로부터 수신된 측정된 임피던스의 허수부를 바람직한 임피던스의 허수부와 매칭하도록 가변 인덕터 (137) 의 인덕턴스를 변화시킨다. In some embodiments, instead of using the impedance at the output 142B of the computer-generated model 140B, a sensor (not shown) is coupled to this point on the RF transmission line 168 and (168) is used to measure the impedance at this point. A sensor (not shown) provides the measured impedance to the host controller 224 . Host controller 224 determines whether the measured impedance matches the desired impedance to be achieved at this point. If it is determined that the measured impedance does not match the desired impedance at this point, the host controller 224 adjusts the imaginary part of the impedance at the output 142B by changing the inductance of the variable inductor 137 . The host controller 224 changes the inductance of the variable inductor 137 to match the imaginary part of the measured impedance received from the sensor (not shown) with the imaginary part of the desired impedance.

다양한 실시예들에서, 가변 인덕터 (137) 의 인덕턴스는 센서 (미도시) 로부터 수신된 측정된 임피던스를 바람직한 임피던스와 매칭하도록 가변 션트 커패시터 (102) 의 커패시턴스 대신 또는 이와 더불어서 조정된다.In various embodiments, the inductance of the variable inductor 137 is adjusted instead of or in addition to the capacitance of the variable shunt capacitor 102 to match the measured impedance received from a sensor (not shown) with the desired impedance.

일부 실시예들에서, 센서 (미도시) 로부터 수신된 측정된 임피던스와 이 지점에서의 바람직한 임피던스가 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 인덕터 (137) 의 인덕턴스를 변화시키고 가변 커패시터 (104) 의 커패시턴스를 변화시킴으로써 출력부 (142B) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 센서 (미도시)로부터 수신된 측정된 임피던스의 허수부를 RF 송신선 (168) 의 이 지점에서의 바람직한 임피던스의 허수부를 매칭하도록 가변 인덕터 (137) 의 인덕턴스 및 가변 커패시터 (104) 의 커패시턴스를 변화시킨다. In some embodiments, if it is determined that the measured impedance received from the sensor (not shown) and the desired impedance at this point do not match, the host controller 224 changes the inductance of the variable inductor 137 and changes the variable capacitor ( 104) adjusts the imaginary part of the impedance at the output 142B. Host controller 224 controls the inductance of variable inductor 137 and variable capacitor 104 to match the imaginary part of the measured impedance received from a sensor (not shown) to the imaginary part of the desired impedance at this point in RF transmission line 168 . ) to change the capacitance of

다양한 실시예들에서, 가변 인덕터 (137) 의 인덕턴스 및 가변 커패시터 (104) 의 커패시턴스는 센서 (미도시) 로부터 수신된 측정된 임피던스를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스와 매칭하도록 가변 션트 커패시터 (102) 의 커패시턴스 대신 또는 이와 더불어서 조정된다.In various embodiments, the inductance of variable inductor 137 and capacitance of variable capacitor 104 are variable to match the measured impedance received from the sensor (not shown) with the desired impedance at a point on the RF transmission line 168 . Instead of or in addition to the capacitance of the shunt capacitor 102 is adjusted.

일부 실시예들에서, 가변 션트 커패시터 (102) 및 가변 인덕터 (137) 는 가변 커패시터 (104) 가 임피던스 매칭 회로 (135) 에 부가될 때 임피던스 매칭 회로 (135) 내에 있다는 것을 주의해야 한다. 예를 들어, 임피던스 매칭 회로 (135) 는 가변 커패시터 (104) 가 임피던스 매칭 회로 (135) 에 포함되기 전에 가변 션트 커패시터 (102) 및 가변 인덕터 (137) 를 사용함으로써 일 단부에서 임피던스 매칭 회로 (135) 에 접속된 부하의 임피던스와 타 단부에서 임피던스 매칭 회로 (135) 에 접속된 소스의 임피던스를 매칭한다. It should be noted that in some embodiments, the variable shunt capacitor 102 and the variable inductor 137 are in the impedance matching circuit 135 when the variable capacitor 104 is added to the impedance matching circuit 135 . For example, the impedance matching circuit 135 may be configured at one end by using the variable shunt capacitor 102 and the variable inductor 137 before the variable capacitor 104 is included in the impedance matching circuit 135 . ) and the impedance of the source connected to the impedance matching circuit 135 at the other end are matched.

도 3은 컴퓨터-생성된 모델 (140C) 및 임피던스 매칭 회로 (152) 를 사용하여 에칭 레이트 및 증착 레이트를 제어하기 위한 플라즈마 시스템 (250) 의 실시예의 도면이다. 컴퓨터-생성된 모델 (140C) 의 실례는 RF 케이블 (144) 및 임피던스 매칭 회로 (152) 의 모델, 또는 RF 케이블 (144), 임피던스 매칭 회로 (152), 및 적어도 일부의 RF 송신선 (168) 의 모델을 포함한다. 컴퓨터-생성된 모델 (140C) 은 임피던스 매칭 회로 (134) (도 1) 로부터 컴퓨터-생성된 모델 (140A) (도 1)을 생성하는 것과 유사한 방식으로 임피던스 매칭 회로 (152) 로부터 생성된다. 플라즈마 시스템 (250) 은 임피던스 매칭 회로 (152) 가 가변 커패시터 (104) 대신 커패시터 (158) 를 포함하고, 가변 션트 커패시터 (162) 를 포함하고, 인덕터 (164) 를 포함한다는 점을 제외하고 플라즈마 시스템 (130) (도 1) 과 유사하다. 커패시터 (158) 는 인덕터 (106) 와 직렬로 되고 RF 케이블 (144) 에 접속된다. 또한, 인덕터 (164) 는 인덕터 (106) 및 RF 송신선 (168) 과 T-구성으로 커플링된다. 가변 커패시터 (162) 는 인덕터 (164) 와 직렬로 커플링된다.3 is a diagram of an embodiment of a plasma system 250 for controlling an etch rate and deposition rate using a computer-generated model 140C and impedance matching circuit 152 . An example of a computer-generated model 140C is a model of an RF cable 144 and an impedance matching circuit 152 , or an RF cable 144 , an impedance matching circuit 152 , and at least a portion of an RF transmission line 168 . includes a model of Computer-generated model 140C is generated from impedance matching circuit 152 in a manner similar to generating computer-generated model 140A ( FIG. 1 ) from impedance matching circuit 134 ( FIG. 1 ). Plasma system 250 is a plasma system except that impedance matching circuit 152 includes capacitor 158 instead of variable capacitor 104 , includes variable shunt capacitor 162 , and includes inductor 164 . (130) (FIG. 1). Capacitor 158 is in series with inductor 106 and connected to RF cable 144 . Inductor 164 is also coupled in a T-configuration with inductor 106 and RF transmission line 168 . Variable capacitor 162 is coupled in series with inductor 164 .

플라즈마 시스템 (250) 은 플라즈마 시스템 (250) 이 컴퓨터-생성된 모델 (140A) 대신 컴퓨터-생성된 모델 (140C) 을 포함한다는 점을 제외하고, 플라즈마 시스템 (250) 이 레시피 (226A) (도 1) 대신 레시피 (226C) 를 포함한다는 점을 제외하고, 플라즈마 시스템 (130) (도 1) 과 유사하다.Plasma system 250 is similar to recipe 226A (FIG. 1), except that plasma system 250 includes computer-generated model 140C instead of computer-generated model 140A. ), similar to plasma system 130 ( FIG. 1 ), except that it includes recipe 226C instead.

호스트 제어기 (224) 의 레시피 (226C) 는 또한 임피던스 매칭 회로 (152) 의 출력과 척 (218) 사이의 RF 송신선 (168) 상의 이 지점에서 달성될, 예를 들어, 바람직한 임피던스, 등을 포함한다. 레시피 (226C) 는 RF 송신선 (168) 의 이 지점에서의 임피던스와 컴퓨터-생성된 모델 (140C) 의 출력부 (142C) 에서의 임피던스 간의 대응관계를 포함한다. The recipe 226C of the host controller 224 also includes the desired impedance, etc., to be achieved at this point on the RF transmission line 168 between the output of the impedance matching circuit 152 and the chuck 218 , etc. do. Recipe 226C includes a correspondence between the impedance at this point of RF transmission line 168 and the impedance at output 142C of computer-generated model 140C.

일부 실시예들에서, 이 지점에서의 임피던스와 출력부 (142C) 에서의 임피던스 간의 대응관계 대신, 레시피 (226C) 는 출력부 (142C) 에서의 다른 변수의 값과 임피던스 매칭 회로 (152) 와 상부 전극 (220) 사이의 이 지점에서의 다른 변수의 값 간의 대응관계를 포함한다. In some embodiments, instead of the correspondence between the impedance at this point and the impedance at the output 142C, the recipe 226C uses the value of the other variable at the output 142C and the impedance matching circuit 152 and the top Correspondence between the values of the other variables at this point between the electrodes 220 .

일부 실시예들에서, 이 지점에서의 바람직한 임피던스가 컴퓨터-생성된 모델 (140C) 의 출력부 (142C) 에서의 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 션트 커패시터 (162) 의 커패시턴스를 변화시킴으로써 출력부 (142C) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 출력부 (142C) 에서의 임피던스의 허수부와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부 간의 매칭을 달성하도록 가변 션트 커패시터 (162) 의 커패시턴스를 변화시킨다. In some embodiments, if it is determined that the desired impedance at this point does not match the impedance at output 142C of computer-generated model 140C, then host controller 224 controls that of variable shunt capacitor 162 . The imaginary part of the impedance at the output 142C is adjusted by changing the capacitance. Host controller 224 changes the capacitance of variable shunt capacitor 162 to achieve a match between the imaginary part of the impedance at output 142C and the desired impedance at a point on RF transmission line 168 .

다양한 실시예들에서, 가변 션트 커패시터 (162) 의 커패시턴스는 컴퓨터-생성된 모델 (140C) 의 출력부 (142C) 에서의 임피던스를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스와 매칭하도록 가변 션트 커패시터 (102) 의 커패시턴스 대신 또는 이와 더불어서 조정된다.In various embodiments, the capacitance of the variable shunt capacitor 162 is adjusted to match the impedance at the output 142C of the computer-generated model 140C with the desired impedance at a point on the RF transmission line 168 . Instead of or in addition to the capacitance of the capacitor 102 is adjusted.

몇몇 실시예들에서, 가변 커패시터 (미도시) 는 커패시터 (158) 대신 사용된다. 가변 커패시터 (미도시) 의 커패시턴스 및 가변 션트 커패시터 (162) 의 커패시턴스는 컴퓨터-생성된 모델 (140C) 의 출력부 (142C) 에서의 임피던스의 허수부를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부와 매칭하도록 조정된다.In some embodiments, a variable capacitor (not shown) is used instead of capacitor 158 . The capacitance of the variable capacitor (not shown) and the capacitance of the variable shunt capacitor 162 are the imaginary part of the impedance at the output 142C of the computer-generated model 140C, the desired impedance at a point on the RF transmission line 168. adjusted to match the imaginary part of

다양한 실시예들에서, 가변 커패시터 (미도시) 는 커패시터 (158) 대신 사용된다. 가변 커패시터 (미도시) 의 커패시턴스 및 가변 션트 커패시터 (162) 의 커패시턴스는 컴퓨터-생성된 모델 (140C) 의 출력부 (142C) 에서의 임피던스를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스와 매칭시키도록 가변 션트 커패시터 (102) 의 커패시턴스에 부가하여 조정된다. In various embodiments, a variable capacitor (not shown) is used instead of capacitor 158 . The capacitance of the variable capacitor (not shown) and the capacitance of the variable shunt capacitor 162 match the impedance at the output 142C of the computer-generated model 140C with the desired impedance at a point on the RF transmission line 168 . It is adjusted in addition to the capacitance of the variable shunt capacitor 102 to make it

일부 실시예들에서, 가변 인덕터 (미도시) 는 인덕터 (106) 대신 사용된다. 가변 인덕터 (미도시) 의 인덕턴스 및 가변 션트 커패시터 (162) 의 커패시턴스는 컴퓨터-생성된 모델 (140C) 의 출력부 (142C) 에서의 임피던스의 허수부를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부와 매칭하도록 조정된다.In some embodiments, a variable inductor (not shown) is used instead of inductor 106 . The inductance of the variable inductor (not shown) and the capacitance of the variable shunt capacitor 162 are the imaginary part of the impedance at the output 142C of the computer-generated model 140C, the desired impedance at a point on the RF transmission line 168. adjusted to match the imaginary part of

다양한 실시예들에서, 가변 인덕터 (미도시) 는 인덕터 (106) 대신 사용된다. 가변 인덕터 (미도시) 의 인덕턴스 및 가변 션트 커패시터 (162) 의 커패시턴스는 컴퓨터-생성된 모델 (140C) 의 출력부 (142C) 에서의 임피던스를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스와 매칭시키도록 가변 션트 커패시터 (102) 의 커패시턴스에 부가하여 조정된다.In various embodiments, a variable inductor (not shown) is used in place of inductor 106 . The inductance of the variable inductor (not shown) and the capacitance of the variable shunt capacitor 162 match the impedance at the output 142C of the computer-generated model 140C with the desired impedance at the point on the RF transmission line 168 . It is adjusted in addition to the capacitance of the variable shunt capacitor 102 to make it

일부 실시예들에서, 가변 커패시터 (미도시) 는 커패시터 (158) 대신 사용되고 가변 인덕터 (미도시) 는 인덕터 (106) 대신 사용된다. 가변 커패시터 (미도시) 의 커패시턴스, 가변 인덕터 (미도시) 의 인덕턴스, 및 가변 션트 커패시터 (162) 의 커패시턴스는 컴퓨터-생성된 모델 (140C) 의 출력부 (142C) 에서의 임피던스의 허수부를 바람직한 임피던스의 허수부와 매칭하도록 조정된다. In some embodiments, a variable capacitor (not shown) is used in place of capacitor 158 and a variable inductor (not shown) is used in place of inductor 106 . The capacitance of the variable capacitor (not shown), the inductance of the variable inductor (not shown), and the capacitance of the variable shunt capacitor 162 are the imaginary part of the impedance at the output 142C of the computer-generated model 140C, the desired impedance. adjusted to match the imaginary part of

일부 실시예들에서, 컴퓨터-생성된 모델 (140C) 의 출력부 (142C) 에서의 임피던스를 사용하는 대신, 센서 (미도시) 는 RF 송신선 (168) 상의 이 지점에 커플링되고 이 지점에서의 임피던스를 측정하기 위해 사용된다. 센서 (미도시) 는 측정된 임피던스를 호스트 제어기 (224) 에 제공한다. 호스트 제어기 (224) 는 측정된 임피던스가 RF 송신선 (168) 의 이 지점에서 달성될 바람직한 임피던스와 매칭하는지 여부를 결정한다. 측정된 임피던스가 이 지점에서의 바람직한 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 션트 커패시터 (162) 의 커패시턴스를 변화시킴으로써 출력부 (142C) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 센서 (미도시) 로부터 수신된 측정된 임피던스의 허수부를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부와 매칭하도록 가변 션트 커패시터 (162) 의 커패시턴스를 변화시킨다. In some embodiments, instead of using the impedance at output 142C of computer-generated model 140C, a sensor (not shown) is coupled to and at this point on RF transmission line 168 . used to measure the impedance of A sensor (not shown) provides the measured impedance to the host controller 224 . The host controller 224 determines whether the measured impedance matches the desired impedance to be achieved at this point in the RF transmission line 168 . If it is determined that the measured impedance does not match the desired impedance at this point, the host controller 224 adjusts the imaginary part of the impedance at the output 142C by changing the capacitance of the variable shunt capacitor 162 . The host controller 224 changes the capacitance of the variable shunt capacitor 162 to match the imaginary part of the measured impedance received from the sensor (not shown) with the imaginary part of the desired impedance at the point on the RF transmission line 168 .

다양한 실시예들에서, 가변 션트 커패시터 (162) 의 커패시턴스는 센서 (미도시) 로부터 수신된 측정된 임피던스를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스와 매칭시키도록 가변 션트 커패시터 (102) 의 커패시턴스에 부가하여 조정된다. In various embodiments, the capacitance of variable shunt capacitor 162 is adjusted to match the measured impedance received from a sensor (not shown) with the desired impedance at a point on RF transmission line 168 . It is adjusted in addition to the capacitance.

가변 커패시터 (미도시) 가 커패시터 (158) 대신 사용되는 실시예들에서, 측정된 임피던스가 이 지점에서의 바람직한 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 커패시터 (미도시) 의 커패시턴스를 변화시키고 가변 션트 커패시터 (162) 의 커패시턴스를 변화시킴으로써 출력부 (142C) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 센서 (미도시) 로부터 수신된 측정된 임피던스의 허수부를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부와 매칭하도록 가변 커패시터 (미도시) 의 커패시턴스 및 가변 션트 커패시터 (162) 의 커패시턴스를 변화시킨다. In embodiments in which a variable capacitor (not shown) is used in place of the capacitor 158, if it is determined that the measured impedance does not match the desired impedance at this point, the host controller 224 controls the capacitance of the variable capacitor (not shown). and adjusts the imaginary part of the impedance at the output 142C by changing the capacitance of the variable shunt capacitor 162 . The host controller 224 is configured to match the imaginary part of the measured impedance received from the sensor (not shown) with the imaginary part of the desired impedance at a point on the RF transmission line 168, the capacitance of a variable capacitor (not shown) and the variable shunt capacitor. Change the capacitance of (162).

몇몇 실시예들에서, 커패시터 (158) 대신 접속된 가변 커패시터 (미도시) 의 커패시턴스 및 가변 션트 커패시터 (162) 의 커패시턴스는 센서 (미도시) 로부터 수신된 측정된 임피던스의 허수부를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부와 매칭하도록 조정된다. In some embodiments, the capacitance of the variable capacitor (not shown) connected instead of the capacitor 158 and the capacitance of the variable shunt capacitor 162 are the imaginary part of the measured impedance received from the sensor (not shown) on the RF transmission line 168 . ) is adjusted to match the imaginary part of the desired impedance at the point on

일부 실시예들에서, 커패시터 (158) 대신 접속된 가변 커패시터 (미도시) 의 커패시턴스 및 가변 션트 커패시터 (162) 의 커패시턴스는 센서 (미도시) 로부터 수신된 측정된 임피던스를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스와 매칭시키도록 가변 션트 커패시터 (102) 의 커패시턴스에 부가하여 조정된다. In some embodiments, the capacitance of the variable capacitor (not shown) connected instead of the capacitor 158 and the capacitance of the variable shunt capacitor 162 are equal to the measured impedance received from the sensor (not shown) on the RF transmission line 168 . It is adjusted in addition to the capacitance of the variable shunt capacitor 102 to match the desired impedance at the point.

가변 인덕터 (미도시) 가 인덕터 (106) 대신 사용되는 실시예들에서, 측정된 임피던스가 이 지점에서의 바람직한 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 인덕터 (미도시) 의 인덕턴스를 변화시키고 가변 션트 커패시터 (162) 의 커패시턴스를 변화시킴으로써 출력부 (142C) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 센서 (미도시) 로부터 수신된 측정된 임피던스의 허수부를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부와 매칭하도록 가변 인덕터 (미도시) 의 인덕턴스 및 가변 션트 커패시터 (162) 의 커패시턴스를 변화시킨다.In embodiments where a variable inductor (not shown) is used instead of inductor 106, if it is determined that the measured impedance does not match the desired impedance at this point, the host controller 224 controls the inductance of the variable inductor (not shown). and adjusts the imaginary part of the impedance at the output 142C by changing the capacitance of the variable shunt capacitor 162 . Host controller 224 is configured to match the inductance of a variable inductor (not shown) and the variable shunt capacitor to match the imaginary part of the measured impedance received from the sensor (not shown) with the imaginary part of the desired impedance at a point on the RF transmission line 168 . Change the capacitance of (162).

다양한 실시예들에서, 인덕터 (106) 대신 접속된 가변 인덕터 (미도시) 의 인덕턴스 및 가변 션트 커패시터 (162) 의 커패시턴스는 센서 (미도시) 로부터 수신된 측정된 임피던스를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스와 매칭시키도록 가변 션트 커패시터 (102) 의 커패시턴스에 부가하여 조정된다. In various embodiments, the inductance of a variable inductor (not shown) connected in place of inductor 106 and the capacitance of variable shunt capacitor 162 are equal to the measured impedance received from the sensor (not shown) on RF transmission line 168 . It is adjusted in addition to the capacitance of the variable shunt capacitor 102 to match the desired impedance at the point.

일부 실시예들에서 가변 인덕터 (미도시) 는 인덕터 (106) 대신 사용되고 가변 커패시터 (미도시) 는 커패시터 (158) 대신 사용된다. 측정된 임피던스가 이 지점에서의 바람직한 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 인덕터 (미도시) 의 인덕턴스를 변화시키고, 가변 커패시터 (미도시) 의 커패시턴스를 변화시키고 가변 션트 커패시터 (162) 의 커패시턴스를 변화시킴으로써 출력부 (142C) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 센서 (미도시) 로부터 수신된 측정된 임피던스의 허수부를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부와 매칭하도록 가변 인덕터 (미도시) 의 인덕턴스, 가변 커패시터 (미도시) 의 커패시턴스 및 가변 션트 커패시터 (162) 의 커패시턴스를 변화시킨다. In some embodiments a variable inductor (not shown) is used in place of inductor 106 and a variable capacitor (not shown) is used in place of capacitor 158 . If it is determined that the measured impedance does not match the desired impedance at this point, the host controller 224 changes the inductance of the variable inductor (not shown), changes the capacitance of the variable capacitor (not shown), and changes the variable shunt capacitor ( 162) adjusts the imaginary part of the impedance at the output 142C. The host controller 224 is configured to match the imaginary part of the measured impedance received from the sensor (not shown) with the imaginary part of the desired impedance at a point on the RF transmission line 168, the inductance of a variable inductor (not shown), The capacitance of the variable shunt capacitor 162 and the capacitance of the variable shunt capacitor 162 are changed.

다양한 실시예들에서, 인덕터 (106) 대신 접속된 가변 인덕터 (미도시) 의 인덕턴스, 커패시터 (158) 대신 접속된 가변 커패시터 (미도시) 의 커패시턴스, 및 가변 션트 커패시터 (162) 의 커패시턴스는 센서 (미도시) 로부터 수신된 측정된 임피던스의 허수부를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부와 매칭시키도록 가변 션트 커패시터 (102) 의 커패시턴스에 부가하여 조정된다.In various embodiments, the inductance of a variable inductor (not shown) connected in place of inductor 106, the capacitance of a variable capacitor (not shown) connected in place of capacitor 158, and the capacitance of variable shunt capacitor 162 are It is adjusted in addition to the capacitance of variable shunt capacitor 102 to match the imaginary part of the measured impedance received from (not shown) with the imaginary part of the desired impedance at a point on the RF transmission line 168 .

일부 실시예들에서, 가변 션트 커패시터 (102), 커패시터 (158), 및 인덕터 (106) 는 인덕터 (164) 및 가변 션트 커패시터 (162) 가 임피던스 매칭 회로 (152) 에 추가될 때 임피던스 매칭 회로 (152) 내에 있다는 것을 주의해야 한다. 예를 들어, 임피던스 매칭 회로 (152) 는 인덕터 (164) 및 가변 션트 커패시터 (162) 가 임피던스 매칭 회로 (152) 내에 포함되기 전에 가변 션트 커패시터 (102), 커패시터 (158), 및 인덕터 (106) 를 사용함으로써 일 단부에서 임피던스 매칭 회로 (152) 에 접속된 부하의 임피던스와 타 단부에서 임피던스 매칭 회로 (152) 에 접속된 소스의 임피던스를 매칭한다. In some embodiments, variable shunt capacitor 102 , capacitor 158 , and inductor 106 are connected to an impedance matching circuit ( ) when inductor 164 and variable shunt capacitor 162 are added to impedance matching circuit 152 . 152). For example, impedance matching circuit 152 performs variable shunt capacitor 102 , capacitor 158 , and inductor 106 before inductor 164 and variable shunt capacitor 162 are included in impedance matching circuit 152 . By using , the impedance of the load connected to the impedance matching circuit 152 at one end and the impedance of the source connected to the impedance matching circuit 152 at the other end are matched.

도 4는 컴퓨터-생성된 모델 (140D) 및 임피던스 매칭 회로 (254) 를 사용하여 에칭 레이트 또는 증착 레이트를 제어하기 위한 플라즈마 시스템 (252) 의 실시예의 도면이다. 컴퓨터-생성된 모델 (140D) 의 실례는 RF 케이블 (144) 과 임피던스 매칭 회로 (254) 의 모델 또는 RF 케이블 (144), 임피던스 매칭 회로 (254), 및 RF 송신선 (168) 의 적어도 일부의 모델을 포함한다. 컴퓨터-생성된 모델 (140D) 은 임피던스 매칭 회로 (152) (도 3) 로부터 컴퓨터-생성된 모델 (140C) (도 3) 을 생성하는 방식과 유사한 방식으로 임피던스 매칭 회로 (254) 로부터 생성된다. 플라즈마 시스템 (252) 은, 임피던스 매칭 회로 (254) 가 인덕터 (164) 대신 가변 인덕터 (256) 를 포함한다는 점을 제외하면 플라즈마 시스템 (250) (도 3) 과 유사하다. 가변 인덕터 (256) 는 가변 커패시터 (162) 와 직렬로 되며 인덕터 (106) 및 RF 송신선 (168) 을 갖는 T-구성을 형성한다.4 is a diagram of an embodiment of a plasma system 252 for controlling an etch rate or deposition rate using a computer-generated model 140D and impedance matching circuitry 254 . An example of the computer-generated model 140D is a model of the RF cable 144 and the impedance matching circuit 254 or at least a portion of the RF cable 144 , the impedance matching circuit 254 , and the RF transmission line 168 . includes the model. Computer-generated model 140D is generated from impedance matching circuit 254 in a manner similar to generating computer-generated model 140C ( FIG. 3 ) from impedance matching circuit 152 ( FIG. 3 ). Plasma system 252 is similar to plasma system 250 ( FIG. 3 ) except that impedance matching circuit 254 includes variable inductor 256 instead of inductor 164 . Variable inductor 256 is in series with variable capacitor 162 and forms a T-configuration with inductor 106 and RF transmission line 168 .

플라즈마 시스템 (252) 은 플라즈마 시스템 (252) 이 컴퓨터-생성된 모델 (140C) 대신 컴퓨터-생성된 모델 (140D) 을 포함한다는 점을 제외하고 그리고 플라즈마 시스템 (252) 이 레시피 (226C) (도 3) 대신 레시피 (226D) 를 포함한다는 점을 제외하면 플라즈마 시스템 (250) 과 유사하다. Plasma system 252 is similar to plasma system 252 except that plasma system 252 includes computer-generated model 140D instead of computer-generated model 140C and plasma system 252 uses recipe 226C ( FIG. 3 ). ) similar to plasma system 250 except that it includes recipe 226D instead.

호스트 제어기 (224) 의 레시피 (226D) 는 임피던스 매칭 회로 (254) 의 출력부와 척 (218) 간의 RF 송신선 (168) 상의 지점에서 달성될 임피던스, 예를 들어 바람직한 임피던스 등을 포함한다. 레시피 (226D) 는 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서의 임피던스 및 RF 송신선 (168) 상의 지점에서의 임피던스 간의 대응관계를 포함한다. The recipe 226D of the host controller 224 includes the impedance to be achieved at a point on the RF transmission line 168 between the output of the impedance matching circuit 254 and the chuck 218 , eg, a desired impedance, and the like. Recipe 226D includes a correspondence between impedance at output 142D of computer-generated model 140D and impedance at a point on RF transmission line 168 .

일부 실시예들에서, 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서의 임피던스 및 RF 송신선 (168) 상의 지점에서의 임피던스 간의 대응관계 대신, 레시피 (226D) 는 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서의 다른 변수의 값과 임피던스 매칭 회로 (254) 와 상부 전극 (220) 간의 지점에서의 다른 변수의 값 간의 대응관계를 포함한다.In some embodiments, instead of a correspondence between the impedance at the output 142D of the computer-generated model 140D and the impedance at a point on the RF transmission line 168 , the recipe 226D uses the computer-generated model and a correspondence between the value of the other variable at the output 142D of 140D and the value of the other variable at the point between the impedance matching circuit 254 and the upper electrode 220 .

일부 실시예들에서, 이 지점에서의 바람직한 임피던스가 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서의 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 인덕터 (256) 의 인덕턴스를 변화시킴으로써 출력부 (142D) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 출력부 (142D) 에서의 임피던스의 허수부와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부 간의 매칭을 달성하도록 가변 인덕터 (256) 의 인덕턴스를 변화시킨다. In some embodiments, if it is determined that the desired impedance at this point does not match the impedance at output 142D of computer-generated model 140D, host controller 224 controls the inductance of variable inductor 256 Adjusts the imaginary part of the impedance at the output 142D by changing . The host controller 224 changes the inductance of the variable inductor 256 to achieve a match between the imaginary part of the impedance at the output 142D and the desired impedance at the point on the RF transmission line 168 .

몇몇 실시예들에서, 이 지점에서의 바람직한 임피던스가 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서의 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 인덕터 (256) 의 인덕턴스를 변화시키고 가변 션트 커패시터 (162) 의 커패시턴스를 변화시킴으로써 출력부 (142D) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 출력부 (142D) 에서의 임피던스의 허수부와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부 간의 매칭을 달성하도록 가변 인덕터 (256) 의 인덕턴스 및 가변 션트 커패시터 (162) 의 커패시턴스를 변화시킨다. In some embodiments, if it is determined that the desired impedance at this point does not match the impedance at output 142D of computer-generated model 140D, host controller 224 controls the inductance of variable inductor 256 and adjusts the imaginary part of the impedance at the output 142D by changing the capacitance of the variable shunt capacitor 162 . Host controller 224 controls the inductance of variable inductor 256 and the variable shunt capacitor ( 162) to change the capacitance.

다양한 실시예들에서, 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서의 임피던스와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스 간의 매칭을 달성하도록 가변 인덕터 (256) 의 인덕턴스가 가변 션트 커패시터 (102) 의 커패시턴스 대신 또는 이와 더불어서 조정된다. In various embodiments, the inductance of variable inductor 256 is variable to achieve a match between the impedance at output 142D of computer-generated model 140D and the desired impedance at a point on RF transmission line 168 . Instead of or in addition to the capacitance of the shunt capacitor 102 is adjusted.

몇몇 실시예들에서, 이 지점에서의 바람직한 임피던스가 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서의 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 인덕터 (256) 의 인덕턴스를 변화시키고 가변 션트 커패시터 (162) 의 커패시턴스를 변화시키고 가변 션트 커패시터 (102) 의 커패시턴스를 변화시킴으로써 출력부 (142D) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 출력부 (142D) 에서의 임피던스의 허수부와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부 간의 매칭을 달성하도록 가변 인덕터 (256) 의 인덕턴스 및 가변 션트 커패시터 (162) 의 커패시턴스 및 가변 션트 커패시터 (102) 의 커패시턴스를 변화시킨다. In some embodiments, if it is determined that the desired impedance at this point does not match the impedance at output 142D of computer-generated model 140D, host controller 224 controls the inductance of variable inductor 256 and adjusts the imaginary part of the impedance at the output 142D by changing the capacitance of the variable shunt capacitor 162 and changing the capacitance of the variable shunt capacitor 102 . Host controller 224 controls the inductance of variable inductor 256 and the variable shunt capacitor ( 162 and the capacitance of the variable shunt capacitor 102 .

다양한 실시예들에서, 가변 커패시터 (미도시) 가 커패시터 (158) 대신 사용된다. 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서의 임피던스의 허수부와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부 간의 매칭을 달성하도록 가변 인덕터 (256) 의 인덕턴스 및 가변 커패시터 (미도시) 의 커패시턴스가 조정된다. In various embodiments, a variable capacitor (not shown) is used in place of capacitor 158 . The inductance and variableness of variable inductor 256 to achieve a match between the imaginary part of the impedance at the output 142D of the computer-generated model 140D and the imaginary part of the desired impedance at a point on the RF transmission line 168 . The capacitance of a capacitor (not shown) is adjusted.

일부 실시예들에서, 가변 커패시터 (미도시) 가 커패시터 (158) 대신 사용된다. 가변 커패시터 (미도시) 의 커패시턴스 및 가변 인덕터 (256) 의 인덕턴스는, 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서의 임피던스와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스 간의 매칭을 달성하도록, 가변 션트 커패시터 (102) 의 커패시턴스에 부가하여 조정된다.In some embodiments, a variable capacitor (not shown) is used instead of capacitor 158 . The capacitance of the variable capacitor (not shown) and the inductance of the variable inductor 256 are a match between the impedance at the output 142D of the computer-generated model 140D and the desired impedance at a point on the RF transmission line 168 . is adjusted in addition to the capacitance of the variable shunt capacitor 102 .

몇몇 실시예들에서, 가변 인덕터 (미도시) 가 인덕터 (106) 대신 사용된다. 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서의 임피던스의 허수부와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부 간의 매칭을 달성하도록 가변 인덕터 (미도시) 의 인덕턴스 및 가변 인덕터 (256) 의 인덕턴스가 조정된다. In some embodiments, a variable inductor (not shown) is used instead of inductor 106 . the inductance of a variable inductor (not shown) to achieve a match between the imaginary part of the impedance at the output 142D of the computer-generated model 140D and the imaginary part of the desired impedance at a point on the RF transmission line 168 and The inductance of the variable inductor 256 is adjusted.

일부 실시예들에서, 가변 인덕터 (미도시) 가 인덕터 (106) 대신 사용된다. 가변 인덕터 (미도시) 의 인덕턴스 및 가변 인덕터 (256) 의 인덕턴스는, 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서의 임피던스와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스 간의 매칭을 달성하도록, 가변 션트 커패시터 (102) 의 커패시턴스에 부가하여 조정된다.In some embodiments, a variable inductor (not shown) is used instead of inductor 106 . The inductance of the variable inductor (not shown) and the inductance of the variable inductor 256 are a match between the impedance at the output 142D of the computer-generated model 140D and the desired impedance at a point on the RF transmission line 168 . is adjusted in addition to the capacitance of the variable shunt capacitor 102 .

일부 실시예들에서, 가변 커패시터 (미도시) 가 커패시터 (158) 대신 사용되고, 가변 인덕터 (미도시) 가 인덕터 (106) 대신 사용된다. 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서의 임피던스의 허수부와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부 간의 매칭을 달성하도록, 가변 커패시터 (미도시) 의 커패시턴스, 가변 인덕터 (미도시) 의 인덕턴스 및 가변 인덕터 (256) 의 인덕턴스가 조정된다. In some embodiments, a variable capacitor (not shown) is used in place of capacitor 158 , and a variable inductor (not shown) is used in place of inductor 106 . To achieve a match between the imaginary part of the impedance at the output 142D of the computer-generated model 140D and the imaginary part of the desired impedance at a point on the RF transmission line 168, the capacitance of the variable capacitor (not shown) is , the inductance of the variable inductor (not shown) and the inductance of the variable inductor 256 are adjusted.

일부 실시예들에서, 가변 커패시터 (미도시) 가 커패시터 (158) 대신 사용되고, 가변 인덕터 (미도시) 가 인덕터 (106) 대신 사용된다. 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서의 임피던스와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스 간의 매칭을 달성하도록, 가변 커패시터 (미도시) 의 커패시턴스, 가변 인덕터 (미도시) 의 인덕턴스 및 가변 인덕터 (256) 의 인덕턴스가 가변 션트 커패시터 (102) 의 커패시턴스에 부가하여 조정된다. In some embodiments, a variable capacitor (not shown) is used in place of capacitor 158 , and a variable inductor (not shown) is used in place of inductor 106 . To achieve a match between the impedance at the output 142D of the computer-generated model 140D and the desired impedance at a point on the RF transmission line 168, the capacitance of a variable capacitor (not shown), a variable inductor (not shown) ) and the inductance of the variable inductor 256 are adjusted in addition to the capacitance of the variable shunt capacitor 102 .

다양한 실시예들에서, 가변 커패시터 (미도시) 가 커패시터 (158) 대신 사용된다. 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서의 임피던스와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스 간의 매칭을 달성하도록, 가변 커패시터 (미도시) 의 커패시턴스, 가변 션트 커패시터 (162) 의 커패시턴스 및 가변 인덕터 (256) 의 인덕턴스가 가변 션트 커패시터 (102) 의 커패시턴스에 부가하여 조정된다. In various embodiments, a variable capacitor (not shown) is used in place of capacitor 158 . To achieve a match between the impedance at the output 142D of the computer-generated model 140D and the desired impedance at a point on the RF transmission line 168, the capacitance of a variable capacitor (not shown), the variable shunt capacitor 162 ) and the inductance of the variable inductor 256 are adjusted in addition to the capacitance of the variable shunt capacitor 102 .

일부 실시예들에서, 가변 커패시터 (미도시) 가 커패시터 (158) 대신 사용되고, 가변 인덕터 (미도시) 가 인덕터 (106) 대신 사용된다. 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서의 임피던스의 허수부와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부 간의 매칭을 달성하도록, 가변 커패시터 (미도시) 의 커패시턴스, 가변 인덕터 (미도시) 의 인덕턴스, 가변 션트 커패시터 (162) 의 커패시턴스, 및 가변 인덕터 (256) 의 인덕턴스가 조정된다. In some embodiments, a variable capacitor (not shown) is used in place of capacitor 158 , and a variable inductor (not shown) is used in place of inductor 106 . To achieve a match between the imaginary part of the impedance at the output 142D of the computer-generated model 140D and the imaginary part of the desired impedance at a point on the RF transmission line 168, the capacitance of the variable capacitor (not shown) is , the inductance of the variable inductor (not shown), the capacitance of the variable shunt capacitor 162 , and the inductance of the variable inductor 256 are adjusted.

일부 실시예들에서, 가변 커패시터 (미도시) 가 커패시터 (158) 대신 사용되고, 가변 인덕터 (미도시) 가 인덕터 (106) 대신 사용된다. 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서의 임피던스와 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스 간의 매칭을 달성하도록, 가변 커패시터 (미도시) 의 커패시턴스, 가변 인덕터 (미도시) 의 인덕턴스, 가변 션트 커패시터 (162) 의 커패시턴스, 및 가변 인덕터 (256) 의 인덕턴스가 가변 션트 커패시터 (102) 의 커패시턴스에 부가하여 조정된다. In some embodiments, a variable capacitor (not shown) is used in place of capacitor 158 , and a variable inductor (not shown) is used in place of inductor 106 . To achieve a match between the impedance at the output 142D of the computer-generated model 140D and the desired impedance at a point on the RF transmission line 168, the capacitance of a variable capacitor (not shown), a variable inductor (not shown) ), the capacitance of the variable shunt capacitor 162 , and the inductance of the variable inductor 256 are adjusted in addition to the capacitance of the variable shunt capacitor 102 .

일부 실시예들에서, 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서 임피던스를 사용하는 대신, 센서 (미도시) 가 RF 송신선 (168) 상의 지점에 커플링되고, 이 지점에서 임피던스를 측정하는데 사용된다. 센서 (미도시) 는 측정된 임피던스를 호스트 제어기 (224) 에 제공한다. 호스트 제어기 (224) 는 측정된 임피던스가 RF 송신선 (168) 의 이 지점에서 달성될 바람직한 임피던스와 매칭하는지의 여부를 결정한다. 측정된 임피던스가 RF 송신선 (168) 의 이 지점에서 달성될 바람직한 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 인덕터 (256) 의 인덕턴스를 변화시킴으로써 출력부 (142D) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 측정된 임피던스의 허수부를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부와 매칭시키도록 가변 인덕터 (256) 의 인덕턴스를 변화시킨다.In some embodiments, instead of using the impedance at the output 142D of the computer-generated model 140D, a sensor (not shown) is coupled to a point on the RF transmission line 168 and the impedance at this point. is used to measure A sensor (not shown) provides the measured impedance to the host controller 224 . The host controller 224 determines whether the measured impedance matches the desired impedance to be achieved at this point in the RF transmission line 168 . If it is determined that the measured impedance does not match the desired impedance to be achieved at this point in the RF transmission line 168 , the host controller 224 adjusts the impedance of the impedance at the output 142D by changing the inductance of the variable inductor 256 . Adjust the imaginary part. The host controller 224 changes the inductance of the variable inductor 256 to match the imaginary part of the measured impedance with the imaginary part of the desired impedance at a point on the RF transmission line 168 .

다양한 실시예들에서, 가변 인덕터 (256) 의 인덕턴스는 센서로부터 수신된 측정된 임피던스를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스와 매칭시키도록 가변 션트 커패시터 (102) 의 커패시턴스 대신 또는 더불어서 조정된다.In various embodiments, the inductance of the variable inductor 256 is adjusted instead of or in addition to the capacitance of the variable shunt capacitor 102 to match the measured impedance received from the sensor with the desired impedance at a point on the RF transmission line 168 . do.

가변 커패시터 (미도시) 가 커패시터 (158) 대신 사용되는 실시예들에서, 측정된 임피던스가 RF 송신선 (168) 의 이 지점에서 달성될 바람직한 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 커패시터 (미도시) 의 커패시턴스 및 가변 인덕터 (256) 의 인덕턴스를 변화시킴으로써 출력부 (142D) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 센서 (미도시) 로부터 수신된 측정된 임피던스의 허수부를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부와 매칭시키도록 가변 커패시터 (미도시) 의 커패시턴스 및 가변 인덕터 (256) 의 인덕턴스를 변화시킨다.In embodiments in which a variable capacitor (not shown) is used in place of capacitor 158 , if it is determined that the measured impedance does not match the desired impedance to be achieved at this point of the RF transmission line 168 , the host controller 224 may The imaginary part of the impedance at the output portion 142D is adjusted by changing the capacitance of the variable capacitor (not shown) and the inductance of the variable inductor 256 . The host controller 224 is configured to match the imaginary part of the measured impedance received from the sensor (not shown) with the imaginary part of the desired impedance at a point on the RF transmission line 168, the capacitance of a variable capacitor (not shown) and the variable inductor. Change the inductance of (256).

다양한 실시예들에서, 커패시터 (158) 대신 접속된 가변 커패시터 (미도시) 의 커패시턴스 및 가변 인덕터 (256) 의 인덕턴스가 센서 (미도시) 로부터 수신된 측정된 임피던스를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스와 매칭시키도록 가변 션트 커패시터 (102) 의 커패시턴스에 부가하여 조정된다.In various embodiments, the capacitance of the variable capacitor (not shown) connected instead of the capacitor 158 and the inductance of the variable inductor 256 represent the measured impedance received from the sensor (not shown) at a point on the RF transmission line 168 . It is adjusted in addition to the capacitance of the variable shunt capacitor 102 to match the desired impedance at .

가변 인덕터 (미도시) 가 인덕터 (106) 대신 사용되는 실시예들에서, 측정된 임피던스가 RF 송신선 (168) 의 이 지점에서 달성될 바람직한 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 인덕터 (미도시) 의 인덕턴스 및 가변 인덕터 (256) 의 인덕턴스를 변화시킴으로써 출력부 (142D) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 센서 (미도시) 로부터 수신된 측정된 임피던스의 허수부를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부와 매칭시키도록 가변 인덕터 (미도시) 의 인덕턴스 및 가변 인덕터 (256) 의 인덕턴스를 변화시킨다.In embodiments where a variable inductor (not shown) is used in place of inductor 106 , if it is determined that the measured impedance does not match the desired impedance to be achieved at this point in RF transmission line 168 , host controller 224 may The imaginary part of the impedance at the output portion 142D is adjusted by changing the inductance of the variable inductor (not shown) and the inductance of the variable inductor 256 . The host controller 224 is configured to match the inductance of a variable inductor (not shown) and the imaginary part of the measured impedance received from the sensor (not shown) with the imaginary part of the desired impedance at a point on the RF transmission line 168 . Change the inductance of (256).

다양한 실시예들에서, 인덕터 (106) 대신 접속된 가변 인덕터 (미도시) 의 인덕턴스 및 가변 인덕터 (256) 의 인덕턴스가 센서 (미도시) 로부터 수신된 측정된 임피던스를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스와 매칭시키도록 가변 션트 커패시터 (102) 의 커패시턴스에 부가하여 조정된다.In various embodiments, the inductance of a variable inductor (not shown) connected instead of inductor 106 and the inductance of variable inductor 256 are the measured impedance received from a sensor (not shown) at a point on the RF transmission line 168 . It is adjusted in addition to the capacitance of the variable shunt capacitor 102 to match the desired impedance at .

일부 실시예들에서, 가변 인덕터 (미도시) 가 인덕터 (106) 대신 사용되고 가변 커패시터 (미도시) 가 커패시터 (158) 대신 사용된다. 측정된 임피던스가 RF 송신선 (168) 의 이 지점에서 달성될 바람직한 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 인덕터 (미도시) 의 인덕턴스를 변화시키고, 가변 커패시터 (미도시) 의 커패시턴스를 변화시키고 가변 인덕터 (256) 의 인덕턴스를 변화시킴으로써 출력부 (142D) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 센서 (미도시) 로부터 수신된 측정된 임피던스의 허수부를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부와 매칭시키도록 가변 인덕터 (미도시) 의 인덕턴스, 가변 커패시터 (미도시) 의 커패시턴스 및 가변 인덕터 (256) 의 인덕턴스를 변화시킨다. In some embodiments, a variable inductor (not shown) is used in place of inductor 106 and a variable capacitor (not shown) is used in place of capacitor 158 . If it is determined that the measured impedance does not match the desired impedance to be achieved at this point in the RF transmission line 168, then the host controller 224 changes the inductance of the variable inductor (not shown), and that of the variable capacitor (not shown). The imaginary part of the impedance at the output 142D is adjusted by changing the capacitance and changing the inductance of the variable inductor 256 . The host controller 224 is configured to match the imaginary part of the measured impedance received from the sensor (not shown) with the imaginary part of the desired impedance at a point on the RF transmission line 168, the inductance of a variable inductor (not shown), the variable capacitor. The capacitance of (not shown) and the inductance of the variable inductor 256 are changed.

다양한 실시예들에서, 인덕터 (106) 대신 접속된 가변 인덕터 (미도시) 의 인덕턴스, 커패시터 (158) 대신 접속된 가변 커패시터 (미도시) 의 커패시턴스 및 가변 인덕터 (256) 의 인덕턴스가 센서 (미도시) 로부터 수신된 측정된 임피던스를 바람직한 임피던스와 매칭시키도록 가변 션트 커패시터 (102) 의 커패시턴스에 부가하여 조정된다.In various embodiments, the inductance of a variable inductor (not shown) connected in place of inductor 106, the capacitance of a variable capacitor (not shown) connected in place of capacitor 158, and the inductance of variable inductor 256 are measured by a sensor (not shown). ) is adjusted in addition to the capacitance of the variable shunt capacitor 102 to match the measured impedance received from the desired impedance.

가변 커패시터 (미도시) 가 커패시터 (158) 대신 사용되는 실시예들에서, 측정된 임피던스가 그 지점에서의 바람직한 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 커패시터 (미도시) 의 커패시턴스를 변화시키고 가변 션트 커패시터 (162) 의 커패시턴스를 변화시키고 가변 인덕터 (256) 의 인덕턴스를 변화시킴으로써 출력부 (142D) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 센서 (미도시) 로부터 수신된 측정된 임피던스의 허수부를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부와 매칭시키도록 가변 커패시터 (미도시) 의 커패시턴스, 가변 션트 커패시터 (162) 의 커패시턴스 및 가변 인덕터 (256) 의 인덕턴스를 변화시킨다. In embodiments in which a variable capacitor (not shown) is used in place of the capacitor 158, if it is determined that the measured impedance does not match the desired impedance at that point, the host controller 224 controls the capacitance of the variable capacitor (not shown). and adjusts the imaginary part of the impedance at the output 142D by changing the capacitance of the variable shunt capacitor 162 and changing the inductance of the variable inductor 256 . The host controller 224 is configured to match the imaginary part of the measured impedance received from the sensor (not shown) with the imaginary part of the desired impedance at a point on the RF transmission line 168, the capacitance, variable shunt of a variable capacitor (not shown). The capacitance of the capacitor 162 and the inductance of the variable inductor 256 are varied.

다양한 실시예들에서, 커패시터 (158) 대신 접속된 가변 커패시터 (미도시) 의 커패시턴스, 가변 션트 커패시터 (162) 의 커패시턴스, 및 가변 인덕터 (256) 의 인덕턴스가 센서 (미도시) 로부터 수신된 측정된 임피던스를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스와 매칭시키도록 가변 션트 커패시터 (102) 의 커패시턴스에 부가하여 조정된다.In various embodiments, the capacitance of the variable capacitor (not shown) connected instead of the capacitor 158 , the capacitance of the variable shunt capacitor 162 , and the inductance of the variable inductor 256 are measured as received from a sensor (not shown). The impedance is adjusted in addition to the capacitance of the variable shunt capacitor 102 to match the desired impedance at a point on the RF transmission line 168 .

가변 인덕터 (미도시) 가 인덕터 (106) 대신 사용되는 실시예들에서, 측정된 임피던스가 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 인덕터 (미도시) 의 인덕턴스를 변화시키고 가변 션트 커패시터 (162) 의 커패시턴스를 변화시키고 가변 인덕터 (256) 의 인덕턴스를 변화시킴으로써 출력부 (142D) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 센서 (미도시) 로부터 수신된 측정된 임피던스의 허수부를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부와 매칭시키도록 가변 인덕터 (미도시) 의 인덕턴스, 가변 션트 커패시터 (162) 의 커패시턴스 및 가변 인덕터 (256) 의 인덕턴스를 변화시킨다. In embodiments in which a variable inductor (not shown) is used in place of inductor 106 , if it is determined that the measured impedance does not match the desired impedance at a point on RF transmission line 168 , host controller 224 controls the variable inductor The imaginary part of the impedance at the output 142D is adjusted by changing the inductance of (not shown), changing the capacitance of the variable shunt capacitor 162 and changing the inductance of the variable inductor 256 . Host controller 224 is configured to match the inductance, variable shunt of a variable inductor (not shown) to match the imaginary part of the measured impedance received from the sensor (not shown) with the imaginary part of the desired impedance at a point on the RF transmission line 168 . The capacitance of the capacitor 162 and the inductance of the variable inductor 256 are varied.

다양한 실시예들에서, 인덕터 (106) 대신 접속된 가변 인덕터 (미도시) 의 인덕턴스, 가변 션트 커패시터 (162) 의 커패시턴스, 및 가변 인덕터 (256) 의 인덕턴스가 센서 (미도시) 로부터 수신된 측정된 임피던스를 바람직한 임피던스와 매칭시키도록 가변 션트 커패시터 (102) 의 커패시턴스에 부가하여 조정된다.In various embodiments, the inductance of a variable inductor (not shown) connected instead of inductor 106, the capacitance of the variable shunt capacitor 162, and the inductance of the variable inductor 256 are measured as received from a sensor (not shown). The impedance is adjusted in addition to the capacitance of the variable shunt capacitor 102 to match the desired impedance.

일부 실시예들에서, 가변 인덕터 (미도시) 가 인덕터 (106) 대신 사용되고 가변 커패시터 (미도시) 가 커패시터 (158) 대신 사용된다. 측정된 임피던스가 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스와 매칭하지 않는다고 결정되면, 호스트 제어기 (224) 는 가변 인덕터 (미도시) 의 인덕턴스를 변화시키고, 가변 커패시터 (미도시) 의 커패시턴스를 변화시키고, 가변 션트 커패시터 (162) 의 커패시턴스를 변화시키고 가변 인덕터 (256) 의 인덕턴스를 변화시킴으로써 출력부 (142D) 에서의 임피던스의 허수부를 조정한다. 호스트 제어기 (224) 는 센서 (미도시) 로부터 수신된 측정된 임피던스의 허수부를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스의 허수부와 매칭시키도록 가변 인덕터 (미도시) 의 인덕턴스, 가변 커패시터 (미도시) 의 커패시턴스, 가변 션트 커패시터 (162) 의 커패시턴스 및 가변 인덕터 (256) 의 인덕턴스를 변화시킨다. In some embodiments, a variable inductor (not shown) is used in place of inductor 106 and a variable capacitor (not shown) is used in place of capacitor 158 . If it is determined that the measured impedance does not match the desired impedance at a point on the RF transmission line 168 , the host controller 224 changes the inductance of the variable inductor (not shown) and changes the capacitance of the variable capacitor (not shown). and adjusts the imaginary part of the impedance at the output 142D by changing the capacitance of the variable shunt capacitor 162 and changing the inductance of the variable inductor 256 . The host controller 224 is configured to match the imaginary part of the measured impedance received from the sensor (not shown) with the imaginary part of the desired impedance at a point on the RF transmission line 168, the inductance of a variable inductor (not shown), the variable capacitor. The capacitance of (not shown), the capacitance of the variable shunt capacitor 162 and the inductance of the variable inductor 256 are changed.

다양한 실시예들에서, 인덕터 (106) 대신 접속된 가변 인덕터 (미도시) 의 인덕턴스, 커패시터 (158) 대신 접속된 가변 커패시터 (미도시) 의 커패시턴스, 가변 션트 커패시터 (162) 의 커패시턴스, 및 가변 인덕터 (256) 의 인덕턴스가 센서 (미도시) 로부터 수신된 측정된 임피던스를 RF 송신선 (168) 상의 지점에서의 바람직한 임피던스와 매칭시키도록 가변 션트 커패시터 (102) 의 커패시턴스에 부가하여 조정된다.In various embodiments, the inductance of a variable inductor (not shown) connected instead of inductor 106 , the capacitance of a variable capacitor (not shown) connected instead of capacitor 158 , the capacitance of variable shunt capacitor 162 , and the variable inductor The inductance of 256 is adjusted in addition to the capacitance of variable shunt capacitor 102 to match the measured impedance received from the sensor (not shown) with the desired impedance at a point on the RF transmission line 168 .

일부 실시예들에서, 가변 션트 커패시터 (102), 커패시터 (158), 및 인덕터 (106) 는 가변 인덕터 (256) 및 가변 션트 커패시터 (162) 가 임피던스 매칭 회로 (254) 에 추가될 때, 임피던스 매칭 회로 (254) 내에 포함된다는 것이 주목되어야 한다. 예를 들어, 가변 인덕터 (256) 및 가변 션트 커패시터 (162) 가 임피던스 매칭 회로 (254) 내에 포함되기 이전에, 가변 션트 커패시터 (102), 커패시터 (158), 및 인덕터 (106) 를 사용함으로써, 임피던스 매칭 회로 (254) 는 일 단부에서 임피던스 매칭 회로 (254) 에 접속된 부하의 임피던스를 타 단부에서 임피던스 매칭 회로 (254) 에 접속된 소스의 임피던스와 매칭시킨다. In some embodiments, variable shunt capacitor 102 , capacitor 158 , and inductor 106 are impedance matched when variable inductor 256 and variable shunt capacitor 162 are added to impedance matching circuit 254 . It should be noted that included within circuit 254 . For example, by using variable shunt capacitor 102 , capacitor 158 , and inductor 106 before variable inductor 256 and variable shunt capacitor 162 are included in impedance matching circuit 254 , The impedance matching circuit 254 matches the impedance of the load connected to the impedance matching circuit 254 at one end with the impedance of the source connected to the impedance matching circuit 254 at the other end.

다양한 실시예들에서, 가변 커패시터 (102) 의 커패시턴스는 RF 송신선 (168) 상의 지점에서의 임피던스의 실수부를 변화시키도록 제어되며, 이 실수부는 RF 송신선 (168) 상의 지점을 통해서 흐르는 RF 신호의 주파수와는 독립적이라는 것이 또한 주목되어야 한다. 몇몇 실시예들에서, 가변 커패시터 (104) 의 커패시턴스, 또는 가변 인덕터 (137) (도 2) 의 인덕턴스 또는 가변 션트 커패시터 (162) (도 3) 의 커패시턴스 또는 가변 인덕터 (256) 의 인덕턴스 또는 이들의 조합이 이 지점에서의 임피던스의 허수부를 변화시키도록 변화되며, 이 허수부는 이 지점에서의 고조파 주파수의 함수이다.In various embodiments, the capacitance of the variable capacitor 102 is controlled to change the real part of the impedance at a point on the RF transmission line 168 , the real part being an RF signal flowing through the point on the RF transmission line 168 . It should also be noted that it is independent of the frequency of In some embodiments, the capacitance of the variable capacitor 104 , or the inductance of the variable inductor 137 ( FIG. 2 ) or the capacitance of the variable shunt capacitor 162 ( FIG. 3 ) or the inductance of the variable inductor 256 or their The combination is varied to change the imaginary part of the impedance at this point, the imaginary part being a function of the harmonic frequency at this point.

일부 실시예들에서, 가변 커패시터 (104) 의 커패시턴스, 및/또는 가변 인덕터 (137) 의 인덕턴스, 및/또는 가변 인덕터 (256) 의 인덕턴스, 및/또는 가변 커패시터 (162) 의 커패시턴스를 변화시키는 것 대신 또는 이와 더불어서, 호스트 제어기 (224) 는 고조파 주파수, 예를 들어, 3차 고조파 주파수, 4차 고조파 주파수, 5차 고조파 주파수, 및 m차 고조파 주파수를 변화, 예를 들어 튜닝 등을 하는 신호를 로컬 제어기 (212) 에 전송하며, 여기서 m은 RF 전력 공급부 (216) 의 동작과 연관된 1보다 큰 정수 등이다. 고조파 주파수는 출력부 (142D) 에서의 복소 전압 및 전류에 기초하여 계산된 에칭 레이트와 미리 결정된 에칭 레이트 간의 매칭을 달성하도록 변화된다. 예를 들어, 호스트 제어기 (224) 는 RF 전력 공급부 (216) 의 동작 주파수, 예를 들어, 기본 동작 주파수 등을 튜닝하는 신호를 로컬 제어기 (212) 에 전송한다. 호스트 제어기 (224) 로부터 수신된 신호에 기초하여, 로컬 제어기 (212) 는 특정 주파수 값에서 RF 전력 공급부 (216) 를 동작시키기 위해서 이 주파수 값을 RF 전력 공급부 (216) 에 전송한다. 이 주파수 값을 수신하면, RF 전력 공급부 (216) 는 이 주파수 값을 갖는 RF 신호를 생성한다. 이 주파수 값을 갖는 RF 신호가 공급되면, 복수 전압 및 전류가 RF 생성기 (132) 의 출력부 (172) 에서 측정되고 이 측정된 복소 전압 및 전류에 기초하여 컴퓨터-생성된 모델 (140D) 의 출력부 (142D) 에서 복소 전압 및 전류가 결정된다. 에칭 레이트가 출력부 (142D) 에서 결정된 복소 전압 및 전류에 기초하여 계산되고 미리 결정된 에칭 레이트와 비교된다. 계산된 에칭 레이트가 미리 결정된 에칭 레이트와 일치하지 않는다고 결정되면, 호스트 제어기 (224) 는 RF 전력 공급부 (216) 의 동작 주파수를 튜닝하는 다른 신호를 로컬 제어기 (212) 에 전송한다. In some embodiments, varying the capacitance of the variable capacitor 104 , and/or the inductance of the variable inductor 137 , and/or the inductance of the variable inductor 256 , and/or the capacitance of the variable capacitor 162 . Instead, or in addition to, the host controller 224 may change, eg, tune, etc. harmonic frequencies, eg, 3rd harmonic frequency, 4th harmonic frequency, 5th harmonic frequency, 5th harmonic frequency, and mth harmonic frequency. to the local controller 212 , where m is an integer greater than one associated with the operation of the RF power supply 216 , or the like. The harmonic frequency is changed to achieve matching between the etch rate calculated based on the complex voltage and current at the output 142D and the predetermined etch rate. For example, the host controller 224 sends a signal to the local controller 212 that tunes the operating frequency of the RF power supply 216 , eg, a fundamental operating frequency, and the like. Based on the signal received from the host controller 224 , the local controller 212 sends this frequency value to the RF power supply 216 to operate the RF power supply 216 at a specific frequency value. Upon receiving this frequency value, the RF power supply 216 generates an RF signal having this frequency value. When an RF signal having this frequency value is supplied, a plurality of voltages and currents are measured at the output 172 of the RF generator 132 and output of the computer-generated model 140D based on the measured complex voltages and currents A complex voltage and current is determined in portion 142D. An etching rate is calculated based on the complex voltage and current determined at the output 142D and compared with a predetermined etching rate. If it is determined that the calculated etch rate does not match the predetermined etch rate, the host controller 224 sends another signal to the local controller 212 that tunes the operating frequency of the RF power supply 216 .

도 5는 컴퓨터-생성된 모델, 예를 들어, 컴퓨터-생성된 모델 (140A) (도 1), 컴퓨터-생성된 모델 (140B) (도 2), 컴퓨터-생성된 모델 (140C) (도 3), 컴퓨터-생성된 모델 (140D) (도 4), 등의 출력부에서 측정된 복소 전압 및 전류에 기초하여 임피던스 매칭 네트워크의 커패시턴스 값 및 인덕턴스 값을 결정하는 것을 예시하는데 사용되는 표 (table) 의 실시예의 도면이다. 도 5의 표는 호스트 제어기 (224) (도 1) 의 메모리 디바이스 내에 저장된다. 복소 전압 및 전류는 컴퓨터 생성된 모델의 출력부에서 결정된다. 5 is a computer-generated model, eg, computer-generated model 140A ( FIG. 1 ), computer-generated model 140B ( FIG. 2 ), computer-generated model 140C ( FIG. 3 ). . is a diagram of an embodiment of The table of FIG. 5 is stored in the memory device of host controller 224 ( FIG. 1 ). Complex voltages and currents are determined at the output of the computer-generated model.

또한, 컴퓨터-생성된 모델의 출력부에서의 에칭 레이트는 컴퓨터-생성된 모델의 출력부에서의 복소 전압 및 전류에 기초하여 호스트 제어기 (224) (도 1) 에 의해서 식별, 예를 들어, 판독, 검색 등 된다. 예를 들어, 호스트 제어기 (224) 는 에칭 레이트 ERC1를 복소 전압 및 전류 V&I1에 대응하는 것으로서 식별하고, 에칭 레이트 ERC2를 복소 전압 및 전류 V&I2에 기초하여 식별하고, 이러한 바가 호스트 제어기 (224) 가 에칭 레이트 ERCn를 복소 전압 및 전류 V&In에 기초하여 식별할 때까지 계속되며, 여기서 n은 2보다 큰 정수이다. 복소 전압 및 전류 V&I1, V&I2 내지 V&In은 컴퓨터-생성된 모델의 출력부에서의 복소 전압 및 전류들이다.Further, the etch rate at the output of the computer-generated model is identified, eg, read, by the host controller 224 (FIG. 1) based on the complex voltage and current at the output of the computer-generated model. , search, etc. For example, the host controller 224 identifies the etch rate ERC1 as corresponding to the complex voltage and current V&I1, and identifies the etch rate ERC2 based on the complex voltage and current V&I2, wherein the host controller 224 identifies the etch rate ERC2 based on the complex voltage and current V&I2. This continues until the rate ERCn is identified based on the complex voltage and current V&In, where n is an integer greater than two. Complex voltages and currents V&I1, V&I2 through V&In are complex voltages and currents at the output of the computer-generated model.

일부 실시예들에서, 컴퓨터-생성된 모델의 출력부에서 계산된 에칭 레이트는 RF 송신선 (168) 상의 지점에서 달성될 미리 결정된 에칭 레이트와 연관된다. 예를 들어, 호스트 제어기 (224) 는 계산된 에칭 레이트 ERC1과 미리 결정된 에칭 레이트 ERP1 간의 연관성을 포함하며, 계산된 에칭 레이트 ERC2와 미리 결정된 에칭 레이트 ERP2 간의 연관성을 포함하며 이러한 바가 계산된 에칭 레이트 ERCn과 미리 결정된 에칭 레이트 ERPn 간의 연관성을 포함할 때까지 계속된다. 일부 실시예들에서, 에칭 레이트들 ERP1 내지 ERPn 모두는 동일한 값을 갖는다. 다양한 실시예들에서, ERP1은 나머지 미리 결정된 에칭 레이트들 ERP2 내지 ERPn 중 하나 이상과 다른 값을 갖는다.In some embodiments, the etch rate calculated at the output of the computer-generated model is associated with a predetermined etch rate to be achieved at a point on the RF transmission line 168 . For example, the host controller 224 includes an association between the calculated etch rate ERC1 and the predetermined etch rate ERP1, and includes an association between the calculated etch rate ERC2 and the predetermined etch rate ERP2, wherein the bar includes the association between the calculated etch rate ERCn and the calculated etch rate ERCn. and the pre-determined etch rate ERPn. In some embodiments, both etch rates ERP1 through ERPn have the same value. In various embodiments, ERP1 has a different value than one or more of the remaining predetermined etch rates ERP2 - ERPn.

다양한 실시예들에서, 미리 결정된 에칭 레이트 ERP1은 계산된 에칭 레이트 ERC1의 미리 결정된 범위 내에 있으며, 미리 결정된 에칭 레이트 ERP2는 계산된 에칭 레이트 ERC2의 미리 결정된 범위 내에 있으며, 이러한 바가 미리 결정된 에칭 레이트 ERPn은 계산된 에칭 레이트 ERCn의 미리 결정된 범위 내에 있을 때까지 계속된다. In various embodiments, the predetermined etch rate ERP1 is within a predetermined range of the calculated etch rate ERC1, and the predetermined etch rate ERP2 is within a predetermined range of the calculated etch rate ERC2, such that the predetermined etch rate ERPn is This continues until it is within a predetermined range of the calculated etch rate ERCn.

몇몇 실시예들에서, 호스트 제어기 (224) 는 계산된 에칭 레이트에 기초하여 미리 결정된 에칭 레이트를 결정한다. 예를 들어, 호스트 제어기 (224) 는 에칭 레이트 ERP1가 에칭 레이트 ERC1과 연관되고, 에칭 레이트 ERP2가 에칭 레이트 ERC2와 연관된다고 결정하고, 이러한 바가 계속되어서, 호스트 제어기 (224) 는 에칭 레이트 ERPn이 에칭 레이트 ERCn과 연관된다고 결정한다. In some embodiments, the host controller 224 determines the predetermined etch rate based on the calculated etch rate. For example, the host controller 224 determines that the etch rate ERP1 is associated with the etch rate ERC1, and the etch rate ERP2 is associated with the etch rate ERC2, and so on, so that the host controller 224 determines that the etch rate ERPn is associated with the etch rate ERC2. Determines to be associated with the rate ERCn.

지점에서 달성될 각 미리 결정된 임피던스 ZP는 미리 결정된 에칭 레이트에 대응한다. 예를 들어, 미리 결정된 임피던스 ZP1는 미리 결정된 에칭 레이트 ERP1로부터 호스트 제어기 (224) 에 의해서 계산된다. 다른 실례로서, 미리 결정된 임피던스 ZP2는 미리 결정된 에칭 레이트 ERP2로부터 호스트 제어기 (224) 에 의해서 계산되고, 이러한 바가 계속되어서, 미리 결정된 임피던스 ZPn는 미리 결정된 에칭 레이트 ERPn로부터 호스트 제어기 (224) 에 의해서 계산된다. 또 다른 실례로서, 호스트 제어기 (224) 는 상이한 시간들에서의 전압들, 전류들 및 미리 결정된 에칭 레이트들 간의 관계들에 기초하여 상이한 시간들에서의 전압들 및 전류들을 얻을 수 있다. 예를 들어, 호스트 제어기 (224) 는 전압들 VP1 및 VP2, 및 전류들 IP1 및 IP2를 계산하기 위해서 등식들 C11VP1 + C12IP1 = ERP1 및 C11VP2 + C12IP2 = ERP1 에서 VP1, VP2, IP1, 및 IP2를 얻는다. 호스트 제어기 (224) 는 전압 VP1 및 전류 IP1 의 비 및 전압 VP2 및 전류 IP2의 비에 기초하여 복소 미리 결정된 임피던스를 결정한다.Each predetermined impedance ZP to be achieved at a point corresponds to a predetermined etch rate. For example, the predetermined impedance ZP1 is calculated by the host controller 224 from the predetermined etch rate ERP1. As another example, the predetermined impedance ZP2 is calculated by the host controller 224 from the predetermined etch rate ERP2, and so on, the predetermined impedance ZPn is calculated by the host controller 224 from the predetermined etch rate ERPn . As another example, the host controller 224 can obtain voltages and currents at different times based on relationships between the voltages, currents, and predetermined etch rates at different times. For example, host controller 224 calculates voltages VP1 and VP2, and currents IP1 and IP2, using equations C 11 VP1 + C 12 IP1 = ERP1 and C 11 VP2 + C 12 IP2 = ERP1 to VP1, Obtain VP2, IP1, and IP2. The host controller 224 determines the complex predetermined impedance based on the ratio of the voltage VP1 and the current IP1 and the ratio of the voltage VP2 and the current IP2.

일부 실시예들에서, 호스트 제어기 (224) 는 미리 결정된 에칭 레이트 ERP에 기초하여 미리 결정된 임피던스 ZP를 식별한다. 예를 들어, 호스트 제어기 (224) 는 미리 결정된 에칭 레이트 ERP1과 미리 결정된 임피던스 ZP1 간의 대응관계에 기초하여 미리 결정된 임피던스 ZP1을 결정하고, 미리 결정된 에칭 레이트 ERP2와 미리 결정된 임피던스 ZP2 간의 대응관계에 기초하여 미리 결정된 임피던스 ZP2를 결정하고, 이러한 바가 계속되어, 호스트 제어기 (224) 는 미리 결정된 에칭 레이트 ERPn과 미리 결정된 임피던스 ZPn 간의 대응관계에 기초하여 미리 결정된 임피던스 ZPn을 결정한다. In some embodiments, the host controller 224 identifies the predetermined impedance ZP based on the predetermined etch rate ERP. For example, the host controller 224 determines the predetermined impedance ZP1 based on a correspondence between the predetermined etch rate ERP1 and the predetermined impedance ZP1, and based on the correspondence between the predetermined etch rate ERP2 and the predetermined impedance ZP2, Determine the predetermined impedance ZP2, and so on, the host controller 224 determines the predetermined impedance ZPn based on the correspondence between the predetermined etch rate ERPn and the predetermined impedance ZPn.

각 미리 결정된 임피던스는 실수부 및 허수부를 갖는다. 예를 들어, 호스트 제어기 (224) 는 미리 결정된 임피던스 ZP1을 실수부 ZPR1 및 허수부 ZPI1으로 분할하고, 미리 결정된 임피던스 ZP2를 실수부 ZPR2 및 허수부 ZPI2으로 분할하고, 이러한 바를 계속하여서, 호스트 제어기 (224) 는 미리 결정된 임피던스 ZPn을 실수부 ZPRn 및 허수부 ZPIn으로 분할한다.Each predetermined impedance has a real part and an imaginary part. For example, the host controller 224 divides the predetermined impedance ZP1 into a real part ZPR1 and an imaginary part ZPI1, divides the predetermined impedance ZP2 into a real part ZPR2 and an imaginary part ZPI2, and so on, the host controller ( 224) divides the predetermined impedance ZPn into a real part ZPRn and an imaginary part ZPIn.

일부 실시예들에서, 호스트 제어기 (224) 는 커패시터 (102) (도 1 내지 도 4) 의 커패시턴스 값 또는 가변 커패시터 (102) 대신 사용된 가변 인덕터의 인덕턴스 값과 미리 결정된 임피던스의 실수부 간을 서로 연관시키는데, 예를 들어 이들을 서로 링크시키거나 이들 간의 연결을 확립하거나 이들 간의 대응관계를 확립한다. 예를 들어, 실수부 ZPR1은 커패시턴스 값 C1021과 연관되고, 실수부 ZPR2는 커패시턴스 값 C1022와 연관되고, 이러한 바가 계속되어서, 실수부 ZPRn은 커패시턴스 값 C102n과 연관된다. 호스트 제어기 (224) 는 또한 미리 결정된 임피던스의 허수부를, 커패시터 (104) (도 1 및 도 2) 의 커패시턴스 값, 커패시터 (162) (도 3 및 도 4) 의 커패시턴스 값, 인덕터 (137) (도 2) 의 인덕턴스 값, 가변 인덕터 (256) (도 4) 의 인덕턴스 값, 또는 인덕터 (106) (도 3, 도 4) 대신 사용된 가변 인덕터 (미도시) 의 인덕턴스 값 또는 커패시터 (158) (도 3, 도 4) 대신 사용된 가변 커패시터 (미도시) 의 커패시턴스 값 또는 이들의 조합과 연관시킨다. 예를 들어, 허수부 ZPI1는 커패시터 (104) 의 커패시턴스 값 C1041과, 또는 인덕터 (137) 의 인덕턴스 값 L1371과, 또는 커패시터 C162의 커패시턴스 값 C1621과, 또는 인덕터 L256의 인덕턴스 값 L2561과, 또는 인덕터 (106) 대신 사용된 가변 인덕터 (미도시) 의 인덕턴스 값과, 또는 커패시터 (158) 대신 사용된 가변 커패시터의 커패시턴스 값과, 또는 이들의 조합과 연관된다. 다른 실례로서, 허수부 ZPI2는 커패시터 (104) 의 커패시턴스 값 C1042와, 또는 인덕터 (137) 의 인덕턴스 값 L1372와, 또는 커패시터 C162 의 커패시턴스 값 C1622와, 또는 인덕터 L256의 인덕턴스 값 L2562와, 또는 인덕터 (106) 대신 사용된 가변 인덕터 (미도시) 의 인덕턴스 값과, 또는 커패시터 (158) 대신 사용된 가변 커패시터의 커패시턴스 값과, 또는 이들의 조합과 연관된다. 다른 실례로서, 허수부 ZPIn는 커패시터 (104) 의 커패시턴스 값 C104n과, 또는 인덕터 (137) 의 인덕턴스 값 L137n과, 또는 커패시터 C162의 커패시턴스 값 C162n과, 또는 인덕터 L256의 인덕턴스 값 L256n과, 또는 인덕터 (106) 대신 사용된 가변 인덕터 (미도시) 의 인덕턴스 값과, 또는 커패시터 (158) 대신 사용된 가변 커패시터의 커패시턴스 값과, 또는 이들의 조합과 연관된다. In some embodiments, the host controller 224 determines between each other between the capacitance value of the capacitor 102 ( FIGS. 1-4 ) or the inductance value of a variable inductor used in place of the variable capacitor 102 and the real part of the predetermined impedance. to associate, for example by linking them to each other, establishing a connection between them, or establishing a correspondence between them. For example, the real part ZPR1 is associated with the capacitance value C1021, the real part ZPR2 is associated with the capacitance value C1022, and so on, the real part ZPRn is associated with the capacitance value C102n. The host controller 224 also calculates the imaginary part of the predetermined impedance, the capacitance value of the capacitor 104 ( FIGS. 1 and 2 ), the capacitance value of the capacitor 162 ( FIGS. 3 and 4 ), and the inductor 137 ( FIGS. 3 and 4 ). 2) the inductance value of, the inductance value of the variable inductor 256 (Fig. 4), or the inductance value of the variable inductor (not shown) used in place of the inductor 106 (Fig. 3, Fig. 4) or the capacitor 158 (Fig. 3, Fig. 4) with the capacitance value of a variable capacitor (not shown) used instead or a combination thereof. For example, the imaginary part ZPI1 is the capacitance value C1041 of the capacitor 104, or the inductance value L1371 of the inductor 137, or the capacitance value C1621 of the capacitor C162, or the inductance value L2561 of the inductor L256, or 106) with the inductance value of a variable inductor (not shown) used instead, or with the capacitance value of a variable capacitor used instead of the capacitor 158, or a combination thereof. As another example, the imaginary part ZPI2 has a capacitance value C1042 of the capacitor 104, or an inductance value L1372 of the inductor 137, or a capacitance value C1622 of the capacitor C162, or an inductance value L2562 of the inductor L256, or 106) with the inductance value of a variable inductor (not shown) used instead, or with the capacitance value of a variable capacitor used instead of the capacitor 158, or a combination thereof. As another example, the imaginary part ZPIn is the capacitance value C104n of the capacitor 104, or the inductance value L137n of the inductor 137, or the capacitance value C162n of the capacitor C162, or the inductance value L256n of the inductor L256, or the inductor ( 106) with the inductance value of a variable inductor (not shown) used instead, or with the capacitance value of a variable capacitor used instead of the capacitor 158, or a combination thereof.

호스트 제어기 (224) 는 실수부 ZPR에 기초하여 커패시터 (102) 의 커패시턴스 값을 식별한다. 예를 들어, 호스트 제어기 (224) 는 실수부 ZPR1과 커패시턴스 값 C1021 간의 대응관계가 있다고 결정하고 실수부 ZPR1에 기초하여 커패시턴스 값 C1021을 식별한다. 다른 실례로서, 호스트 제어기 (224) 는 실수부 ZPR2와 커패시턴스 값 C1022 간의 대응관계가 있다고 결정하고 실수부 ZPR2에 기초하여 커패시턴스 값 C1022을 식별하며, 이러한 바가 계속되며, 호스트 제어기 (224) 는 실수부 ZPRn과 커패시턴스 값 C102n 간의 대응관계가 있다고 결정하고 실수부 ZPRn에 기초하여 커패시턴스 값 C102n을 식별한다. Host controller 224 identifies the capacitance value of capacitor 102 based on the real part ZPR. For example, the host controller 224 determines that there is a correspondence between the real part ZPR1 and the capacitance value C1021 and identifies the capacitance value C1021 based on the real part ZPR1. As another example, the host controller 224 determines that there is a correspondence between the real part ZPR2 and the capacitance value C1022 and identifies the capacitance value C1022 based on the real part ZPR2, and so on, and the host controller 224 determines that there is a correspondence between the real part ZPR2 and the real part ZPR2. It is determined that there is a correspondence between ZPRn and the capacitance value C102n, and the capacitance value C102n is identified based on the real part ZPRn.

유사하게, 호스트 제어기 (224) 는 허수부 ZPI에 기초하여, 커패시터 (104) 의 커패시턴스 값 또는 인덕터 (137) 의 인덕턴스 값, 또는 커패시터 (162) 의 커패시턴스 값 또는 인덕터 (256) 의 인덕턴스 값 또는 인덕터 (106) 대신 사용된 가변 인덕터 (미도시) 의 인덕턴스 값 또는 커패시터 (158) 대신 사용된 가변 커패시터의 커패시턴스 값 또는 이들의 조합을 결정한다. 예를 들어, 호스트 제어기 (224) 는 허수부 ZPI1과 커패시턴스 값 C1041, 또는 인덕턴스 값 L1371, 또는 커패시턴스 값 1621, 또는 인덕턴스 값 2561, 또는 인덕터 (106) 대신 사용된 가변 인덕터 (미도시) 의 인덕턴스 값 또는 커패시터 (158) 대신 사용된 가변 커패시터의 커패시턴스 값 또는 이들의 조합 간에 대응관계가 있음을 결정하고, 허수부 ZPI1에 기초하여, 커패시턴스 값 C1041, 또는 인덕턴스 값 L1371, 또는 커패시턴스 값 1621, 또는 인덕턴스 값 2561, 또는 인덕터 (106) 대신 사용된 가변 인덕터 (미도시) 의 인덕턴스 값 또는 커패시터 (158) 대신 사용된 가변 커패시터의 커패시턴스 값 또는 이들의 조합을 결정한다. 다른 실례로서, 호스트 제어기 (224) 는 허수부 ZPIn과 커패시턴스 값 C104n, 또는 인덕턴스 값 L137n, 또는 커패시턴스 값 162n, 또는 인덕턴스 값 256n, 또는 인덕터 (106) 대신 사용된 가변 인덕터 (미도시) 의 인덕턴스 값 또는 커패시터 (158) 대신 사용된 가변 커패시터의 커패시턴스 값 또는 이들의 조합 간에 대응관계가 있음을 결정하고, 허수부 ZPIn에 기초하여, 커패시턴스 값 C104n, 또는 인덕턴스 값 L137n, 또는 커패시턴스 값 162n, 또는 인덕턴스 값 256n, 또는 인덕터 (106) 대신 사용된 가변 인덕터 (미도시) 의 인덕턴스 값 또는 커패시터 (158) 대신 사용된 가변 커패시터의 커패시턴스 값 또는 이들의 조합을 결정한다. Similarly, host controller 224 is configured to: based on the imaginary part ZPI, the capacitance value of capacitor 104 or the inductance value of inductor 137 , or the capacitance value of capacitor 162 or the inductance value of inductor 256 or inductor Determines the inductance value of a variable inductor (not shown) used instead of 106 or a capacitance value of a variable capacitor used instead of capacitor 158 , or a combination thereof. For example, the host controller 224 may include an imaginary part ZPI1 and a capacitance value C1041, or an inductance value L1371, or a capacitance value 1621, or an inductance value 2561, or an inductance value of a variable inductor (not shown) used in place of inductor 106. or determine that there is a correspondence between the capacitance value of the variable capacitor used instead of the capacitor 158 or a combination thereof, and based on the imaginary part ZPI1, the capacitance value C1041, or the inductance value L1371, or the capacitance value 1621, or the inductance value 2561 , or an inductance value of a variable inductor (not shown) used in place of inductor 106 , or a capacitance value of a variable capacitor used in place of capacitor 158 , or a combination thereof. As another example, the host controller 224 may include an imaginary part ZPIn and a capacitance value C104n, or an inductance value L137n, or a capacitance value 162n, or an inductance value 256n, or an inductance value of a variable inductor (not shown) used in place of inductor 106 . or determine that there is a correspondence between the capacitance value of the variable capacitor used in place of the capacitor 158 or a combination thereof, and based on the imaginary part ZPIn, the capacitance value C104n, or the inductance value L137n, or the capacitance value 162n, or the inductance value 256n, or an inductance value of a variable inductor (not shown) used in place of inductor 106 or a capacitance value of a variable capacitor used in place of capacitor 158, or a combination thereof.

일부 실시예들에서, 증착 레이트들 또는 감마 값들은 에칭 레이트들 대신 호스트 제어기 (224) 에 의해서 사용된다. 예를 들어, 감마 값은 플라즈마 챔버 (122) 내의 플라즈마에 의해서 RF 생성기 (132) 로 향하여 반사되는 전력과 RF 생성기 (132) 에 의해서 생성된 RF 신호에 의해서 공급된 전력 간의 비에 기초하여 호스트 제어기 (224) 에 의해서 계산 및/또는 식별된다. 컴퓨터-생성된 모델의 출력부에서의 복소 전압 및 전류는 출력부에서 반사된 전력 및 공급된 전력을 계산 및/또는 식별하기 위해서 호스트 제어기 (224) 에 의해서 사용된다. 공급된 전력 및 반사된 전력에 기초하여, 컴퓨터-생성된 모델의 출력부에서의 감마 값이 호스트 제어기 (224) 에 의해서 계산 및/또는 식별된다. 계산된 감마 값은, 계산된 감마 값이 미리 결정된 감마 값과 매칭하는지의 여부를 결정하기 위해서, 호스트 제어기 (224) 의 메모리 디바이스 내에 저장된 미리 결정된 감마 값과 호스트 제어기 (224) 에 의해서 비교된다. 실례로서, 미리 결정된 감마 값은 제로 또는 제로의 범위 내에 있다. 미리 결정된 감마 값은 RF 송신선 (168) 상의 지점에서 달성된 감마 값이다. 계산된 감마 값이 미리 결정된 감마 값과 매칭하지 않는다고 판정되면, 미리 결정된 감마 값에 기초하여 호스트 제어기 (224) 에 의해서 임피던스가 계산 및/또는 식별된다. 가변 션트 커패시터 (102) 의 커패시턴스가 임피던스의 실수부를 달성하도록 변화된다. 또한, 가변 션트 커패시터 (102) 의 커패시턴스를 변화시키는 것과 더불어서 또는 대신, 가변 커패시터 (104) 의 커패시턴스 및/또는 가변 인덕터 (104) 의 인덕턴스 및/또는 가변 커패시터 (162) 의 커패시턴스 및/또는 가변 인덕터 (256) 의 인덕턴스 및/또는 인덕터 (106) 대신 사용된 가변 인덕터 (미도시) 의 인덕턴스 값 및/또는 커패시터 (158) 대신 사용된 가변 커패시터의 커패시턴스 값이 임피던스의 허수부를 달성하도록 변화된다.In some embodiments, deposition rates or gamma values are used by host controller 224 instead of etch rates. For example, the gamma value may be determined by a host controller based on a ratio between the power reflected by the plasma within the plasma chamber 122 towards the RF generator 132 and the power supplied by the RF signal generated by the RF generator 132 . Calculated and/or identified by (224). The complex voltage and current at the output of the computer-generated model are used by the host controller 224 to calculate and/or identify the reflected and supplied power at the output. Based on the supplied and reflected power, a gamma value at the output of the computer-generated model is calculated and/or identified by the host controller 224 . The calculated gamma value is compared by the host controller 224 with a predetermined gamma value stored in a memory device of the host controller 224 to determine whether the calculated gamma value matches the predetermined gamma value. As an example, the predetermined gamma value is zero or within a range of zero. The predetermined gamma value is the gamma value achieved at a point on the RF transmission line 168 . If it is determined that the calculated gamma value does not match the predetermined gamma value, an impedance is calculated and/or identified by the host controller 224 based on the predetermined gamma value. The capacitance of the variable shunt capacitor 102 is varied to achieve the real part of the impedance. Further, in addition to or instead of varying the capacitance of the variable shunt capacitor 102 , the capacitance of the variable capacitor 104 and/or the inductance of the variable inductor 104 and/or the capacitance of the variable capacitor 162 and/or the variable inductor The inductance of 256 and/or the inductance value of a variable inductor (not shown) used in place of inductor 106 and/or the capacitance value of a variable capacitor used in place of capacitor 158 are varied to achieve the imaginary part of the impedance.

도 6은 전기적 회로 구성요소 (284) 를 제어하기 위한 제어 시스템 (280) 의 실시예의 블록도이다. 제어 시스템 (280) 은 구동기 (138), 모터 (282) 및 회로 구성요소 (284) 를 포함한다. 회로 구성요소 (284) 의 실례는 인덕터 및 커패시터를 포함한다. 커패시터의 실례는 가변 커패시터를 포함한다. 가변 커패시터의 실례는 진공 가변 커패시터 (VVC) 및 공기 가변 커패시터를 포함한다. 일부 실시예들에서, 모터 (282) 는 회로 구성요소 (284) 내에 통합된다. 구동기 (138) 의 실례들은 전류를 생성하는 회로를 포함한다. 임계 전압이 인가된 때에 전류를 생성하는 회로의 실례들이 다수의 트랜지스터들을 포함하는 회로를 포함한다.6 is a block diagram of an embodiment of a control system 280 for controlling an electrical circuit component 284 . Control system 280 includes a driver 138 , a motor 282 , and circuit components 284 . Examples of circuit components 284 include inductors and capacitors. Examples of capacitors include variable capacitors. Examples of variable capacitors include vacuum variable capacitors (VVCs) and air variable capacitors. In some embodiments, motor 282 is integrated within circuit component 284 . Examples of driver 138 include circuitry that generates a current. Examples of a circuit that generates a current when a threshold voltage is applied include a circuit that includes a plurality of transistors.

호스트 제어기 (224) 가 회로 구성요소 (284) 를 제어하는 신호를 구동기 (138) 에 전송하면, 구동기 (138) 는 모터 (282) 의 스테이터에 대해서 모터 (282) 의 로터를 회전시키는 전류를 생성한다. 회전은 모터 (282) 와 회로 구성요소 (284) 간의 링크 (286), 예를 들어 로드, 쓰레드된 로드, 스크루 샤프트, 슬리브 및 플런저 등의 회전을 야기한다. 링크 (286) 의 회전은 커패시터의 플레이트들 간의 거리 변화 또는 인덕터의 수축 또는 팽창을 유발한다. 커패시터의 플레이트들 간의 거리 변화는 커패시터의 커패시턴스를 변화시킨다. 인덕터의 수축 또는 팽창은 인덕터의 인덕턴스를 변화시킨다.When the host controller 224 sends a signal to the driver 138 that controls the circuit component 284 , the driver 138 generates a current that rotates the rotor of the motor 282 relative to the stator of the motor 282 . do. The rotation causes rotation of the link 286 between the motor 282 and the circuit component 284 , such as rods, threaded rods, screw shafts, sleeves and plungers, and the like. Rotation of link 286 causes a change in the distance between the plates of the capacitor or contraction or expansion of the inductor. Changing the distance between the plates of the capacitor changes the capacitance of the capacitor. The contraction or expansion of the inductor changes the inductance of the inductor.

다양한 실시예들에서, 구동기 (138) 는 모터 (282) 에 커플링되지 않고 회로 구성요소 (284) 에 커플링된다. 예를 들어, 역방향-바이어스된 반도체 다이오드 (reverse-biased semiconductor diode) 는 이 다이오드 양단에 인가된 직류 (DC) 전압을 변화시키는 공핍 층 두께를 갖는다. In various embodiments, the driver 138 is not coupled to the motor 282 but is coupled to the circuit component 284 . For example, a reverse-biased semiconductor diode has a depletion layer thickness that varies a direct current (DC) voltage applied across the diode.

도 7은 호스트 제어기 (224) 의 실시예의 도면이다. 호스트 제어기 (224) 는 프로세서 (204), 메모리 디바이스 (202), 입력 디바이스 (290), 출력 디바이스 (292), 입출력 (I/O) 인터페이스 (294), 입출력 인터페이스 (296), 네트워크 인터페이스 제어기 (NIC; network interface controller) (298), 및 버스 (302) 를 포함한다. 프로세서 (204), 메모리 디바이스 (202), 입력 디바이스 (290), 출력 디바이스 (292), 입출력 I/O 인터페이스 (294), I/O 인터페이스 (296), 및 NIC (298) 는 버스 (302) 를 통해서 서로 커플링된다. 입력 디바이스 (290) 의 실례들은 마우스, 키보드, 스타일러스 등을 포함한다. 출력 디바이스 (292) 의 실례들은 디스플레이, 스피커 또는 이들의 조합을 포함한다. 디스플레이는 LCD, 발광 다이오드 디스플레이, CRT, 플라즈마 디스플레이 등일 수 있다. NIC (274) 의 실례는 네트워크 인터페이스 카드, 네트워크 어댑터 등을 포함한다.7 is a diagram of an embodiment of a host controller 224 . The host controller 224 includes a processor 204 , a memory device 202 , an input device 290 , an output device 292 , an input/output (I/O) interface 294 , an input/output interface 296 , a network interface controller ( a network interface controller (NIC) 298 , and a bus 302 . The processor 204 , the memory device 202 , the input device 290 , the output device 292 , the input/output I/O interface 294 , the I/O interface 296 , and the NIC 298 are connected to the bus 302 . are coupled to each other through Examples of input device 290 include a mouse, keyboard, stylus, and the like. Examples of output device 292 include a display, a speaker, or a combination thereof. The display may be an LCD, a light emitting diode display, a CRT, a plasma display, or the like. Examples of NIC 274 include network interface cards, network adapters, and the like.

I/O 인터페이스의 실례는 이 인터페이스에 커플링된 하드웨어 피스들 간의 호환성을 제공하는 인터페이스를 포함한다. 예를 들어, I/O 인터페이스 (294) 는 입력 디바이스 (290) 로부터 수신된 신호를 버스 (302) 와 호환되는 형태, 진폭 및/또는 속도로 변환한다. 다른 실례로서, I/O 인터페이스 (296) 는 버스 (302) 로부터 수신된 신호를 출력 디바이스 (292) 와 호환되는 형태, 진폭 및/또는 속도로 변환한다. An example of an I/O interface includes an interface that provides compatibility between pieces of hardware coupled to the interface. For example, I/O interface 294 converts a signal received from input device 290 into a shape, amplitude, and/or speed compatible with bus 302 . As another example, the I/O interface 296 converts a signal received from the bus 302 into a shape, amplitude, and/or rate compatible with the output device 292 .

도 8은 컴퓨터-생성된 모델의 노드에 대응하는 RF 송신선 (168) (도 1) 상의 지점에서의 RF 신호의 주파수에 대한, 컴퓨터-생성된 모델의 노드에서의 임피던스를 플롯하는 그래프 (306) 의 실시예이다. 그래프 (306) 에서 볼 수 있는 바와 같이, 임피던스는 RF 신호를 공급하는 RF 생성기 (132) (도 1) 의 주파수와 함께 변하며 이와 반대 역시 된다. 임피던스는 RF 신호의 고조파 주파수에 근접한 주파수에서 최소에 도달한다. 8 is a graph 306 plotting the impedance at a node of the computer-generated model versus the frequency of the RF signal at a point on the RF transmission line 168 ( FIG. 1 ) corresponding to the node of the computer-generated model. ) is an example of As can be seen in graph 306, the impedance changes with the frequency of the RF generator 132 (FIG. 1) that supplies the RF signal and vice versa. Impedance reaches a minimum at a frequency close to the harmonic frequency of the RF signal.

도 9는 상이한 레벨들의 에칭 레이트 제어에 있어서 기판의 반경에 대한, 기판을 에칭하는 에칭 레이트를 플롯하는 그래프 (310) 의 실시예이다. 컴퓨터-생성된 모델이 에칭 레이트를 결정하는데 사용되며, 에칭 레이트는 에칭 레이트들에서의 균일도를 증가시키도록 미리 결정된 에칭 레이트와 비교된다. 또한, 그래프 (310) 에서 볼 수 있는 바와 같이, 컴퓨터-생성된 모델이 에칭 레이트를 결정하는데 사용되지 않으면, 에칭 레이트들에서의 불균일성이 존재한다. 9 is an embodiment of a graph 310 that plots the etch rate to etch the substrate versus the radius of the substrate at different levels of etch rate control. A computer-generated model is used to determine an etch rate, which is compared to a predetermined etch rate to increase uniformity in the etch rates. Also, as can be seen in graph 310 , if a computer-generated model is not used to determine the etch rate, then there is non-uniformity in the etch rates.

상술된 동작들이 병행 플레이트 플라즈마 챔버, 예를 들어 용량 결합성 플라즈마 챔버 등을 참조하여서 기술되었지만, 몇몇 실시예들에서, 상술된 동작들은 다른 타입들의 플라즈마 챔버들, 예를 들어 유도 결합형 플라즈마 (ICP) 반응기를 포함하는 플라즈마 챔버, 변압기 결합형 플라즈마 (TCP) 반응기를 포함하는 플라즈마 챔버, 컨덕터 툴, 유전체 툴, ECR (전자-사이클로트론 공진) 반응기를 포함하는 플라즈마 챔버 등에서 적용된다는 것이 주목된다. 예를 들어, RF 생성기 (132) (도 1) 는 ICP 반응기의 플라즈마 챔버 내의 인덕터에 커플링된다.Although the operations described above have been described with reference to a parallel plate plasma chamber, eg, a capacitively coupled plasma chamber, and the like, in some embodiments, the operations described above may be used in other types of plasma chambers, eg, inductively coupled plasma (ICP). ) reactors, plasma chambers including transformer coupled plasma (TCP) reactors, conductor tools, dielectric tools, plasma chambers including ECR (electron-cyclotron resonance) reactors, and the like. For example, the RF generator 132 ( FIG. 1 ) is coupled to an inductor in the plasma chamber of the ICP reactor.

상술한 동작들은 호스트 제어기 (224) (도 1) 에 의해서 수행되는 것으로서 기술되었지만, 몇몇 실시예들에서, 이 동작들은 호스트 제어기 (224) 의 하나 이상의 프로세서들 도는 다수의 호스트 시스템들의 다수의 프로세서들 또는 RF 생성기들의 다수의 프로세서들에 의해서 수행될 수도 있음이 또한 주목된다.Although the operations described above have been described as being performed by host controller 224 ( FIG. 1 ), in some embodiments, these operations are performed by one or more processors of host controller 224 or multiple processors of multiple host systems. or by multiple processors of RF generators.

상술한 실시예들은 RF 공급 신호를 플라즈마 챔버의 척의 하부 전극에 공급하고 플라즈마 챔버의 상부 전극를 접지시키는 것에 관한 것이지만, RF 공급 신호가 상부 전극에 공급되고 척의 하부 전극은 접지될 수도 있음이 또한 주목된다. It is also noted that while the embodiments described above relate to supplying an RF supply signal to the lower electrode of the chuck of the plasma chamber and grounding the upper electrode of the plasma chamber, the RF supply signal may be supplied to the upper electrode and the lower electrode of the chuck may be grounded. .

본 명세서에서 기술된 실시예들은 핸드-헬드 하드웨어 유닛들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그램가능한 소비자 전자장치들, 미니컴퓨터들, 메인프레임 컴퓨터들 등을 포함하는 다양한 컴퓨터 시스템 구성들에서 실시된다. 실시예들은 또한 네트워크를 통해서 링크된 원격 프로세싱 하드웨어 유닛들에 의해서 태스크들이 수행되는 분산형 컴퓨텅 환경들에서 실시될 수도 있다.Embodiments described herein can be used in various computer system configurations including hand-held hardware units, microprocessor systems, microprocessor-based or programmable consumer electronics, minicomputers, mainframe computers, and the like. is carried out Embodiments may also be practiced in distributed computing environments where tasks are performed by remote processing hardware units that are linked through a network.

상술한 실시예들을 염두하면서, 본 실시예들은 컴퓨터 시스템들 내에 저장된 데이터를 수반하는 다양한 컴퓨터 구현 동작들을 사용할 수 있다. 이러한 동작들은 물리적 정량들의 물리적 조작을 요구하는 동작들이다. 본 실시예들의 일부를 형성하면서 본 명세서에서 개시된 동작들 중 임의의 것은 유용한 머신 동작들이다. 또한, 본 실시예들은 이러한 동작들을 수행하기 위한 하드웨어 유닛 또는 장치에 대한 것이다. 다양한 실시예들에서, 이 장치는 특정 목적용 컴퓨터와 같이 요구된 목적을 위해서 특정하게 구성될 수 있다. 특정 목적용 컴퓨터로서 규정될 때에, 컴퓨터는 여전히 이 특정 목적을 위해서 동작하면서 이 특정 목적이 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행할 수 있다. 몇몇 실시예들에서, 동작들은 컴퓨터 메모리, 캐시 내에 저장되거나 네트워크를 통해서 획득된 하나 이상의 컴퓨터 프로그램들에 의해서 선택적으로 활성화 또는 구성되는 범용 컴퓨터에 의해서 처리될 수 있다. 데이터가 네트워크를 통해서 획득될 때에, 데이터는 예를 들어 컴퓨팅 리소스들의 클라우드와 같은 네트워크 상의 다른 컴퓨터들에 의해서 처리될 수 있다.With the embodiments described above in mind, the embodiments may employ various computer implemented operations involving data stored within computer systems. These operations are operations that require physical manipulation of physical quantities. Any of the operations disclosed herein forming part of the present embodiments are useful machine operations. Also, the present embodiments relate to a hardware unit or apparatus for performing these operations. In various embodiments, the apparatus may be specifically configured for a required purpose, such as a special purpose computer. When defined as a special purpose computer, the computer may still operate for that particular purpose while performing other processing, program execution, or routines not part of this particular purpose. In some embodiments, the operations may be processed by a general purpose computer selectively activated or configured by one or more computer programs stored in computer memory, cache, or obtained over a network. As data is acquired over a network, the data may be processed by other computers on the network, such as, for example, a cloud of computing resources.

하나 이상의 실시예들은 또한 비일시적 컴퓨터 판독가능한 매체 상의 컴퓨터 판독가능한 코드로서 제조될 수도 있다. 비일시적 컴퓨터 판독가능한 매체는 이후에 컴퓨터 시스템에 의해서 판독될 수 있는 데이터를 저장할 수 있는 임의의 메모리 디바이스이다. 비일시적 컴퓨터 판독가능 매체의 실례들은 하드 드라이브, NAS (network attached storage), ROM, RAM, CD-ROM, CD-R, CD-RW, 자기 테이프, 및 다른 광학 데이터 저장 하드웨어 유닛 및 비광학 데이터 저장 하드웨어 유닛을 포함한다. 비일시적 컴퓨터 판독가능한 매체는 또한 컴퓨터 판독가능 코드가 배포되는 방식으로 저장 및 실행되도록 네트워크-접속된 컴퓨터 시스템들을 통해서 또한 배포되는 컴퓨터 판독가능한 유형의 매체를 포함할 수 있다. One or more embodiments may also be manufactured as computer readable code on a non-transitory computer readable medium. A non-transitory computer-readable medium is any memory device that can store data that can then be read by a computer system. Examples of non-transitory computer readable media include hard drives, network attached storage (NAS), ROM, RAM, CD-ROM, CD-R, CD-RW, magnetic tape, and other optical data storage hardware units and non-optical data storage units. Includes hardware units. Non-transitory computer-readable media may also include computer-readable tangible media that is also distributed over network-connected computer systems so that the computer-readable code is stored and executed in a distributed manner.

위의 일부 방법 동작들은 실시예들의 일부에서 특정 순서로 기술되었지만, 다양한 실시예들에서, 오버레이 동작들 (overlay operation) 의 프로세싱이 목표된 방식으로 수행되기만 하면, 다른 하우스키핑 동작들 (housekeeping operations) 이 동작들 간에서 수행될 수 있거나, 동작들이 근소하게 상이한 시간들에서 발생하도록 동작들이 조정되거나, 프로세싱과 관련된 다양한 인터벌들에서 프로세싱 동작들이 발생되게 하는 시스템에서 동작들이 분산될 수 있다는 것이 이해되어야 한다.Although some method operations above have been described in a specific order in some of the embodiments, in various embodiments, other housekeeping operations are performed as long as the processing of the overlay operations is performed in the desired manner. It should be understood that the operations may be performed between these operations, the operations may be coordinated such that they occur at slightly different times, or the operations may be distributed in a system such that the processing operations occur at various intervals associated with processing. .

몇몇 실시예들에서, 임의의 실시예들부터의 하나 이상의 특징사항들은 본 개시에서 기술된 다양한 실시예들에서 기술된 범위를 벗어나지 않고서 임의의 다른 실시예들의 하나 이상의 특징사항들과 조합된다.In some embodiments, one or more features from any embodiments are combined with one or more features of any other embodiments without departing from the scope described in the various embodiments described in this disclosure.

전술한 실시예들은 이해의 명료성을 위해서 어느 정도 세부적으로 기술되었지만, 소정의 변경 및 수정이 첨부된 청구 범위 내에서 가능하다. 따라서, 본 실시예들은 한정적이 아닌 예시적으로 해석되어야 하며 본 발명은 본 명세서에서 제공된 세부 사항들로 한정되는 것이 아니라 첨부된 청구 범위 및 이의 균등 범위 내에서 수정될 수 있다.Although the foregoing embodiments have been described in some detail for purposes of clarity of understanding, certain changes and modifications are possible within the scope of the appended claims. Accordingly, the present embodiments are to be construed as illustrative and not restrictive, and the present invention is not limited to the details provided herein, but may be modified within the scope of the appended claims and their equivalents.

Claims (35)

메모리 디바이스;
상기 메모리 디바이스에 커플링된 호스트 프로세서를 포함하고,
상기 호스트 프로세서는,
무선 주파수 (RF : Radio Frequency) 생성기의 출력부에서 측정된 변수의 값을 수신하는 동작으로서, 상기 측정된 값은 플라즈마 챔버에서의 워크피스 프로세싱과 연관되고, 상기 플라즈마 챔버는 RF 전송 선을 통해 임피던스 매칭 회로에 커플링되고, 상기 RF 생성기의 상기 출력부는 RF 케이블을 통해 상기 임피던스 매칭 회로와 커플링되는, 상기 측정된 변수의 값을 수신하고,
컴퓨터-생성된 모델의 출력부에서 상기 변수의 계산된 값을 생성하도록 상기 컴퓨터-생성된 모델을 통해 상기 변수의 상기 측정된 값을 전파하고,
상기 변수의 상기 계산된 값과 연관된 계산된 프로세싱 레이트를 식별하고,
상기 계산된 프로세싱 레이트에 기초하여 미리 결정된 프로세싱 레이트가 달성되는지 식별하고,
상기 미리 결정된 프로세싱 레이트에 기초하여 상기 컴퓨터-생성된 모델의 상기 출력부에서 미리 결정된 변수를 식별하고,
상기 미리 결정된 변수의 실수부와 연관된 제1 지수 (characteristic) 를 식별하고- 상기 제1 지수는 상기 임피던스 매칭 회로 내의 제1 가변 회로 구성요소의 제1 지수임-,
상기 제1 지수를 달성하여 상기 미리 결정된 변수의 상기 실수부를 또한 달성하도록 상기 제1 가변 회로 구성요소로 신호를 전송하고,
상기 미리 결정된 변수의 허수부와 연관된 제2 지수를 식별하고- 상기 제2 지수는 상기 임피던스 매칭 회로 내의 제2 가변 회로 구성요소의 제2 지수임-,
상기 제2 지수를 달성하여 상기 미리 결정된 변수의 상기 허수부를 또한 달성하도록 상기 제2 가변 회로 구성요소로 신호를 전송하도록 구성되는, 호스트 제어기.
memory device;
a host processor coupled to the memory device;
The host processor,
Receiving a value of a measured variable at an output of a Radio Frequency (RF) generator, the measured value being associated with processing a workpiece in a plasma chamber, the plasma chamber being impedance through an RF transmission line coupled to a matching circuit, wherein the output of the RF generator receives a value of the measured variable coupled with the impedance matching circuit via an RF cable;
propagating the measured value of the variable through the computer-generated model to produce a calculated value of the variable at the output of the computer-generated model;
identify a calculated processing rate associated with the calculated value of the variable;
identify whether a predetermined processing rate is achieved based on the calculated processing rate;
identify a predetermined variable at the output of the computer-generated model based on the predetermined processing rate;
identify a first characteristic associated with the real part of the predetermined variable, the first exponent being a first exponent of a first variable circuit component in the impedance matching circuit;
send a signal to the first variable circuit component to achieve the first exponent to also achieve the real part of the predetermined variable;
identify a second exponent associated with the imaginary part of the predetermined variable, the second exponent being a second exponent of a second variable circuit component in the impedance matching circuit;
and send a signal to the second variable circuit component to achieve the second exponent to also achieve the imaginary part of the predetermined variable.
제 1 항에 있어서,
상기 변수의 상기 계산된 값은 복소 전압 및 전류를 포함하는, 호스트 제어기.
The method of claim 1,
wherein the calculated value of the variable comprises a complex voltage and current.
제 1 항에 있어서,
상기 제1 가변 회로 구성요소는 커패시터를 포함하고 상기 제1 지수는 상기 커패시터의 커패시턴스를 포함하는, 호스트 제어기.
The method of claim 1,
wherein the first variable circuit component comprises a capacitor and the first exponent comprises a capacitance of the capacitor.
제 1 항에 있어서,
상기 제2 가변 회로 구성요소는 커패시터를 포함하고 상기 제2 지수는 상기 커패시터의 커패시턴스를 포함하는, 호스트 제어기.
The method of claim 1,
wherein the second variable circuit component comprises a capacitor and the second exponent comprises a capacitance of the capacitor.
제 1 항에 있어서,
상기 제1 가변 회로 구성요소는 인덕터를 포함하고 상기 제1 지수는 상기 인덕터의 인덕턴스를 포함하는, 호스트 제어기.
The method of claim 1,
wherein the first variable circuit component comprises an inductor and the first index comprises an inductance of the inductor.
제 1 항에 있어서,
상기 제2 가변 회로 구성요소는 인덕터를 포함하고 상기 제2 지수는 상기 인덕터의 인덕턴스를 포함하는, 호스트 제어기.
The method of claim 1,
wherein the second variable circuit component comprises an inductor and the second index comprises an inductance of the inductor.
제 1 항에 있어서,
상기 호스트 프로세서는 상기 미리 결정된 프로세싱 레이트를 달성하기 위해 상기 RF 생성기의 동작 주파수를 변경하도록 상기 RF 발생기의 제어기에 신호를 전송하도록 구성되는, 호스트 제어기.
The method of claim 1,
and the host processor is configured to send a signal to a controller of the RF generator to change an operating frequency of the RF generator to achieve the predetermined processing rate.
제 1 항에 있어서,
상기 워크피스를 프로세싱하기 위해 상기 워크피스가 에칭되거나 상기 워크피스 상에 재료가 증착되는, 호스트 제어기.
The method of claim 1,
wherein the workpiece is etched or material is deposited on the workpiece to process the workpiece.
제 1 항에 있어서,
상기 제2 가변 회로 구성요소는 상기 임피던스 매칭 회로의 인덕터에 커플링되는, 호스트 제어기.
The method of claim 1,
and the second variable circuit component is coupled to an inductor of the impedance matching circuit.
제 9 항에 있어서,
상기 인덕터는 상기 플라즈마 챔버에 커플링되는, 호스트 제어기.
10. The method of claim 9,
and the inductor is coupled to the plasma chamber.
제 1 항에 있어서,
상기 RF 생성기는 상기 제1 가변 회로 구성요소 및 상기 제2 가변 회로 구성요소에 커플링되는, 호스트 제어기.
The method of claim 1,
and the RF generator is coupled to the first variable circuit component and the second variable circuit component.
제 1 항에 있어서,
상기 제1 가변 회로 구성요소 및 상기 제2 가변 회로 구성요소는 각각 상기 RF 생성기의 출력부에 커플링되는, 호스트 제어기.
The method of claim 1,
and the first variable circuit component and the second variable circuit component are each coupled to an output of the RF generator.
제 1 항에 있어서,
상기 제1 가변 회로 구성요소는 상기 제2 가변 회로 구성요소에 커플링되는, 호스트 제어기.
The method of claim 1,
and the first variable circuit component is coupled to the second variable circuit component.
제 1 항에 있어서,
상기 워크피스는 집적 회로를 만드는 데 사용되는 반도체 웨이퍼인, 호스트 제어기.
The method of claim 1,
wherein the workpiece is a semiconductor wafer used to make an integrated circuit.
제 1 항에 있어서,
상기 컴퓨터-생성된 모델을 통해 상기 변수의 상기 측정된 값을 전파하기 위해, 상기 호스트 프로세서는 상기 변수의 상기 측정된 값과 상기 컴퓨터-생성된 모델의 회로 엘리먼트들의 지수들인 하나 이상의 값의 방향성 합을 계산하도록 구성되는, 호스트 제어기.
The method of claim 1,
To propagate the measured value of the variable through the computer-generated model, the host processor is configured to: a directional sum of the measured value of the variable and one or more values that are exponents of circuit elements of the computer-generated model. A host controller, configured to calculate
제 1 항에 있어서,
상기 계산된 프로세싱 레이트는 에칭 레이트 또는 증착 레이트를 포함하고, 상기 계산된 프로세싱 레이트는 상기 변수의 상기 계산된 값의 달성이 상기 계산된 프로세싱 레이트의 달성을 용이하게 할 때 상기 변수의 상기 계산된 값과 연관되는, 호스트 제어기.
The method of claim 1,
The calculated processing rate includes an etch rate or a deposition rate, wherein the calculated processing rate is the calculated value of the variable when achieving the calculated value of the variable facilitates achieving the calculated processing rate. associated with the host controller.
제 1 항에 있어서,
상기 미리 결정된 프로세싱 레이트는 에칭 레이트 또는 증착 레이트를 포함하고, 상기 미리 결정된 프로세싱 레이트는 상기 미리 결정된 변수의 달성이 상기 미리 결정된 프로세싱 레이트의 달성을 용이하게 할 때 상기 미리 결정된 변수와 연관되는, 호스트 제어기.
The method of claim 1,
wherein the predetermined processing rate comprises an etch rate or a deposition rate, wherein the predetermined processing rate is associated with the predetermined variable when the achievement of the predetermined variable facilitates the achievement of the predetermined processing rate. .
제 1 항에 있어서,
상기 미리 결정된 변수는 임피던스를 포함하는, 호스트 제어기.
The method of claim 1,
wherein the predetermined variable comprises an impedance.
제 1 항에 있어서,
상기 실수부는 상기 RF 생성기의 동작 주파수의 변화에 독립적인 상수이고, 상기 허수부는 상기 RF 생성기의 상기 동작 주파수를 따르는, 호스트 제어기.
The method of claim 1,
wherein the real part is a constant independent of a change in the operating frequency of the RF generator, and the imaginary part follows the operating frequency of the RF generator.
측정된 복소 변수를 저장하기 위한 메모리 디바이스; 및
상기 메모리 디바이스에 커플링된 호스트 프로세서를 포함하고,
상기 호스트 프로세서는,
측정된 복소 변수를 수신하도록 구성되고,
상기 측정된 복소 변수는 무선 주파수 (RF) 생성기의 출력부에서 측정되고, 상기 RF 생성기는 RF 케이블을 통해 임피던스 매칭 회로에 커플링되고, 상기 RF 케이블은 상기 RF 생성기의 상기 출력부와 상기 임피던스 매칭 회로 사이에 커플링되며, 상기 임피던스 매칭 회로는 RF 전송 선을 통해 플라즈마 챔버에 커플링되고,
컴퓨터-생성된 모델의 출력부에서 계산된 복소 변수를 발생시키기 위해 상기 컴퓨터-생성된 모델을 통해 상기 측정된 복소 변수를 전파하도록 구성되고,
상기 컴퓨터-생성된 모델을 통해 상기 측정된 복소 변수를 전파하기 위해, 상기 호스트 프로세서는 상기 측정된 복소 변수와 상기 컴퓨터-생성된 모델의 복수의 전기 회로 엘리먼트들의 복수의 값들의 방향성 합을 계산하도록 구성되고,
상기 계산된 복소 변수가 바람직한 복소 변수와 매칭하는지 여부를 결정하도록 구성되고,
상기 계산된 복소 변수가 상기 바람직한 복소 변수와 매칭할 때까지 상기 임피던스 매칭 회로의 복수의 가변 회로 구성요소들을 제어하도록 구성되고, 상기 복수의 가변 회로 구성요소들을 제어하기 위해 상기 호스트 프로세서는,
상기 계산된 복소 변수의 실수부와 상기 바람직한 복소 변수의 실수부 간의 매칭이 달성될 때까지 상기 임피던스 매칭 회로의 션트 (shunt) 커패시터를 제어하고; 그리고
상기 계산된 복소 변수의 허수부와 상기 바람직한 복소 변수의 허수부 간의 매칭이 달성될 때까지 상기 임피던스 매칭 회로의 직렬 구성요소를 제어하도록 구성되는, 호스트 제어기.
a memory device for storing the measured complex variable; and
a host processor coupled to the memory device;
The host processor,
configured to receive the measured complex variable,
The measured complex variable is measured at an output of a radio frequency (RF) generator, the RF generator coupled to an impedance matching circuit via an RF cable, the RF cable being impedance matching with the output of the RF generator coupled between the circuits, the impedance matching circuit being coupled to the plasma chamber via an RF transmission line;
and propagate the measured complex variable through the computer-generated model to generate a computed complex variable at the output of the computer-generated model;
To propagate the measured complex variable through the computer-generated model, the host processor is configured to calculate a directional sum of the measured complex variable and a plurality of values of a plurality of electrical circuit elements of the computer-generated model. composed,
and determine whether the computed complex variable matches a desired complex variable;
and control a plurality of variable circuit components of the impedance matching circuit until the calculated complex variable matches the desired complex variable, wherein the host processor for controlling the plurality of variable circuit components comprises:
controlling a shunt capacitor of the impedance matching circuit until a match between the calculated real part of the complex variable and the real part of the desired complex variable is achieved; and
and control the series components of the impedance matching circuit until a match between the calculated imaginary part of the complex variable and the desired complex variable is achieved.
제 20 항에 있어서,
상기 측정된 복소 변수는 복소 임피던스 또는 복소 전압 및 전류인, 호스트 제어기.
21. The method of claim 20,
wherein the measured complex variable is a complex impedance or complex voltage and current.
제 20 항에 있어서,
상기 측정된 복소 변수는 측정된 복소 임피던스이고 상기 바람직한 복소 변수는 바람직한 복소 임피던스인, 호스트 제어기.
21. The method of claim 20,
wherein the measured complex variable is a measured complex impedance and the preferred complex variable is a desired complex impedance.
제 20 항에 있어서,
상기 컴퓨터-생성된 모델은 상기 RF 케이블과 상기 임피던스 매칭 회로의 결합된 임피던스를 가지는, 호스트 제어기.
21. The method of claim 20,
wherein the computer-generated model has a combined impedance of the RF cable and the impedance matching circuit.
제 20 항에 있어서,
상기 컴퓨터-생성된 모델은 상기 RF 케이블, 상기 임피던스 매칭 회로, 및 상기 RF 전송 선의 적어도 일부의 결합된 임피던스를 가지는, 호스트 제어기.
21. The method of claim 20,
wherein the computer-generated model has a combined impedance of at least a portion of the RF cable, the impedance matching circuit, and the RF transmission line.
제 20 항에 있어서,
상기 컴퓨터-생성된 모델은 상기 임피던스 매칭 회로의 복수의 회로 구성요소들 및 상기 임피던스 매칭 회로의 상기 복수의 회로 구성요소들 간의 복수의 접속부들에 기초하여 생성되는, 호스트 제어기.
21. The method of claim 20,
wherein the computer-generated model is generated based on a plurality of circuit components of the impedance matching circuit and a plurality of connections between the plurality of circuit components of the impedance matching circuit.
프로세서로서,
무선 주파수 (RF) 생성기의 출력부에서 측정된 복소 변수를 수신하도록 구성되고, 상기 RF 생성기는 임피던스 매칭 회로와 커플링되고,
컴퓨터-생성된 모델의 출력부에서 계산된 복소 변수를 생성하도록 상기 컴퓨터-생성된 모델을 통해 상기 측정된 복소 변수를 전파하도록 구성되고;
상기 계산된 복소 변수의 실수부와 바람직한 복소 변수의 실수부 간의 매칭을 달성하기 위해 상기 임피던스 매칭 회로의 제1 가변 회로 구성요소를 제어하도록 구성되고; 그리고
상기 계산된 복소 변수의 허수부와 상기 바람직한 복소 변수의 허수부 간의 매칭을 달성하도록 상기 임피던스 매칭 회로의 제2 가변 회로 구성요소를 제어하도록 구성된, 상기 프로세서; 및
상기 프로세서와 커플링된 메모리 디바이스로서, 상기 계산된 복소 변수를 저장하도록 구성되는, 상기 메모리 디바이스를 포함하는, 제어기.
As a processor,
configured to receive the measured complex variable at an output of a radio frequency (RF) generator, the RF generator coupled with an impedance matching circuit;
and propagate the measured complex variable through the computer-generated model to generate a computed complex variable at an output of the computer-generated model;
configured to control a first variable circuit component of the impedance matching circuit to achieve matching between the real part of the calculated complex variable and the real part of the desired complex variable; and
the processor configured to control a second variable circuit component of the impedance matching circuit to achieve a match between the calculated imaginary part of the complex variable and the desired complex variable; and
a memory device coupled with the processor, the memory device configured to store the computed complex variable.
제 26 항에 있어서,
상기 측정된 복소 변수를 전파하기 위해, 상기 프로세서는 상기 측정된 복소 변수와 상기 컴퓨터-생성된 모델의 복수의 전기적 회로 엘리먼트들과 연관된 복수의 값들의 방향성 합을 계산하도록 구성되는, 제어기.
27. The method of claim 26,
and the processor is configured to calculate a directional sum of the measured complex variable and a plurality of values associated with a plurality of electrical circuit elements of the computer-generated model to propagate the measured complex variable.
제 26 항에 있어서,
상기 컴퓨터-생성된 모델은 상기 임피던스 매칭 회로의 복수의 회로 구성요소들을 나타내는 복수의 회로 엘리먼트들을 포함하고, 상기 복수의 회로 엘리먼트들은 상기 복수의 회로 구성요소들이 서로 연결되는 것과 동일한 방식으로 서로 연결되는, 제어기.
27. The method of claim 26,
The computer-generated model includes a plurality of circuit elements representative of a plurality of circuit components of the impedance matching circuit, wherein the plurality of circuit elements are connected to each other in the same manner as the plurality of circuit components are connected to each other. , the controller.
제 26 항에 있어서,
상기 RF 생성기의 상기 출력부는 RF 케이블을 통해 상기 임피던스 매칭 회로의 입력부와 커플링되는, 제어기.
27. The method of claim 26,
and the output of the RF generator is coupled with an input of the impedance matching circuit via an RF cable.
제 26 항에 있어서,
상기 계산된 복소 변수는 상기 컴퓨터-생성된 모델의 상기 출력부에서의 임피던스이고 상기 바람직한 복소 변수는 임피던스인, 제어기.
27. The method of claim 26,
wherein the computed complex variable is an impedance at the output of the computer-generated model and the preferred complex variable is an impedance.
제 26 항에 있어서,
상기 제1 가변 회로 구성요소는 상기 임피던스 매칭 회로의 션트 커패시터이고, 상기 제2 가변 회로 구성요소는 직렬 커패시터인, 제어기.
27. The method of claim 26,
wherein the first variable circuit component is a shunt capacitor of the impedance matching circuit and the second variable circuit component is a series capacitor.
제 31 항에 있어서,
상기 션트 커패시터는 상기 션트 커패시터의 일 단부를 통해 상기 임피던스 매칭 회로의 입력부 및 상기 임피던스 매칭 회로의 상기 직렬 커패시터에 커플링되고, 상기 션트 커패시터는 상기 션트 커패시터의 다른 단부에서 접지 전위에 있는, 제어기.
32. The method of claim 31,
and the shunt capacitor is coupled to the input of the impedance matching circuit and the series capacitor of the impedance matching circuit through one end of the shunt capacitor, the shunt capacitor being at ground potential at the other end of the shunt capacitor.
제 26 항에 있어서,
상기 제1 가변 회로 구성요소는 가변 인덕터이고, 상기 가변 인덕터는 상기 가변 인덕터의 일 단부를 통해 상기 임피던스 매칭 회로의 입력부 및 상기 임피던스 매칭 회로의 직렬 커패시터에 커플링되고, 상기 가변 인덕터는 상기 가변 인덕터의 다른 단부에서 접지 전위에 있는, 제어기.
27. The method of claim 26,
the first variable circuit component is a variable inductor, the variable inductor is coupled to an input of the impedance matching circuit and a series capacitor of the impedance matching circuit through one end of the variable inductor, the variable inductor is the variable inductor At the other end of the controller, at ground potential.
제 26 항에 있어서,
상기 계산된 복소 변수의 상기 실수부와 상기 바람직한 복소 변수의 상기 실수부 간의 상기 매칭 및 상기 계산된 복소 변수의 상기 허수부와 상기 바람직한 복소 변수의 상기 허수부 간의 상기 매칭은 에칭 레이트를 달성하기 위해 달성되는, 제어기.
27. The method of claim 26,
The matching between the real part of the computed complex variable and the real part of the preferred complex variable and the matching between the imaginary part of the computed complex variable and the imaginary part of the preferred complex variable to achieve an etch rate achieved, the controller.
제 26 항에 있어서,
상기 컴퓨터-생성된 모델은 RF 케이블의 모델 및 상기 임피던스 매칭 회로의 모델을 포함하고, 상기 RF 케이블은 상기 RF 생성기와 상기 임피던스 매칭 회로 사이에 커플링되는, 제어기.
27. The method of claim 26,
wherein the computer-generated model comprises a model of an RF cable and a model of the impedance matching circuit, wherein the RF cable is coupled between the RF generator and the impedance matching circuit.
KR1020140132714A 2013-10-01 2014-10-01 Control of etch rate using modeling, feedback and impedance match KR102313223B1 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US14/043,525 2013-10-01
US14/043,574 2013-10-01
US14/043,525 US9337000B2 (en) 2013-10-01 2013-10-01 Control of impedance of RF return path
US14/043,574 US9401264B2 (en) 2013-10-01 2013-10-01 Control of impedance of RF delivery path
US14/152,729 US9620334B2 (en) 2012-12-17 2014-01-10 Control of etch rate using modeling, feedback and impedance match
US14/152,729 2014-01-10

Publications (2)

Publication Number Publication Date
KR20150039125A KR20150039125A (en) 2015-04-09
KR102313223B1 true KR102313223B1 (en) 2021-10-15

Family

ID=52793582

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140132714A KR102313223B1 (en) 2013-10-01 2014-10-01 Control of etch rate using modeling, feedback and impedance match

Country Status (3)

Country Link
KR (1) KR102313223B1 (en)
CN (1) CN104518753B (en)
TW (1) TWI668725B (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9720022B2 (en) * 2015-05-19 2017-08-01 Lam Research Corporation Systems and methods for providing characteristics of an impedance matching model for use with matching networks
KR102571772B1 (en) * 2015-05-19 2023-08-25 램 리써치 코포레이션 Systems and methods for using multiple inductive and capacitive fixtures for applying a variety of plasma conditions to determine a match network model
US10386828B2 (en) * 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
KR102460246B1 (en) * 2016-03-04 2022-10-27 램 리써치 코포레이션 Systems and methods for tuning an impedance matching network in a step-wise fashion
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US9978621B1 (en) * 2016-11-14 2018-05-22 Applied Materials, Inc. Selective etch rate monitor
KR102080115B1 (en) * 2016-11-24 2020-04-23 주식회사 원익아이피에스 Method for controlling of substrate processing apparatus
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
CN111971551A (en) 2018-04-10 2020-11-20 朗姆研究公司 Optical metrology in machine learning to characterize features
CN112005347A (en) 2018-04-10 2020-11-27 朗姆研究公司 Resist and etch modeling
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100708313B1 (en) * 2002-10-31 2007-04-17 세메스 주식회사 Apparatus and method for treating plasma
US20070095788A1 (en) * 2003-05-16 2007-05-03 Hoffman Daniel J Method of controlling a chamber based upon predetermined concurrent behavoir of selected plasma parameters as a function of selected chamber paramenters
KR100805138B1 (en) * 1999-03-31 2008-02-21 램 리써치 코포레이션 Plasma processing method and apparatus with control of rf bias

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6528751B1 (en) * 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
WO2007053569A1 (en) * 2005-10-31 2007-05-10 Mks Instruments, Inc. Radio frequency power delivery system
US20080179948A1 (en) * 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
KR100915613B1 (en) * 2007-06-26 2009-09-07 삼성전자주식회사 Pulse plasma matching system and method therefor
WO2009140371A2 (en) * 2008-05-14 2009-11-19 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for rf power delivery
JP5632626B2 (en) * 2010-03-04 2014-11-26 東京エレクトロン株式会社 Automatic alignment apparatus and plasma processing apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100805138B1 (en) * 1999-03-31 2008-02-21 램 리써치 코포레이션 Plasma processing method and apparatus with control of rf bias
KR100708313B1 (en) * 2002-10-31 2007-04-17 세메스 주식회사 Apparatus and method for treating plasma
US20070095788A1 (en) * 2003-05-16 2007-05-03 Hoffman Daniel J Method of controlling a chamber based upon predetermined concurrent behavoir of selected plasma parameters as a function of selected chamber paramenters

Also Published As

Publication number Publication date
TWI668725B (en) 2019-08-11
CN104518753A (en) 2015-04-15
CN104518753B (en) 2018-07-10
TW201528322A (en) 2015-07-16
KR20150039125A (en) 2015-04-09

Similar Documents

Publication Publication Date Title
KR102313223B1 (en) Control of etch rate using modeling, feedback and impedance match
US10381201B2 (en) Control of etch rate using modeling, feedback and impedance match
US10707056B2 (en) Using modeling to determine ion energy associated with a plasma system
US20190318919A1 (en) Control of etch rate using modeling, feedback and impedance match
US9652567B2 (en) System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US10340127B2 (en) Using modeling to determine wafer bias associated with a plasma system
US10008371B2 (en) Determining a value of a variable on an RF transmission model
KR102223863B1 (en) Dual control mode
US9508529B2 (en) System, method and apparatus for RF power compensation in a plasma processing system
US10102321B2 (en) System, method and apparatus for refining radio frequency transmission system models
US10319570B2 (en) Determining a malfunctioning device in a plasma system
US10325759B2 (en) Multiple control modes
KR20220041062A (en) Control of impedance of rf return path
CN108447759B (en) Method and system for determining ion energy associated with a plasma system using a model
KR102247844B1 (en) Cable power loss determination for virtual metrology

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant