KR102307844B1 - Embedded voltage regulator structure and method forming same - Google Patents

Embedded voltage regulator structure and method forming same Download PDF

Info

Publication number
KR102307844B1
KR102307844B1 KR1020190122125A KR20190122125A KR102307844B1 KR 102307844 B1 KR102307844 B1 KR 102307844B1 KR 1020190122125 A KR1020190122125 A KR 1020190122125A KR 20190122125 A KR20190122125 A KR 20190122125A KR 102307844 B1 KR102307844 B1 KR 102307844B1
Authority
KR
South Korea
Prior art keywords
redistribution structure
substrate
package
dielectric layer
voltage regulator
Prior art date
Application number
KR1020190122125A
Other languages
Korean (ko)
Other versions
KR20200074847A (en
Inventor
지운이 우
천후아 위
치엔쉰 천
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/458,948 external-priority patent/US11217546B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20200074847A publication Critical patent/KR20200074847A/en
Application granted granted Critical
Publication of KR102307844B1 publication Critical patent/KR102307844B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1418Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/14181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/85Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a wire connector
    • H01L2224/85001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a wire connector involving a temporary auxiliary member not forming part of the bonding apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/85005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a wire connector involving a temporary auxiliary member not forming part of the bonding apparatus, e.g. removable or sacrificial coating, film or substrate being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/85Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/141Analog devices
    • H01L2924/1427Voltage regulator [VR]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/37Effects of the manufacturing process
    • H01L2924/37001Yield

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

방법은 전압 조정기를 제1 패키지의 제1 재배선 구조물에 부착시키는 단계를 포함한다. 제2 재배선 구조물은 전압 조정기 위에 형성되며, 전압 조정기는 제2 재배선 구조물 내에 임베딩된다. 제1 패키지를 포함하는 제2 패키지를 형성하도록 제1 기판은 제2 재배선 구조물에 부착된다. 제1 전압은 제2 재배선 구조물에 제공되고 제2 재배선 구조물을 통해 전압 조정기에 제공될 수 있다. 제2 전압 조정기는 제1 전압을 제2 전압으로 조정하고, 제2 전압을 제1 재배선 구조물을 통해 제1 디바이스 다이에 제공하며, 전압 조정기의 출력부는 제1 재배선 구조물에 직접 부착된다.The method includes attaching a voltage regulator to a first redistribution structure of a first package. A second redistribution structure is formed over the voltage regulator, and the voltage regulator is embedded within the second redistribution structure. The first substrate is attached to the second redistribution structure to form a second package including the first package. The first voltage may be provided to the second redistribution structure and provided to the voltage regulator through the second redistribution structure. A second voltage regulator adjusts the first voltage to a second voltage and provides a second voltage to the first device die through the first redistribution structure, and an output of the voltage regulator is directly attached to the first redistribution structure.

Figure 112019100915707-pat00032
Figure 112019100915707-pat00032

Description

임베디드 전압 조정기 구조물 및 그 형성 방법{EMBEDDED VOLTAGE REGULATOR STRUCTURE AND METHOD FORMING SAME}EMBEDDED VOLTAGE REGULATOR STRUCTURE AND METHOD FORMING SAME

본 출원은 2018년 12월 14일에 출원된 미국 가특허 출원 제62/779,857호의 우선권을 청구하며, 이 가특허출원의 전체 내용은 참조로서 본 명세서 내에서 원용된다.This application claims priority to U.S. Provisional Patent Application No. 62/779,857, filed on December 14, 2018, the entire contents of which are incorporated herein by reference.

집적 회로에서, SOC(System-On-Chip) 다이 및 CPU(Central Processing Unit)와 같은 일부 회로 컴포넌트들은 입력/출력(IO) 및 전력 소비에 대한 요구사항이 높다. 예를 들어, CPU는 복수의 코어들을 포함할 수 있고, 상당한 전력량을 소모할 필요가 있다. 한편, 제공되는 전력에 대한 요구사항이 또한 높다. 예를 들어, 전원 전압은 매우 안정적일 필요가 있고, 전압원으로부터 사용자 디바이스로의 전압 강하는 낮을 필요가 있다. 특히, HPC(High Performance Computing)는 고급 네트워킹 및 서버 응용예들에서, 특히 인공 지능(AI) 관련 제품에서, 높은 데이터 속도와 대역폭 및 낮은 레이턴시를 필요로 한다는 이유로, 더욱 대중화되고 폭넓게 사용되고 있다.In integrated circuits, some circuit components, such as System-On-Chip (SOC) dies and Central Processing Units (CPUs), have high input/output (IO) and power consumption requirements. For example, a CPU may include multiple cores and needs to consume a significant amount of power. On the other hand, the requirements for the power provided are also high. For example, the supply voltage needs to be very stable, and the voltage drop from the voltage source to the user device needs to be low. In particular, High Performance Computing (HPC) has become more popular and widely used in advanced networking and server applications, especially in artificial intelligence (AI)-related products, because it requires high data rates, bandwidths, and low latency.

일 실시예에서, 방법은 전압 조정기를 제1 패키지의 제1 재배선 구조물에 부착시키는 단계를 포함한다. 제2 재배선 구조물은 전압 조정기 위에 형성되며, 전압 조정기는 제2 재배선 구조물 내에 임베딩된다. 제1 패키지를 포함하는 제2 패키지를 형성하도록 제1 기판은 제2 재배선 구조물에 부착된다. 실시예에서, 방법은 제1 기판 위에 그리고 그 주위에 인캡슐런트를 형성하는 단계, 및 제2 패키지를 단품화하는 단계를 더 포함하며, 단품화 후에 인캡슐런트는 제1 기판의 측벽들 상에 잔존한다. 실시예에서, 방법은 제1 디바이스를 형성하기 위해 제1 기판을 인쇄 회로 기판에 부착시키는 단계를 더 포함한다. 실시예에서, 방법은 전압 조정기가 제1 재배선 구조물의 횡측 범위 내에 있는 것을 더 포함한다. 실시예에서, 방법은 제2 재배선 구조물의 횡측 범위가 제1 기판의 횡측 범위보다 큰 것을 더 포함한다. 실시예에서, 방법은 제2 재배선 구조물을 통해 제1 전압 신호를 전압 조정기로 라우팅하는 단계, 제1 전압 신호를 제2 전압 신호로 조정하는 단계 - 제2 전압 신호는 제1 전압 신호보다 작은 전압 크기를 가짐 -, 및 제2 재배선 구조물을 통해 제2 전압 신호를 라우팅하지 않고서 제1 재배선 구조물을 통해 제2 전압 신호를 제1 패키지의 디바이스 다이로 라우팅하는 단계를 더 포함한다. 실시예에서, 전압 조정기를 제1 재배선 구조물에 부착시키는 단계는 전압 조정기의 커넥터들을 제1 재배선 구조물의 대응하는 접촉 패드들에 접합시키는 단계를 포함한다.In one embodiment, a method includes attaching a voltage regulator to a first redistribution structure of a first package. A second redistribution structure is formed over the voltage regulator, and the voltage regulator is embedded within the second redistribution structure. The first substrate is attached to the second redistribution structure to form a second package including the first package. In an embodiment, the method further comprises forming an encapsulant over and around the first substrate, and singulating the second package, wherein the encapsulant is deposited on sidewalls of the first substrate after singulation. remains in In an embodiment, the method further comprises attaching the first substrate to the printed circuit board to form the first device. In an embodiment, the method further includes the voltage regulator being within a lateral extent of the first redistribution structure. In an embodiment, the method further comprises wherein a lateral extent of the second redistribution structure is greater than a lateral extent of the first substrate. In an embodiment, a method includes routing a first voltage signal to a voltage regulator through a second redistribution structure, adjusting the first voltage signal to a second voltage signal, wherein the second voltage signal is less than the first voltage signal having the voltage magnitude, and routing the second voltage signal through the first redistribution structure to the device die of the first package without routing the second voltage signal through the second redistribution structure. In an embodiment, attaching the voltage regulator to the first redistribution structure includes bonding connectors of the voltage regulator to corresponding contact pads of the first redistribution structure.

다른 실시예에서, 방법은 제1 전압을 구조물의 제1 재배선 구조물에 제공하는 단계를 포함한다. 제1 전압은 제1 재배선 구조물을 통해 전압 조정기에 제공된다. 전압 조정기는 제1 전압을 제2 전압으로 조정한다. 제2 전압은 전압 조정기로부터 제2 재배선 구조물을 통해 제1 디바이스 다이에 제공되며, 전압 조정기의 출력부는 제2 재배선 구조물에 직접 부착된다. 실시예에서, 방법은 제2 전압을 제2 디바이스 다이에 제공하는 단계를 더 포함하며, 제1 디바이스 다이는 시스템 다이에 대응하고 제2 디바이스 다이는 메모리 다이에 대응한다. 실시예에서, 방법은 전압 조정기의 출력부가 제1 디바이스 다이의 횡측 범위 내에 있는 것을 더 포함한다. 실시예에서, 방법은 전압 조정기가 출력부와는 반대편에 있는 후면을 가지며, 후면은 제1 재배선 구조물 내에 임베딩되는 것을 더 포함한다.In another embodiment, a method includes providing a first voltage to a first redistribution structure of the structure. The first voltage is provided to the voltage regulator through the first redistribution structure. The voltage regulator adjusts the first voltage to the second voltage. A second voltage is provided from the voltage regulator to the first device die through a second redistribution structure, and an output of the voltage regulator is directly attached to the second redistribution structure. In an embodiment, the method further comprises providing a second voltage to the second device die, wherein the first device die corresponds to the system die and the second device die corresponds to the memory die. In an embodiment, the method further comprises an output of the voltage regulator being within a lateral extent of the first device die. In an embodiment, the method further includes the voltage regulator having a back side opposite the output, the back side being embedded within the first redistribution structure.

또다른 실시예에서, 구조물은 기판 및 기판 위에 배치된 제1 재배선 구조물을 포함한다. 전압 조정기는 제1 재배선 구조물 위에 배치되며, 전압 조정기의 커넥터는 제1 재배선 구조물을 등지고 있다. 제2 재배선 구조물은 전압 조정기 위에 배치되고, 전압 조정기는 제2 재배선 구조물의 횡측 범위 내에 배치된다. 디바이스 다이는 제2 재배선 구조물 위에 배치되며, 제2 재배선 구조물은 전압 조정기의 출력부를 디바이스 다이의 입력부에 전기적으로 결합시킨다. 실시예에서, 구조물은 기판을 둘러싸는 제1 인캡슐런트를 더 포함한다. 실시예에서, 구조물은 기판의 횡측 범위가 제1 재배선 구조물의 횡측 범위보다 작은 것을 더 포함한다. 실시예에서, 구조물은 기판과 제1 재배선 구조물 사이에 개재된 복수의 커넥터들을 더 포함하고, 복수의 커넥터들은 기판을 제1 재배선 구조물에 결합시킨다. 실시예에서, 구조물은 전압 조정기와 제2 재배선 구조물 사이에 개재된 언더필을 더 포함한다. 실시예에서, 구조물은 전압 조정기가 제1 재배선 구조물 내에 임베딩된 것을 더 포함한다. 실시예에서, 구조물은 전압 조정기가 제1 재배선 구조물의 2개 이상의 층들 내에 임베딩된 것을 더 포함한다. 실시예에서, 구조물은 제1 재배선 구조물의 금속화 패턴이 비대칭인 것을 더 포함한다. 실시예에서, 구조물은 디바이스 다이 위에 배치된 패키지, 패키지와 디바이스 다이 사이에 개재된 제3 재배선 구조물, 및 제3 재배선 구조물을 제2 재배선 구조물에 결합시키는 하나 이상의 비아를 더 포함한다.In another embodiment, a structure includes a substrate and a first redistribution structure disposed over the substrate. The voltage regulator is disposed over the first redistribution structure, and the connector of the voltage regulator faces the first redistribution structure. The second redistribution structure is disposed over the voltage regulator, and the voltage regulator is disposed within a lateral extent of the second redistribution structure. The device die is disposed over a second redistribution structure, which electrically couples an output of the voltage regulator to an input of the device die. In an embodiment, the structure further comprises a first encapsulant surrounding the substrate. In an embodiment, the structure further comprises a lateral extent of the substrate less than a lateral extent of the first redistribution structure. In an embodiment, the structure further includes a plurality of connectors interposed between the substrate and the first redistribution structure, the plurality of connectors coupling the substrate to the first redistribution structure. In an embodiment, the structure further includes an underfill interposed between the voltage regulator and the second redistribution structure. In an embodiment, the structure further comprises a voltage regulator embedded within the first redistribution structure. In an embodiment, the structure further comprises a voltage regulator embedded within the two or more layers of the first redistribution structure. In an embodiment, the structure further comprises that the metallization pattern of the first redistribution structure is asymmetric. In an embodiment, the structure further includes a package disposed over the device die, a third redistribution structure interposed between the package and the device die, and one or more vias coupling the third redistribution structure to the second redistribution structure.

또다른 실시예에서, 방법은 제1 디바이스를 캐리어 기판에 부착시키는 단계를 포함한다. 제1 디바이스는 제1 인캡슐런트 내에 횡측으로 캡슐화되고, 제1 디바이스의 접촉 패드들은 제1 인캡슐런트로부터 노출된다. 접촉 패드들에 제2 디바이스가 부착된다. 제1 재배선 구조물은 제1 디바이스 및 제1 인캡슐런트 위에 형성되며, 제1 재배선 구조물은 제1 재배선 구조물의 하나 이상의 층 내에 제2 디바이스를 임베딩한다. 준비된 기판이 제공되어 제2 디바이스와는 반대편에 있는 제1 재배선 구조물에 부착된다. 실시예에서, 방법은 캐리어 기판을 제거하는 단계, 및 제2 패키지로부터 제1 패키지를 단품화하는 단계를 더 포함하며, 제1 패키지는 제1 디바이스와 준비된 기판을 포함하며, 준비된 기판의 치수는 단품화 전후에 동일하다. 실시예에서, 방법은 준비된 기판을 제2 인캡슐런트 내에 횡측으로 캡슐화하는 단계, 및 준비된 기판 위에 커넥터들을 형성하는 단계를 더 포함하며, 단품화 이후 제2 인캡슐런트는 준비된 기판의 측벽들을 덮는다. 실시예에서, 방법은 제2 디바이스가 제1 디바이스의 횡측 범위 내에 있는 것을 더 포함한다.In another embodiment, a method includes attaching a first device to a carrier substrate. A first device is laterally encapsulated within a first encapsulant, and contact pads of the first device are exposed from the first encapsulant. A second device is attached to the contact pads. A first redistribution structure is formed over the first device and the first encapsulant, the first redistribution structure embeds a second device within one or more layers of the first redistribution structure. A prepared substrate is provided and attached to the first redistribution structure opposite the second device. In an embodiment, the method further comprises removing the carrier substrate and singling the first package from the second package, the first package comprising the first device and the prepared substrate, wherein the dimensions of the prepared substrate are It is the same before and after singularization. In an embodiment, the method further comprises laterally encapsulating the prepared substrate in a second encapsulant, and forming connectors over the prepared substrate, wherein the second encapsulant covers sidewalls of the prepared substrate after singulation. . In an embodiment, the method further comprises the second device being within a lateral extent of the first device.

패키지의 디바이스 다이들 가까이에 임베디드 IVR을 통합시킴으로써, IVR의 출력부로부터 디바이스 다이들까지의 경로가 단축되어 IR 강하를 감소시키고 IVR로부터 디바이스 다이까지 보다 일관된 전압 출력을 제공할 수 있다. 임베디드 다이를 형성하고 임베디드 다이에 IVR을 부착함으로써, IVR은 패키지를 위한 재배선 구조물 내에 임베딩된다. IVR은 또한 2개의 상이한 재배선 구조물들 사이에 임베딩될 수 있는데, 하나는 임베딩된 디바이스 다이들을 갖는 패키지 컴포넌트를 위한 상호연결부이고, 다른 하나는 패키지 컴포넌트와 다른 디바이스들 또는 패키지들 간에 신호를 분배하기 위한 것이다. IVR은 또한, IVR의 조정된 전압 핀 출력부로부터 임베디드 디바이스 다이들의 조정된 전압 핀 입력부까지 짧은 신호를 제공하기 위해 임베디드 디바이스 다이들의 하나 이상의 조정된 전압 핀 입력부와 정렬될 수 있다. 반완성된 기판의 사용은 최종적인 디바이스에 대한 견고성을 제공하고, 비용을 낮추며, 신뢰성과 수율을 향상시킨다. 디바이스에 대한 빌드 공정은 지지 캐리어 상에서 진행하고, 그런 후, 나중에 부착되는 반완성된 기판으로 지지를 전달할 수 있다. 반완성된 기판들은 빌드 공정에서 디바이스들에 걸쳐 이격되어 있기 때문에, 최종적인 기판은 기판이 지지하는 재배선 구조물보다 실제로 좁다. 추가적인 기능을 제공하기 위해 다른 디바이스들 또는 패키지들이 또한 디바이스에 부착될 수 있다. 통합된 사용자 다이를 임베딩하고, IVR을 부착시키고, IVR 위에 재배선 구조물을 형성하고, 반완성된 기판을 부착시키는 공정은 원 스톱 샵(one-stop-shop)과 같은 공정 흐름에서 수행될 수 있어서, 생산 비용을 감소시킬 수 있다.By integrating the embedded IVR close to the device dies in the package, the path from the output of the IVR to the device dies can be shortened, reducing the IR drop and providing a more consistent voltage output from the IVR to the device die. By forming the embedded die and attaching the IVR to the embedded die, the IVR is embedded within the redistribution structure for the package. An IVR may also be embedded between two different redistribution structures, one for an interconnect for a package component with embedded device dies, and another for distributing signals between the package component and other devices or packages. it is for The IVR may also be aligned with one or more regulated voltage pin inputs of the embedded device dies to provide a short signal from the regulated voltage pin output of the IVR to the regulated voltage pin input of the embedded device dies. The use of semi-finished substrates provides robustness to the final device, lowers cost, and improves reliability and yield. The build process for the device may proceed on a support carrier, then transfer the support to a semi-finished substrate that is later attached. Because semi-finished substrates are spaced across the devices in the build process, the resulting substrate is actually narrower than the redistribution structure the substrate supports. Other devices or packages may also be attached to the device to provide additional functionality. The process of embedding the integrated user die, attaching the IVR, forming the redistribution structure on the IVR, and attaching the semi-finished substrate can be performed in a process flow such as a one-stop-shop. , can reduce production costs.

본 발명개시의 양태들은 첨부 도면들과 함께 읽혀질 때 아래의 상세한 설명으로부터 최상으로 이해된다. 본 산업계에서의 표준적인 관행에 따라, 다양한 피처들은 실척도로 작도되지 않았음을 유념한다. 실제로, 다양한 피처들의 치수는 설명의 명료함을 위해 임의적으로 증가되거나 또는 감소될 수 있다.
도 1은 일부 실시예들에 따른 집적 회로 다이의 단면도를 나타낸다.
도 2 내지 도 13은 일부 실시예들에 따른, 패키지 컴포넌트를 형성하기 위한 공정 동안의 중간 단계들의 단면도들을 나타낸다.
도 14 내지 도 22는 일부 실시예들에 따른, 임베디드 통합형 전압 조정기를 포함하는 패키지 컴포넌트를 형성하기 위한 공정 동안의 중간 단계들의 단면도들을 나타낸다.
도 23 내지 도 29는 일부 실시예들에 따른, 임베디드 통합형 전압 조정기를 포함하는 패키지 컴포넌트를 형성하기 위한 공정 동안의 중간 단계들의 단면도들을 나타낸다.
도 30 내지 도 31은 일부 실시예들에 따른, 임베디드 통합형 전압 조정기를 포함하는 패키지 컴포넌트를 형성하기 위한 공정 동안의 중간 단계들의 단면도들을 나타낸다.
도 32는 일부 실시예들에 따른, 디바이스 다이에 제공되는 전압을 조정하기 위한 공정 흐름을 나타내는 흐름도이다.
Aspects of the present disclosure are best understood from the following detailed description when read in conjunction with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, various features have not been drawn to scale. Indeed, the dimensions of various features may be arbitrarily increased or decreased for clarity of description.
1 illustrates a cross-sectional view of an integrated circuit die in accordance with some embodiments.
2-13 illustrate cross-sectional views of intermediate steps during a process for forming a package component, in accordance with some embodiments.
14-22 illustrate cross-sectional views of intermediate steps during a process for forming a package component including an embedded integrated voltage regulator, in accordance with some embodiments.
23-29 illustrate cross-sectional views of intermediate steps during a process for forming a package component including an embedded integrated voltage regulator, in accordance with some embodiments.
30-31 illustrate cross-sectional views of intermediate steps during a process for forming a package component including an embedded integrated voltage regulator, in accordance with some embodiments.
32 is a flow diagram illustrating a process flow for regulating a voltage provided to a device die, in accordance with some embodiments.

아래의 발명개시는 본 발명의 여러 특징들을 구현하는 많은 여러 실시예들 또는 예시들을 제공한다. 본 발명개시를 단순화하기 위해 컴포넌트 및 장치의 특정예들이 아래에서 설명된다. 물론, 이것들은 단지 예시들에 불과하며, 이것들로 한정시키고자 의도한 것은 아니다. 예를 들어, 이후의 상세설명에서 제2 피처 상에서의 또는 그 위에서의 제1 피처의 형성은 제1 및 제2 피처들이 직접적으로 접촉하여 형성되는 실시예들을 포함할 수 있으며, 또한 제1 및 제2 피처들이 직접적으로 접촉하지 않을 수 있도록 추가적인 피처들이 제1 및 제2 피처들 사이에서 형성될 수 있는 실시예들을 포함할 수 있다. 또한, 본 발명개시는 다양한 예시들에서 참조 숫자들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 간략화 및 명료화를 목적으로 한 것이며, 그러한 반복 자체는 개시된 다양한 실시예들 및/또는 구성들 간의 관계에 영향을 주는 것은 아니다.The following disclosure provides many different embodiments or examples of implementing various features of the invention. Specific examples of components and apparatus are described below to simplify the present disclosure. Of course, these are merely examples and are not intended to be limiting. For example, the formation of a first feature on or over a second feature in the following details may include embodiments in which the first and second features are formed in direct contact, and also the first and second features are formed in direct contact. It may include embodiments in which additional features may be formed between the first and second features such that the two features may not be in direct contact. Also, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity, and such repetition itself does not affect the relationship between the various embodiments and/or configurations disclosed.

또한, 도면들에서 도시된 하나의 엘리먼트 또는 피처에 대한 다른 엘리먼트(들) 또는 피처(들)의 관계를 설명하기 위해 "아래", "밑", "보다 낮은", "위", "보다 위" 등과 같은 공간 상대적 용어들이 설명의 용이성을 위해 여기서 이용될 수 있다. 공간 상대적 용어들은 도면들에서 도시된 배향에 더하여 이용중에 있거나 또는 동작중에 있는 디바이스의 상이한 배향들을 망라하도록 의도된 것이다. 장치는 이와달리 배향될 수 있고(90° 회전되거나 또는 다른 배향으로 회전됨), 이에 따라 여기서 이용되는 공간 상대적 기술어들이 이와 똑같이 해석될 수 있다.Also, "below", "below", "below", "above", "above" to describe the relationship of another element(s) or feature(s) to one element or feature shown in the drawings. Spatial relative terms such as " and the like may be used herein for ease of description. The spatially relative terms are intended to encompass different orientations of a device in use or in operation in addition to the orientation shown in the figures. The device may be otherwise oriented (rotated 90° or at other orientations), and thus the spatially relative descriptors used herein may be interpreted similarly.

일부 실시예들에 따르면, 임베디드 통합형 전압 조정기(integrated voltage regulator; IVR)는 임베디드 디바이스 다이에 고전류를 제공하기 위해 통합형 팬 아웃(integrated fan out; InFO) 패키지와 함께 사용하도록 제공된다. 전압 조정기를 임베딩함으로써, 전압 조정기의 출력부는 디바이스 다이에 물리적으로 더 가까울 수 있고, 따라서 전압 조정기와 디바이스 다이 간의 저항으로 인한 전압 강하(또는 "IR 강하")가 줄어드는 것을 겪을 것이다. 보다 첨단 기술 노드들에서는 반도체 기술들이 계속 축소되고 있어서, 반도체들은 공급 전압의 변동에 점점 더 민감해지고 있다. IVR을 디바이스 다이에 더 가깝게 배치하면 IVR의 출력부와 디바이스 다이의 전원 입력부 사이의 줄어든 IR 강하를 제공한다.In accordance with some embodiments, an embedded integrated voltage regulator (IVR) is provided for use with an integrated fan out (InFO) package to provide high current to an embedded device die. By embedding the voltage regulator, the output of the voltage regulator can be physically closer to the device die, and thus will experience less voltage drop (or "IR drop") due to resistance between the voltage regulator and the device die. Semiconductor technologies continue to shrink at more advanced technology nodes, making semiconductors increasingly sensitive to fluctuations in supply voltages. Placing the IVR closer to the device die provides reduced IR drop between the output of the IVR and the power input of the device die.

도 1은 일부 실시예들에 따른 집적 회로 다이(50)의 단면도를 나타낸다. 집적 회로 다이(50)는 집적 회로 패키지를 형성하기 위해 후속 처리에서 패키징될 것이다. 집적 회로 다이(50)는 로직 다이(예를 들어, CPU(central processing unit), GPU(graphics processing unit), SoC(system-on-a-chip), AP(application processor), 마이크로제어기 등), 메모리 다이 또는 메모리 다이들의 큐브(예를 들어, DRAM(dynamic random access memory) 다이, SRAM(static random access memory) 다이 등), 전력 관리 다이(예를 들어, PMIC(power management integrated circuit) 다이), RF(radio frequency) 다이, 센서 다이, MEMS(micro-electro-mechanical-system) 다이, 신호 처리 다이(예를 들어, DSP(digital signal processing) 다이), 프론트 엔드 다이(예를 들어, AFE(analog front-end) 다이들), 등, 또는 이들의 조합일 수 있다.1 illustrates a cross-sectional view of an integrated circuit die 50 in accordance with some embodiments. The integrated circuit die 50 will be packaged in a subsequent process to form an integrated circuit package. The integrated circuit die 50 may include a logic die (eg, a central processing unit (CPU), a graphics processing unit (GPU), a system-on-a-chip (SoC), an application processor (AP), a microcontroller, etc.); a memory die or cube of memory dies (eg, a dynamic random access memory (DRAM) die, a static random access memory (SRAM) die, etc.), a power management die (eg, a power management integrated circuit (PMIC) die), A radio frequency (RF) die, a sensor die, a micro-electro-mechanical-system (MEMS) die, a signal processing die (such as a digital signal processing (DSP) die), a front end die (such as an analog (AFE) die) front-end) dies), etc., or a combination thereof.

집적 회로 다이(50)는 웨이퍼 내에 형성될 수 있으며, 웨이퍼에는 복수의 집적 회로 다이들을 형성하기 위해 후속 단계들에서 단품화되는 상이한 디바이스 영역들이 포함될 수 있다. 집적 회로 다이(50)는 적용가능한 제조 공정들에 따라 처리되어 집적 회로를 형성할 수 있다. 예를 들어, 집적 회로 다이(50)는 도핑되거나 도핑되지 않은 실리콘과 같은 반도체 기판(52), 또는 SOI(semiconductor-on-insulator) 기판의 활성층을 포함한다. 반도체 기판(52)은 게르마늄과 같은 다른 반도체 물질들; 실리콘 탄화물, 갈륨 비소, 갈륨 인, 인듐 인, 인듐 비화물, 및/또는 인듐 안티몬을 비롯한 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP를 비롯한 합금 반도체; 또는 이들의 조합들을 포함할 수 있다. 다층 또는 구배 기판들과 같은, 다른 기판들이 또한 이용될 수 있다. 반도체 기판(52)은 때때로 전면이라고 칭해지는 활성면(예를 들어, 도 1에서 위를 바라보고 있는 표면) 및 때때로 후면이라고 칭해지는 비활성면(예를 들어, 도 1에서 아래를 바라보고 있는 표면)을 갖는다.The integrated circuit die 50 may be formed within a wafer, which may include different device regions that are singulated in subsequent steps to form a plurality of integrated circuit dies. The integrated circuit die 50 may be processed according to applicable manufacturing processes to form an integrated circuit. For example, the integrated circuit die 50 includes a semiconductor substrate 52, such as doped or undoped silicon, or an active layer of a semiconductor-on-insulator (SOI) substrate. The semiconductor substrate 52 may include other semiconductor materials such as germanium; compound semiconductors including silicon carbide, gallium arsenide, gallium phosphorus, indium phosphorus, indium arsenide, and/or indium antimony; alloy semiconductors including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. Other substrates may also be used, such as multilayer or gradient substrates. The semiconductor substrate 52 has an active side, sometimes referred to as the front side (eg, the up-facing surface in FIG. 1 ), and an inactive side, sometimes referred to as the back side (eg, the down-facing surface in FIG. 1 ). ) has

디바이스(54)는 반도체 기판(52)의 전면에 형성될 수 있다. 디바이스(54)는 능동 디바이스(예를 들어, 트랜지스터, 다이오드 등), 커패시터, 저항기 등일 수 있다. 층간 유전체(inter-layer dielectric; ILD)(56)는 반도체 기판(52)의 전면 위에 있다. ILD(56)는 디바이스(54)를 둘러싸고 이를 덮을 수 있다. ILD(56)는 PSG(Phospho-Silicate Glass), BSG(Boro-Silicate Glass), BPSG(Boron-Doped Phospho-Silicate Glass), USG(undoped Silicate Glass)와 같은 물질들로 형성된 하나 이상의 유전체층 등을 포함할 수 있다.Device 54 may be formed on the front surface of semiconductor substrate 52 . Device 54 may be an active device (eg, a transistor, diode, etc.), a capacitor, a resistor, or the like. An inter-layer dielectric (ILD) 56 overlies the front surface of the semiconductor substrate 52 . ILD 56 may surround and cover device 54 . The ILD 56 includes one or more dielectric layers formed of materials such as PSG (Phospho-Silicate Glass), BSG (Boro-Silicate Glass), BPSG (Boron-Doped Phospho-Silicate Glass), USG (undoped silicate glass), and the like. can do.

도전성 플러그(58)는 디바이스(54)와 전기적으로 그리고 물리적으로 결합되도록 ILD(56)를 관통하여 연장된다. 예를 들어, 디바이스(54)가 트랜지스터인 경우, 도전성 플러그(58)는 트랜지스터의 게이트 및 소스/드레인 영역들에 결합될 수 있다. 도전성 플러그(58)는 텅스텐, 코발트, 니켈, 구리, 은, 금, 알루미늄 등, 또는 이들의 조합으로 형성될 수 있다. 상호연결 구조물(60)이 ILD(56) 및 도전성 플러그(58) 위에 있다. 상호연결 구조물(60)은 디바이스(54)를 상호연결하여 집적 회로를 형성한다. 상호연결 구조물(60)은 예를 들어, ILD(56) 상의 유전체층 내의 금속화 패턴들에 의해 형성될 수 있다. 금속화 패턴은 유전체층(60B) 및 유전체층(60E)과 같은 하나 이상의 로우 k 유전체층들 내에 형성된, 금속 라인(60A) 및 금속 라인(60D)과 같은 금속 라인들과, 비아(60C) 및 비아(60F)와 같은 비아를 포함한다. 상호연결 구조물(60)의 금속화 패턴들은 도전성 플러그(58)에 의해 디바이스(54)에 전기적으로 결합된다.A conductive plug 58 extends through the ILD 56 to electrically and physically couple with the device 54 . For example, if device 54 is a transistor, conductive plug 58 may be coupled to the gate and source/drain regions of the transistor. The conductive plug 58 may be formed of tungsten, cobalt, nickel, copper, silver, gold, aluminum, or the like, or a combination thereof. An interconnect structure 60 is over the ILD 56 and the conductive plug 58 . The interconnect structure 60 interconnects the devices 54 to form an integrated circuit. Interconnect structure 60 may be formed by, for example, metallization patterns in a dielectric layer on ILD 56 . The metallization pattern includes metal lines, such as metal line 60A and metal line 60D, formed in one or more low k dielectric layers, such as dielectric layer 60B and dielectric layer 60E, via 60C and via 60F. ), including vias such as The metallization patterns of interconnect structure 60 are electrically coupled to device 54 by conductive plug 58 .

집적 회로 다이(50)는 알루미늄 패드와 같은 패드(62)를 더 포함하며, 이 패드에 대해 외부 연결이 이루어진다. 패드(62)는 집적 회로 다이(50)의 활성 측면 상에, 예컨대 상호연결 구조물(60) 내에 및/또는 상에 있다. 하나 이상의 패시베이션막(64)이 상호연결 구조물(60) 및 패드(62)의 일부분들과 같은 집적 회로 다이(50) 상에 있다. 개구가 패시베이션막(64)을 관통하여 패드(62)까지 연장된다. 도전성 필라(pillar)(예를 들어, 구리와 같은 금속으로 형성됨)와 같은 다이 커넥터(66)가 패시베이션막(64) 내의 개구를 관통하여 연장되고, 패드(62) 각각에 물리적으로 그리고 전기적으로 결합된다. 다이 커넥터(66)는, 예를 들어, 도금 등에 의해 형성될 수 있다. 다이 커넥터(66)는 집적 회로 다이(50)의 각각의 집적 회로들에 전기적으로 결합된다.The integrated circuit die 50 further includes pads 62, such as aluminum pads, to which external connections are made. Pads 62 are on the active side of integrated circuit die 50 , such as in and/or on interconnect structure 60 . One or more passivation films 64 are on the integrated circuit die 50 , such as portions of the interconnect structure 60 and pads 62 . An opening extends through the passivation film 64 to the pad 62 . A die connector 66 such as a conductive pillar (eg, formed of a metal such as copper) extends through the opening in the passivation film 64 and is physically and electrically coupled to each of the pads 62 . do. The die connector 66 may be formed, for example, by plating or the like. Die connector 66 is electrically coupled to respective integrated circuits of integrated circuit die 50 .

선택적으로, 솔더 영역(예를 들어, 솔더 볼 또는 솔더 범프)이 패드(62) 상에 배치될 수 있다. 솔더 볼은 집적 회로 다이(50)에 대한 칩 프로브(chip probe; CP) 테스트를 수행하는데 사용될 수 있다. 집적 회로 다이(50)가 알려진 양품 다이(known good die; KGD)인지 여부를 확인하기 위해 집적 회로 다이(50)에 대해 CP 테스트가 수행될 수 있다. 따라서, KGD인 집적 회로 다이(50)만이 후속 처리되고 패키징되며, CP 테스트에 실패한 다이들은 패키징되지 않는다. 테스트 후, 솔더 영역은 후속 처리 단계들에서 제거될 수 있다.Optionally, a solder region (eg, a solder ball or solder bump) may be disposed on the pad 62 . The solder balls may be used to perform chip probe (CP) tests on the integrated circuit die 50 . A CP test may be performed on the integrated circuit die 50 to determine whether the integrated circuit die 50 is a known good die (KGD). Accordingly, only the integrated circuit die 50 that is KGD is post-processed and packaged, and dies that fail the CP test are not packaged. After testing, the solder region may be removed in subsequent processing steps.

유전체층(68)이 패시베이션막(64) 및 다이 커넥터(66) 상에서와 같이, 집적 회로 다이(50)의 활성면 상에 있을 수 있다(또는 그렇지 않을 수 있다). 유전체층(68)은 다이 커넥터(66)를 횡측으로 캡슐화하고, 유전체층(68)은 집적 회로 다이(50)와 횡측으로 동일한 경계를 갖는다. 초기에, 유전체층(68)의 최상면이 다이 커넥터(66)의 최상면 위에 있도록, 유전체층(68)은 다이 커넥터(66)를 매립할 수 있다. 솔더 영역이 다이 커넥터(66) 상에 배치되는 일부 실시예들에서, 유전체층(68)은 솔더 영역도 매립할 수 있다. 대안적으로, 솔더 영역은 유전체층(68)을 형성하기 전에 제거될 수 있다. Dielectric layer 68 may (or may not) be on the active side of integrated circuit die 50 , such as over passivation film 64 and die connector 66 . Dielectric layer 68 laterally encapsulates die connector 66 , and dielectric layer 68 laterally coextensive with integrated circuit die 50 . The dielectric layer 68 may initially bury the die connector 66 such that the top surface of the dielectric layer 68 is above the top surface of the die connector 66 . In some embodiments where the solder region is disposed on the die connector 66 , the dielectric layer 68 may also fill the solder region. Alternatively, the solder regions may be removed prior to forming the dielectric layer 68 .

유전체층(68)은 PBO(polybenzoxazole), 폴리이미드, BCB(benzocyclobutene) 등과 같은 폴리머; 실리콘 질화물 등과 같은 질화물; 실리콘 산화물, PSG, BSG, BPSG 등과 같은 산화물; 등, 또는 이들의 조합일 수 있다. 유전체층(68)은 예를 들어, 스핀 코팅, 라미네이션, 화학적 기상 증착(chemical vapor deposition; CVD) 등에 의해 형성될 수 있다. 일부 실시예들에서, 다이 커넥터(66)는 집적 회로 다이(50)의 형성 동안 유전체층(68)을 통해 노출된다. 일부 실시예들에서, 다이 커넥터(66)는 매립된 상태로 유지되고 집적 회로 다이(50)를 패키징하기 위한 후속 공정 동안 노출된다. 다이 커넥터(66)를 노출시키는 것은 다이 커넥터(66) 상에 존재할 수 있는 임의의 솔더 영역을 제거할 수 있다.The dielectric layer 68 may include a polymer such as polybenzoxazole (PBO), polyimide, or benzocyclobutene (BCB); nitrides such as silicon nitride and the like; oxides such as silicon oxide, PSG, BSG, BPSG, and the like; etc., or a combination thereof. The dielectric layer 68 may be formed by, for example, spin coating, lamination, chemical vapor deposition (CVD), or the like. In some embodiments, die connector 66 is exposed through dielectric layer 68 during formation of integrated circuit die 50 . In some embodiments, the die connector 66 remains buried and is exposed during subsequent processing for packaging the integrated circuit die 50 . Exposing the die connector 66 may remove any solder areas that may be present on the die connector 66 .

일부 실시예들에서, 집적 회로 다이(50)는 복수의 반도체 기판(52)을 포함하는 적층형 디바이스이다. 예를 들어, 집적 회로 다이(50)는 복수의 메모리 다이를 포함하는 하이브리드 메모리 큐브(hybrid memory cube; HMC) 모듈, 고대역폭 메모리(high bandwidth memory; HBM) 모듈 등과 같은 메모리 디바이스일 수 있다. 이러한 실시예들에서, 집적 회로 다이(50)는 기판 관통 비아(through-substrate via; TSV)에 의해 상호연결된 복수의 반도체 기판(52)을 포함한다. 각각의 반도체 기판(52)은 상호연결 구조물(60)을 가질 수 있다(또는 갖지 않을 수 있다).In some embodiments, the integrated circuit die 50 is a stacked device including a plurality of semiconductor substrates 52 . For example, the integrated circuit die 50 may be a memory device, such as a hybrid memory cube (HMC) module, a high bandwidth memory (HBM) module, etc. including a plurality of memory dies. In such embodiments, the integrated circuit die 50 includes a plurality of semiconductor substrates 52 interconnected by through-substrate vias (TSVs). Each semiconductor substrate 52 may (or may not) have an interconnect structure 60 .

도 2 내지 도 13은 일부 실시예들에 따른, 제1 패키지 컴포넌트(100)를 형성하기 위한 공정 동안의 중간 단계들의 단면도들을 나타낸다. 제1 패키지 영역(100A) 및 제2 패키지 영역(100B)이 도시되어 있고, 하나 이상의 집적 회로 다이(50)가 패키징되어, 각각의 패키지 영역들(100A, 100B) 내에서 집적 회로 패키지를 형성한다. 집적 회로 패키지들을 통합형 팬 아웃(InFO) 패키지라고도 칭할 수 있다.2-13 illustrate cross-sectional views of intermediate steps during a process for forming the first package component 100 , in accordance with some embodiments. A first package region 100A and a second package region 100B are shown, and one or more integrated circuit dies 50 are packaged to form an integrated circuit package within respective package regions 100A, 100B. . Integrated circuit packages may also be referred to as integrated fan out (InFO) packages.

도 2에서, 캐리어 기판(102)이 제공되고, 박리층(104)이 캐리어 기판(102) 상에 형성된다. 캐리어 기판(102)은 유리 캐리어 기판, 세라믹 캐리어 기판 등일 수 있다. 캐리어 기판(102)은 웨이퍼일 수 있으며, 복수의 패키지들이 캐리어 기판(102) 상에서 동시에 형성될 수 있도록 한다.In FIG. 2 , a carrier substrate 102 is provided, and a release layer 104 is formed on the carrier substrate 102 . The carrier substrate 102 may be a glass carrier substrate, a ceramic carrier substrate, or the like. The carrier substrate 102 may be a wafer, allowing a plurality of packages to be simultaneously formed on the carrier substrate 102 .

박리층(104)은 폴리머계 물질로 형성될 수 있으며, 후속 단계들에서 형성될 위에 있는 구조물들로부터 캐리어 기판(102)과 함께 제거될 수 있다. 일부 실시예들에서, 박리층(104)은 LTHC(Light-to-Heat-Conversion) 박리 코팅과 같이, 가열될 때 자신의 접착 특성을 잃어버리는 에폭시계 열 박리(epoxy-based thermal-release) 물질이다. 다른 실시예들에서, 박리층(104)은 자외선(UV) 광에 노출될 때 자신의 접착 특성을 잃어버리는 UV 아교일 수 있다. 박리층(104)은 액체로서 디스펜싱(dispense)되고 경화될 수 있거나, 캐리어 기판(102) 상에 적층된 라미네이트막일 수 있거나, 이와 유사한 것일 수 있다. 박리층(104)의 최상면은 평탄화될 수 있고 고도의 평탄도를 가질 수 있다.The release layer 104 may be formed of a polymer-based material and may be removed along with the carrier substrate 102 from the overlying structures to be formed in subsequent steps. In some embodiments, release layer 104 is an epoxy-based thermal-release material that loses its adhesive properties when heated, such as a Light-to-Heat-Conversion (LTHC) release coating. am. In other embodiments, release layer 104 may be a UV glue that loses its adhesive properties when exposed to ultraviolet (UV) light. Release layer 104 may be dispensed as a liquid and cured, may be a laminate film laminated on carrier substrate 102 , or the like. The top surface of the exfoliation layer 104 may be planarized and may have a high degree of flatness.

도 3에서, 일부 실시예들에서, 후면 재배선 구조물(106)이 박리층(104) 상에 형성될 수 있다. 도시된 실시예에서, 후면 재배선 구조물(106)은 유전체층(108), 금속화 패턴(110)(때때로 재배선층 또는 재배선 라인이라고 칭함), 및 유전체층(112)을 포함한다. 후면 재배선 구조물(106)은 선택적이다. 일부 실시예들에서, 금속화 패턴이 없는 유전체층이 후면 재배선 구조물(106) 대신에 박리층(104) 상에 형성된다.3 , in some embodiments, a back redistribution structure 106 may be formed on the exfoliation layer 104 . In the illustrated embodiment, the backside redistribution structure 106 includes a dielectric layer 108 , a metallization pattern 110 (sometimes referred to as a redistribution layer or redistribution line), and a dielectric layer 112 . The rear redistribution structure 106 is optional. In some embodiments, a dielectric layer without a metallization pattern is formed on the exfoliation layer 104 instead of the backside redistribution structure 106 .

유전체층(108)은 박리층(104) 상에 형성될 수 있다. 유전체층(108)의 바닥면은 박리층(104)의 최상면과 접촉해 있을 수 있다. 일부 실시예들에서, 유전체층(108)은 PBO(polybenzoxazole), 폴리이미드, BCB(benzocyclobutene) 등과 같은 폴리머로 형성된다. 다른 실시예들에서, 유전체층(108)은 실리콘 질화물과 같은 질화물; 실리콘 산화물, PSG(phosphosilicate glass), BSG(borosilicate glass), BPSG(boron-doped phosphosilicate glass) 등과 같은 산화물; 등으로 형성된다. 유전체층(108)은 스핀 코팅, CVD, 라미네이팅 등, 또는 이들의 조합과 같은 임의의 허용가능한 퇴적 공정에 의해 형성될 수 있다.A dielectric layer 108 may be formed on the exfoliation layer 104 . A bottom surface of the dielectric layer 108 may be in contact with a top surface of the exfoliation layer 104 . In some embodiments, dielectric layer 108 is formed of a polymer such as polybenzoxazole (PBO), polyimide, benzocyclobutene (BCB), or the like. In other embodiments, the dielectric layer 108 may include a nitride such as silicon nitride; oxides such as silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), and the like; formed by, etc. The dielectric layer 108 may be formed by any acceptable deposition process, such as spin coating, CVD, laminating, or the like, or a combination thereof.

금속화 패턴(110)이 유전체층(108) 상에 형성될 수 있다. 금속화 패턴(110)을 형성하는 예시로서, 시드층이 유전체층(108) 위에 형성된다. 일부 실시예들에서, 시드층은 상이한 물질들로 형성된 복수의 서브층들을 포함한 복합층 또는 단일층일 수 있는 금속층이다. 일부 실시예들에서, 시드층은 티타늄층과, 티타늄층 위의 구리층을 포함한다. 시드층은 예컨대, 물리적 기상 증착(physical vapor deposition; PVD) 등을 사용하여 형성될 수 있다. 그 후, 시드층 상에 포토레지스트가 형성되고 패터닝된다. 포토레지스트는 스핀 코팅 등에 의해 형성될 수 있고, 패터닝을 위해 광에 노광될 수 있다. 포토레지스트의 패턴은 금속화 패턴(110)에 대응한다. 패터닝은 시드층을 노출시키는 개구들을 포토레지스트를 통해 형성한다. 도전성 물질이 시드층의 노출된 부분들 상과 포토레지스트의 개구들 내에 형성된다. 도전성 물질은 전기도금 또는 무전해 도금 등과 같은, 도금에 의해 형성될 수 있다. 도전성 물질은 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 그런 후, 도전성 물질이 형성되어 있지 않은 시드층의 일부분들 및 포토레지스트가 제거된다. 포토레지스트는 산소 플라즈마 등을 이용하는 것과 같이, 수용가능한 애싱(ashing) 또는 스트리핑(stripping) 공정에 의해 제거될 수 있다. 포토레지스트가 제거되면, Ÿ‡ 에칭(wet etching) 또는 드라이 에칭(dry etching)과 같은 수용가능한 에칭 공정을 이용하여, 시드층의 노출된 부분들은 제거된다. 도전성 물질과 시드층의 잔존 부분들은 금속화 패턴(110)을 형성한다.A metallization pattern 110 may be formed on the dielectric layer 108 . As an example of forming the metallization pattern 110 , a seed layer is formed over the dielectric layer 108 . In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer comprising a plurality of sub-layers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, physical vapor deposition (PVD) or the like. A photoresist is then formed and patterned on the seed layer. The photoresist may be formed by spin coating or the like, and may be exposed to light for patterning. The pattern of the photoresist corresponds to the metallization pattern 110 . The patterning forms openings through the photoresist exposing the seed layer. A conductive material is formed over the exposed portions of the seed layer and in the openings of the photoresist. The conductive material may be formed by plating, such as electroplating or electroless plating. The conductive material may include a metal such as copper, titanium, tungsten, aluminum, or the like. Then, portions of the seed layer on which the conductive material is not formed and the photoresist are removed. The photoresist may be removed by an acceptable ashing or stripping process, such as using an oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer are removed using an acceptable etching process, such as a Ÿ‡ etch or dry etching. The conductive material and the remaining portions of the seed layer form the metallization pattern 110 .

유전체층(112)은 금속화 패턴(110) 및 유전체층(108) 상에 형성될 수 있다. 일부 실시예들에서, 유전체층(112)은 리소그래피 마스크를 사용하여 패터닝될 수 있는 PBO, 폴리이미드, BCB 등과 같은 감광성 물질일 수 있는 폴리머로 형성된다. 다른 실시예들에서, 유전체층(112)은 실리콘 질화물과 같은 질화물; 실리콘 산화물, PSG, BSG, BPSG 등과 같은 산화물로 형성된다. 유전체층(112)은 스핀 코팅, 라미네이션, CVD 등, 또는 이들의 조합에 의해 형성될 수 있다. 그런 후, 금속화 패턴(110)의 일부분들을 노출시키는 개구(114)를 형성하도록 유전체층(112)이 패터닝된다. 패터닝은, 유전체층(112)이 감광성 물질인 경우 유전체층(112)을 광에 노출시키는 것, 또는, 예컨대 이방성 에칭을 사용하여 에칭하는 것과 같은, 허용가능한 공정에 의해 형성될 수 있다. 유전체층(112)이 감광성 물질인 경우, 노광 후 유전체층(112)은 현상될 수 있다.The dielectric layer 112 may be formed on the metallization pattern 110 and the dielectric layer 108 . In some embodiments, dielectric layer 112 is formed of a polymer, which may be a photosensitive material such as PBO, polyimide, BCB, or the like, which may be patterned using a lithographic mask. In other embodiments, dielectric layer 112 may include a nitride such as silicon nitride; It is formed of oxides such as silicon oxide, PSG, BSG, BPSG, and the like. The dielectric layer 112 may be formed by spin coating, lamination, CVD, or the like, or a combination thereof. The dielectric layer 112 is then patterned to form an opening 114 exposing portions of the metallization pattern 110 . The patterning may be formed by any acceptable process, such as exposing the dielectric layer 112 to light if the dielectric layer 112 is a photosensitive material, or etching using, for example, anisotropic etching. When the dielectric layer 112 is a photosensitive material, the dielectric layer 112 may be developed after exposure.

후면 재배선 구조물(106)은 임의의 개수의 유전체층들 및 금속화 패턴들을 포함할 수 있음을 이해해야 한다. 더 많은 유전체층들 및 금속화 패턴들이 형성된다면, 위에서 논의된 단계들 및 공정들이 반복될 수 있다. 금속화 패턴은 도전성 라인들 및 도전성 비아들을 포함할 수 있다. 도전성 비아들은 아래에 있는 유전체층의 개구 내에 시드층 및 금속화 패턴의 도전성 물질을 형성함으로써 금속화 패턴의 형성 동안 형성될 수 있다. 따라서, 도전성 비아들은 다양한 도전성 라인들을 서로 연결시키고 전기적으로 결합시킬 수 있다.It should be understood that the backside redistribution structure 106 may include any number of dielectric layers and metallization patterns. If more dielectric layers and metallization patterns are formed, the steps and processes discussed above may be repeated. The metallization pattern may include conductive lines and conductive vias. Conductive vias may be formed during formation of the metallization pattern by forming the conductive material of the seed layer and the metallization pattern in the opening of the underlying dielectric layer. Accordingly, the conductive vias may connect and electrically couple various conductive lines to each other.

도 4에서, 후면 재배선 구조물(106)을 사용하는 실시예들에서, 후면 재배선 구조물(106)의 최상위 유전체층(예를 들어, 유전체층(112))을 등진 방향으로 연장되는 관통 비아(116)가 개구(114) 내에 형성될 수 있다. 관통 비아(116)를 형성하기 위한 예시로서, 시드층(도시되지 않음)이 후면 재배선 구조물(106) 위에, 예를 들어, 개구(114)에 의해 노출된 유전체층(112) 및 금속화 패턴(110)의 일부분들 상에 형성된다. 일부 실시예들에서, 시드층은 상이한 물질들로 형성된 복수의 서브층들을 포함한 복합층 또는 단일층일 수 있는 금속층이다. 특정 실시예에서, 시드층은 티타늄층과, 티타늄층 위의 구리층을 포함한다. 시드층은 예컨대, PVD 등을 사용하여 형성될 수 있다. 그런 후, 시드층 상에 포토레지스트가 형성되고 패터닝된다. 포토레지스트는 스핀 코팅 등에 의해 형성될 수 있고, 패터닝을 위해 광에 노광될 수 있다. 포토레지스트의 패턴은 도전성 비아들에 대응한다. 패터닝은 시드층을 노출시키는 개구들을 포토레지스트를 통해 형성한다. 도전성 물질이 시드층의 노출된 부분들 상과 포토레지스트의 개구들 내에 형성된다. 도전성 물질은 전기도금 또는 무전해 도금 등과 같은, 도금에 의해 형성될 수 있다. 도전성 물질은 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 도전성 물질이 형성되어 있지 않은 시드층의 일부분들 및 포토레지스트가 제거된다. 포토레지스트는 산소 플라즈마 등을 사용하는 것과 같이, 수용가능한 애싱(ashing) 또는 스트리핑(stripping) 공정에 의해 제거될 수 있다. 포토레지스트가 제거되면, Ÿ‡ 에칭(wet etching) 또는 드라이 에칭(dry etching)과 같은 수용가능한 에칭 공정을 이용하여, 시드층의 노출된 부분들은 제거된다. 도전성 물질과 시드층의 잔존 부분들은 관통 비아(116)를 형성한다.In FIG. 4 , in embodiments using the backside redistribution structure 106 , through vias 116 extending in a direction away from the uppermost dielectric layer (eg, dielectric layer 112 ) of the backside redistribution structure 106 . may be formed in the opening 114 . As an example for forming the through vias 116 , a seed layer (not shown) is over the backside redistribution structure 106 , for example, with a dielectric layer 112 exposed by an opening 114 and a metallization pattern ( 110). In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer comprising a plurality of sub-layers formed of different materials. In a particular embodiment, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, PVD or the like. Then, a photoresist is formed on the seed layer and patterned. The photoresist may be formed by spin coating or the like, and may be exposed to light for patterning. The pattern of photoresist corresponds to the conductive vias. The patterning forms openings through the photoresist exposing the seed layer. A conductive material is formed over the exposed portions of the seed layer and in the openings of the photoresist. The conductive material may be formed by plating, such as electroplating or electroless plating. The conductive material may include a metal such as copper, titanium, tungsten, aluminum, or the like. Portions of the seed layer on which the conductive material is not formed and the photoresist are removed. The photoresist may be removed by an acceptable ashing or stripping process, such as using an oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer are removed using an acceptable etching process, such as a Ÿ‡ etch or dry etching. The conductive material and remaining portions of the seed layer form a through via 116 .

도 5에서, 집적 회로 다이(50)는 접착제(118)에 의해 유전체층(112)에 접착된다. 원하는 유형 및 수량의 집적 회로 다이(50)가 각각의 패키지 영역들(100A, 100B) 내에 부착된다. 도시된 실시예에서, 제1 집적 회로 다이(50A)와 제2 집적 회로 다이(50B)를 비롯하여, 복수의 집적 회로 다이(50)들이 서로 인접하여 접착되되, 추가적인 집적 회로 다이(50)들이 원하는 바대로 포함될 수 있다. 제1 집적 회로 다이(50A)는 CPU(central processing unit), GPU(graphics processing unit), SoC(system-on-a-chip), 마이크로제어기 등과 같은 로직 디바이스일 수 있다. 제2 집적 회로 다이(50B)는 DRAM(dynamic random access memory) 다이, SRAM(static random access memory) 다이, HMC(hybrid memory cube) 모듈, HBM(high bandwidth memory) 모듈 등과 같은 메모리 디바이스일 수 있다. 일부 실시예들에서, 집적 회로 다이들(50A, 50B)은 SoC 다이와 같은 동일한 유형의 다이들일 수 있다. 제1 집적 회로 다이(50A) 및 제2 집적 회로 다이(50B)는 동일한 기술 노드의 공정들에서 형성될 수 있거나, 또는 상이한 기술 노드들의 공정들에서 형성될 수 있다. 예를 들어, 제1 집적 회로 다이(50A)는 제2 집적 회로 다이(50B)보다 더 진보된 공정 노드로 형성될 수 있다. 집적 회로 다이들(50A, 50B)은 상이한 크기(예를 들어, 상이한 높이 및/또는 표면적)를 가질 수 있거나, 또는 동일한 크기(예를 들어, 동일한 높이 및/또는 표면적)를 가질 수 있다. 패키지 영역들(100A, 100B) 내의 관통 비아(116)를 위해 이용가능한 공간은 특히, 집적 회로 다이들(50A, 50B)이 SoC와 같은, 대형 풋프린트를 갖는 디바이스들을 포함할 때 제한적일 수 있다. 후면 재배선 구조물(106)의 사용은 패키지 영역들(100A, 100B)이 관통 비아(116)를 위해 이용가능한 제한된 공간을 가질 때 개선된 상호연결 구성을 가능하게 한다.In FIG. 5 , integrated circuit die 50 is adhered to dielectric layer 112 by adhesive 118 . A desired type and quantity of integrated circuit die 50 is attached within each of the package regions 100A, 100B. In the illustrated embodiment, a plurality of integrated circuit dies 50 are adhered adjacent to each other, including a first integrated circuit die 50A and a second integrated circuit die 50B, wherein additional integrated circuit dies 50 are desired. It can be included as a bar. The first integrated circuit die 50A may be a logic device such as a central processing unit (CPU), a graphics processing unit (GPU), a system-on-a-chip (SoC), a microcontroller, or the like. The second integrated circuit die 50B may be a memory device such as a dynamic random access memory (DRAM) die, a static random access memory (SRAM) die, a hybrid memory cube (HMC) module, a high bandwidth memory (HBM) module, or the like. In some embodiments, the integrated circuit dies 50A, 50B may be the same type of dies as the SoC die. The first integrated circuit die 50A and the second integrated circuit die 50B may be formed in processes of the same technology node, or may be formed in processes of different technology nodes. For example, the first integrated circuit die 50A may be formed with a more advanced process node than the second integrated circuit die 50B. The integrated circuit dies 50A, 50B may have different sizes (eg, different heights and/or surface areas), or may have the same dimensions (eg, the same height and/or surface areas). The space available for through via 116 in package regions 100A, 100B may be limited, particularly when integrated circuit dies 50A, 50B include devices with large footprints, such as SoCs. The use of the backside redistribution structure 106 enables an improved interconnect configuration when the package regions 100A, 100B have limited space available for the through via 116 .

접착제(118)는 집적 회로 다이들(50A, 50B)의 후면 상에 있고, 집적 회로 다이들(50A, 50B)을 유전체층(112)과 같은 후면 재배선 구조물(106)에 부착시킨다. 접착제(118)는 임의의 적절한 접착제, 에폭시, 다이 부착막(die attach film; DAF) 등일 수 있다. 접착제(118)는 집적 회로 다이들(50A, 50B)의 후면에 도포될 수 있거나, 또는 캐리어 기판(102)의 표면 위에 도포될 수 있다. 예를 들어, 접착제(118)는 집적 회로 다이들(50A, 50B)을 분리시키는 단품화 이전에 집적 회로 다이들(50A, 50B)의 후면에 도포될 수 있다.Adhesive 118 is on the backside of the integrated circuit dies 50A, 50B and attaches the integrated circuit dies 50A, 50B to a backside redistribution structure 106 , such as dielectric layer 112 . Adhesive 118 may be any suitable adhesive, epoxy, die attach film (DAF), or the like. Adhesive 118 may be applied to the backside of integrated circuit dies 50A, 50B, or may be applied over the surface of carrier substrate 102 . For example, adhesive 118 may be applied to the backside of integrated circuit dies 50A, 50B prior to singulation that separates integrated circuit dies 50A, 50B.

도 6에서, 인캡슐런트(142)가 다양한 컴포넌트들 상에 그리고 그 주위에 형성된다. 형성 이후, 인캡슐런트(142)는 관통 비아(116) 및 집적 회로 다이들(50A, 50B)을 캡슐화한다. 인캡슐런트(142)는 몰딩 화합물, 에폭시 등일 수 있다. 인캡슐런트(142)는 압축 몰딩, 전사 몰딩 등에 의해 도포될 수 있고, 관통 비아(116) 및/또는 집적 회로 다이들(50A, 50B)이 매립되거나 덮히도록 캐리어 기판(102) 위에 형성될 수 있다. 인캡슐런트(142)는 집적 회로 다이(50)들 사이의 갭 영역들 내에 추가로 형성된다. 인캡슐런트(142)는 액체 또는 반액체 형태로 도포되고, 그런 후 경화될 수 있다.6 , an encapsulant 142 is formed on and around the various components. After formation, encapsulant 142 encapsulates through via 116 and integrated circuit dies 50A, 50B. The encapsulant 142 may be a molding compound, epoxy, or the like. The encapsulant 142 may be applied by compression molding, transfer molding, etc., and may be formed over the carrier substrate 102 such that the through via 116 and/or the integrated circuit dies 50A, 50B are buried or covered. have. An encapsulant 142 is further formed in gap regions between the integrated circuit dies 50 . The encapsulant 142 may be applied in liquid or semi-liquid form and then cured.

도 7에서, 관통 비아(116) 및 다이 커넥터(66)를 노출시키기 위해 인캡슐런트(142)에 대해 평탄화 공정이 수행된다. 평탄화 공정은 또한, 다이 커넥터(66) 및 관통 비아(116)가 노출될 때까지 관통 비아(116), 유전체층(68), 및/또는 다이 커넥터(66)의 물질을 제거할 수 있다. 관통 비아(116), 다이 커넥터(66), 유전체층(68), 및 인캡슐런트(142)의 최상면은 평탄화 공정 후에 동일 평면 상에 있다. 평탄화 공정은, 예를 들어, CMP(chemical-mechanical polish), 그라인딩 공정 등일 수 있다. 일부 실시예들에서, 평탄화는, 예를 들어, 관통 비아(116) 및/또는 다이 커넥터(66)가 이미 노출된 경우, 생략될 수 있다.In FIG. 7 , a planarization process is performed on encapsulant 142 to expose through vias 116 and die connector 66 . The planarization process may also remove material from the through via 116 , the dielectric layer 68 , and/or the die connector 66 until the die connector 66 and the through via 116 are exposed. The top surfaces of through via 116 , die connector 66 , dielectric layer 68 , and encapsulant 142 are coplanar after the planarization process. The planarization process may be, for example, chemical-mechanical polish (CMP), a grinding process, or the like. In some embodiments, planarization may be omitted, for example, if through via 116 and/or die connector 66 are already exposed.

도 8 내지 도 11에서, 전면 재배선 구조물(122)(도 11 참조)이 인캡슐런트(142), 관통 비아(116), 및 집적 회로 다이들(50A, 50B) 위에 형성된다. 전면 재배선 구조물(122)은 유전체층들(124, 128, 132, 136); 및 금속화 패턴들(126, 130, 134)을 포함한다. 금속화 패턴은 또한 재배선층 또는 재배선 라인이라고도 칭해질 수 있다. 전면 재배선 구조물(122)은 3개의 층들의 금속화 패턴들을 갖는 예시로서 도시되어 있다. 전면 재배선 구조물(122) 내에는 더 많거나 더 적은 수의 유전체층들 및 금속화 패턴들이 형성될 수 있다. 더 적은 수의 유전체층들 및 금속화 패턴들이 형성된다면, 아래에서 논의되는 단계들 및 공정은 생략될 수 있다. 더 많은 수의 유전체층들 및 금속화 패턴들이 형성된다면, 아래에서 논의된 단계들 및 공정들이 반복될 수 있다.8-11 , a front redistribution structure 122 (see FIG. 11 ) is formed over the encapsulant 142 , the through via 116 , and the integrated circuit dies 50A, 50B. The front redistribution structure 122 includes dielectric layers 124 , 128 , 132 , 136 ; and metallization patterns 126 , 130 , 134 . The metallization pattern may also be referred to as a redistribution layer or a redistribution line. The front redistribution structure 122 is shown as an example with three layers of metallization patterns. More or fewer dielectric layers and metallization patterns may be formed in the front redistribution structure 122 . If fewer dielectric layers and metallization patterns are formed, the steps and processes discussed below may be omitted. If a greater number of dielectric layers and metallization patterns are formed, the steps and processes discussed below may be repeated.

도 8에서, 유전체층(124)은 인캡슐런트(142), 관통 비아(116), 및 다이 커넥터(66) 상에 퇴적된다. 일부 실시예들에서, 유전체층(124)은 리소그래피 마스크를 사용하여 패터닝될 수 있는 PBO, 폴리이미드, BCB 등과 같은 감광성 물질로 형성된다. 유전체층(124)은 스핀 코팅, 라미네이션, CVD 등, 또는 이들의 조합에 의해 형성될 수 있다. 이어서, 유전체층(124)은 패터닝된다. 패터닝은 관통 비아(116) 및 다이 커넥터(66)의 일부분들을 노출시키는 개구를 형성한다. 패터닝은, 유전체층(124)이 감광성 물질인 경우 유전체층(124)을 광에 노출시키는 것, 또는, 예컨대 이방성 에칭을 사용하여 에칭하는 것과 같은, 허용가능한 공정에 의해 형성될 수 있다. 유전체층(124)이 감광성 물질인 경우, 노광 후 유전체층(112)은 현상될 수 있다.In FIG. 8 , a dielectric layer 124 is deposited over encapsulant 142 , through vias 116 , and die connector 66 . In some embodiments, dielectric layer 124 is formed of a photosensitive material, such as PBO, polyimide, BCB, or the like, that can be patterned using a lithographic mask. The dielectric layer 124 may be formed by spin coating, lamination, CVD, or the like, or a combination thereof. The dielectric layer 124 is then patterned. The patterning forms openings exposing portions of the through vias 116 and die connector 66 . The patterning may be formed by any acceptable process, such as exposing the dielectric layer 124 to light if the dielectric layer 124 is a photosensitive material, or etching using, for example, anisotropic etching. When the dielectric layer 124 is a photosensitive material, the dielectric layer 112 may be developed after exposure.

그런 후, 금속화 패턴(126)이 형성된다. 금속화 패턴(126)은 유전체층(124)의 주 표면 상에서 주 표면을 따라 연장되는 라인 부분들(도전성 라인이라고도 칭함)을 포함한다. 금속화 패턴(126)은 관통 비아(116) 및 집적 회로 다이(50)와 물리적으로 그리고 전기적으로 결합되도록 유전체층(124)을 관통하여 연장되는 비아 부분들(도전성 비아라고도 칭함)을 더 포함한다. 금속화 패턴(126)을 형성하기 위한 예시로서, 시드층이 유전체층(124) 위에 그리고 유전체층(124)을 관통하여 연장되는 개구 내에 형성된다. 일부 실시예들에서, 시드층은 상이한 물질들로 형성된 복수의 서브층들을 포함한 복합층 또는 단일층일 수 있는 금속층이다. 일부 실시예들에서, 시드층은 티타늄층과, 티타늄층 위의 구리층을 포함한다. 시드층은 예컨대, PVD 등을 이용하여 형성될 수 있다. 그 후, 시드층 상에 포토레지스트가 형성되고 패터닝된다. 포토레지스트는 스핀 코팅 등에 의해 형성될 수 있고, 패터닝을 위해 광에 노광될 수 있다. 포토레지스트의 패턴은 금속화 패턴(126)에 대응한다. 패터닝은 시드층을 노출시키는 개구들을 포토레지스트를 통해 형성한다. 그런 후, 도전성 물질이 시드층의 노출된 부분들 상과 포토레지스트의 개구들 내에 형성된다. 도전성 물질은 전기도금 또는 무전해 도금 등과 같은, 도금에 의해 형성될 수 있다. 도전성 물질은 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 도전성 물질과 그 아래에 있는 시드층의 일부분들의 조합은 금속화 패턴(126)을 형성한다. 도전성 물질이 형성되어 있지 않은 시드층의 일부분들 및 포토레지스트가 제거된다. 포토레지스트는 산소 플라즈마 등을 이용하는 것과 같이, 수용가능한 애싱(ashing) 또는 스트리핑(stripping) 공정에 의해 제거될 수 있다. 포토레지스트가 제거되면, Ÿ‡ 에칭(wet etching) 또는 드라이 에칭(dry etching)과 같은 수용가능한 에칭 공정을 이용하여, 시드층의 노출된 부분들은 제거된다.Then, a metallization pattern 126 is formed. The metallization pattern 126 includes line portions (also referred to as conductive lines) that extend along the major surface on the major surface of the dielectric layer 124 . The metallization pattern 126 further includes a through via 116 and via portions (also referred to as conductive vias) extending through the dielectric layer 124 to physically and electrically couple with the integrated circuit die 50 . As an example for forming the metallization pattern 126 , a seed layer is formed over the dielectric layer 124 and in an opening extending through the dielectric layer 124 . In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer comprising a plurality of sub-layers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, PVD. A photoresist is then formed and patterned on the seed layer. The photoresist may be formed by spin coating or the like, and may be exposed to light for patterning. The pattern of photoresist corresponds to the metallization pattern 126 . The patterning forms openings through the photoresist exposing the seed layer. A conductive material is then formed over the exposed portions of the seed layer and in the openings of the photoresist. The conductive material may be formed by plating, such as electroplating or electroless plating. The conductive material may include a metal such as copper, titanium, tungsten, aluminum, or the like. The combination of the conductive material and portions of the underlying seed layer forms a metallization pattern 126 . Portions of the seed layer on which the conductive material is not formed and the photoresist are removed. The photoresist may be removed by an acceptable ashing or stripping process, such as using an oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer are removed using an acceptable etching process, such as a Ÿ‡ etch or dry etching.

도 9에서, 유전체층(128)이 금속화 패턴(126) 및 유전체층(124) 상에 퇴적된다. 유전체층(128)은 유전체층(124)과 유사한 방식으로 형성될 수 있으며, 유전체층(124)과 유사한 물질로 형성될 수 있다.In FIG. 9 , a dielectric layer 128 is deposited over the metallization pattern 126 and the dielectric layer 124 . Dielectric layer 128 may be formed in a manner similar to dielectric layer 124 , and may be formed of a material similar to dielectric layer 124 .

그런 후, 금속화 패턴(130)이 형성된다. 금속화 패턴(130)은 유전체층(128)의 주 표면 상에서 주 표면을 따라 연장되는 라인 부분들을 포함한다. 금속화 패턴(130)은 금속화 패턴(126)과 물리적으로 그리고 전기적으로 결합되도록 유전체층(128)을 관통하여 연장되는 비아 부분들을 더 포함한다. 금속화 패턴(130)은 금속화 패턴(126)과 유사한 방식 및 유사한 물질로 형성될 수 있다. 일부 실시예들에서, 금속화 패턴(130)은 금속화 패턴(126)과는 상이한 크기를 갖는다. 예를 들어, 금속화 패턴(130)의 도전성 라인들 및/또는 비아들은 금속화 패턴(126)의 도전성 라인들 및/또는 비아들보다 더 폭이 넓거나 두꺼울 수 있다. 또한, 금속화 패턴(130)은 금속화 패턴(126)보다 더 큰 피치로 형성될 수 있다.Then, the metallization pattern 130 is formed. The metallization pattern 130 includes line portions extending along the major surface on the major surface of the dielectric layer 128 . The metallization pattern 130 further includes via portions extending through the dielectric layer 128 to be physically and electrically coupled to the metallization pattern 126 . The metallization pattern 130 may be formed in a manner similar to the metallization pattern 126 and of a similar material. In some embodiments, the metallization pattern 130 has a different size than the metallization pattern 126 . For example, the conductive lines and/or vias of the metallization pattern 130 may be wider or thicker than the conductive lines and/or vias of the metallization pattern 126 . Also, the metallization pattern 130 may be formed with a larger pitch than the metallization pattern 126 .

도 10에서, 유전체층(132)이 금속화 패턴(130) 및 유전체층(128) 상에 퇴적된다. 유전체층(132)은 유전체층(124)과 유사한 방식으로 형성될 수 있으며, 유전체층(124)과 유사한 물질로 형성될 수 있다.In FIG. 10 , a dielectric layer 132 is deposited over the metallization pattern 130 and the dielectric layer 128 . The dielectric layer 132 may be formed in a similar manner to the dielectric layer 124 and may be formed of a material similar to the dielectric layer 124 .

그런 후, 금속화 패턴(134)이 형성된다. 금속화 패턴(134)은 유전체층(132)의 주 표면 상에서 주 표면을 따라 연장되는 라인 부분들을 포함한다. 금속화 패턴(134)은 금속화 패턴(130)과 물리적으로 그리고 전기적으로 결합되도록 유전체층(132)을 관통하여 연장되는 비아 부분들을 더 포함한다. 금속화 패턴(134)은 금속화 패턴(126)과 유사한 방식 및 유사한 물질로 형성될 수 있다. 금속화 패턴(134)은 전면 재배선 구조물(122)의 최상위 금속화 패턴이다. 이와 같이, 전면 재배선 구조물(122)의 모든 중간 금속화 패턴들(예를 들어, 금속화 패턴들(126, 130))은 금속화 패턴(134)과 집적 회로 다이들(50A, 50B) 사이에 배치된다. 일부 실시예들에서, 금속화 패턴(134)은 금속화 패턴들(126, 130)과는 상이한 크기를 갖는다. 예를 들어, 금속화 패턴(134)의 도전성 라인들 및/또는 비아들은 금속화 패턴들(126, 130)의 도전성 라인들 및/또는 비아들보다 더 폭이 넓거나 두꺼울 수 있다. 또한, 금속화 패턴(134)은 금속화 패턴(130)보다 더 큰 피치로 형성될 수 있다.Then, a metallization pattern 134 is formed. The metallization pattern 134 includes line portions extending along the major surface on the major surface of the dielectric layer 132 . The metallization pattern 134 further includes via portions extending through the dielectric layer 132 to be physically and electrically coupled to the metallization pattern 130 . The metallization pattern 134 may be formed in a manner similar to the metallization pattern 126 and of a similar material. The metallization pattern 134 is the uppermost metallization pattern of the front redistribution structure 122 . As such, all intermediate metallization patterns (eg, metallization patterns 126 , 130 ) of front redistribution structure 122 are interposed between metallization pattern 134 and integrated circuit dies 50A, 50B. is placed on In some embodiments, the metallization pattern 134 has a different size than the metallization patterns 126 , 130 . For example, the conductive lines and/or vias of the metallization pattern 134 may be wider or thicker than the conductive lines and/or vias of the metallization patterns 126 and 130 . Also, the metallization pattern 134 may be formed with a larger pitch than the metallization pattern 130 .

도 11에서, 유전체층(136)이 금속화 패턴(134) 및 유전체층(132) 상에 퇴적된다. 유전체층(136)은 유전체층(124)과 유사한 방식으로 형성될 수 있으며, 유전체층(124)과 동일한 물질로 형성될 수 있다. 유전체층(136)은 전면 재배선 구조물(122)의 최상위 유전체층이다. 이와 같이, 전면 재배선 구조물(122)의 모든 금속화 패턴들(예를 들어, 금속화 패턴들(126, 130, 134))은 유전체층(136)과 집적 회로 다이들(50A, 50B) 사이에 배치된다. 또한, 전면 재배선 구조물(122)의 모든 중간 유전체층들(예를 들어, 유전체층(124, 128, 132))은 유전체층(136)과 집적 회로 다이들(50A, 50B) 사이에 배치된다.In FIG. 11 , a dielectric layer 136 is deposited over the metallization pattern 134 and the dielectric layer 132 . The dielectric layer 136 may be formed in a similar manner to the dielectric layer 124 and may be formed of the same material as the dielectric layer 124 . The dielectric layer 136 is the uppermost dielectric layer of the front redistribution structure 122 . As such, all metallization patterns (eg, metallization patterns 126 , 130 , 134 ) of front redistribution structure 122 are disposed between dielectric layer 136 and integrated circuit dies 50A and 50B. are placed Further, all intermediate dielectric layers (eg, dielectric layers 124 , 128 , 132 ) of front redistribution structure 122 are disposed between dielectric layer 136 and integrated circuit dies 50A, 50B.

도 12에서, 접촉 패드(138)가 전면 재배선 구조물(122)에 대한 외부 연결을 위해 형성된다. 접촉 패드(138)는 유전체층(136)의 주 표면 상에 있고 주 표면을 따라 연장되는 범프 부분들을 갖고, 금속화 패턴(134)과 물리적으로 그리고 전기적으로 결합되도록 유전체층(136)을 관통하여 연장되는 비아 부분들을 갖는다. 결과적으로, 접촉 패드(138)는 관통 비아(116) 및 집적 회로 다이들(50A, 50B)에 전기적으로 결합된다. 일부 실시예들에서, 접촉 패드(138)는 유전체층(136)의 윗면과 동일 평면을 이루는 윗면을 가질 수 있다. 접촉 패드(138)는 금속화 패턴(126)과 동일한 물질로 형성될 수 있다. 일부 실시예들에서, 접촉 패드(138)는 금속화 패턴들(126, 130, 134)과는 상이한 크기를 갖는다.In FIG. 12 , contact pads 138 are formed for external connection to the front redistribution structure 122 . The contact pad 138 is on a major surface of the dielectric layer 136 and has bump portions extending along the major surface, and extends through the dielectric layer 136 to physically and electrically couple with the metallization pattern 134 . It has via parts. Consequently, the contact pad 138 is electrically coupled to the through via 116 and the integrated circuit dies 50A, 50B. In some embodiments, the contact pad 138 may have a top surface that is flush with the top surface of the dielectric layer 136 . The contact pad 138 may be formed of the same material as the metallization pattern 126 . In some embodiments, the contact pad 138 has a different size than the metallization patterns 126 , 130 , 134 .

접촉 패드(140)가 후속 공정에서 접합될 수 있는 IVR 칩(또는 다른 디바이스)에 대한 커넥터 포인트를 제공하기 위해 형성된다. 접촉 패드(140)는 유전체층(136)의 주 표면 상에 있고 주 표면을 따라 연장되는 범프 부분들과, 금속화 패턴(134)과 물리적으로 그리고 전기적으로 결합되도록 유전체층(136)을 관통하여 연장되는 비아 부분들을 가질 수 있다. 일부 실시예들에서, 접촉 패드(140)는 유전체층(136)의 윗면과 동일 평면을 이루는 윗면을 가질 수 있다. 금속화 패턴(134)은 IVR 칩(이하에서 더 상세히 논의됨)으로부터의 조정된 전압 출력을 집적 회로 다이들(50A 및/또는 50B)로 라우팅하기 위해 특정의 접촉 패드(140)를 집적 회로 다이들(50A 및/또는 50B)의 전압 입력부들에 전기적으로 결합시킬 수 있다. 금속화 패턴(134)은 전압 입력 신호를 IVR 칩으로 라우팅하기 위해 다른 접촉 패드(140)를 특정의 접촉 패드(138)에 전기적으로 결합시킬 수 있다.Contact pads 140 are formed to provide connector points for an IVR chip (or other device) that may be bonded in subsequent processing. The contact pad 140 extends through the dielectric layer 136 to physically and electrically couple with the metallization pattern 134 and bump portions on and extending along the major surface of the dielectric layer 136 . It may have via portions. In some embodiments, the contact pad 140 may have a top surface that is flush with the top surface of the dielectric layer 136 . The metallization pattern 134 routes specific contact pads 140 to the integrated circuit die to route the regulated voltage output from the IVR chip (discussed in more detail below) to the integrated circuit dies 50A and/or 50B. may be electrically coupled to the voltage inputs of s 50A and/or 50B. The metallization pattern 134 may electrically couple other contact pads 140 to particular contact pads 138 for routing voltage input signals to the IVR chip.

다른 피처들 및 공정들이 또한 포함될 수 있다. 예를 들어, 삼차원(3D) 패키징 또는 3D 집적 회로(3DIC) 디바이스의 검증 테스트를 지원하기 위한 테스트 구조물들이 포함될 수 있다. 테스트 구조물은, 예를 들어, 3D 패키징 또는 3DIC의 테스트, 프로브 및/또는 프로브 카드의 사용 등을 가능하게 해주는, 배선층 내 또는 기판 상에 형성된 테스트 패드를 포함할 수 있다. 검증 테스트는 중간 구조물뿐만이 아니라 최종 구조물에 대해 수행될 수 있다. 또한, 여기에 개시된 구조물 및 방법은 수율을 증가시키고 비용을 감소시키기 위해 공지된 양품 다이들의 중간 검증을 통합하는 테스트 방법과 함께 사용될 수 있다.Other features and processes may also be included. For example, test structures to support three-dimensional (3D) packaging or verification testing of 3D integrated circuit (3DIC) devices may be included. The test structures may include test pads formed in the wiring layer or on the substrate, for example, to enable 3D packaging or testing of 3DICs, the use of probes and/or probe cards, and the like. Verification tests can be performed on the final structure as well as the intermediate structure. In addition, the structures and methods disclosed herein can be used with test methods that incorporate interim verification of known good dies to increase yield and reduce cost.

도 13에서, 예를 들어, 스크라이브 라인 영역(150)을 따라, 예컨대, 제1 패키지 영역(100A)과 제2 패키지 영역(100B) 사이를 소잉(sawing)함으로써 단품화 공정이 수행된다. 소잉은 제2 패키지 영역(100B)으로부터 제1 패키지 영역(100A)을 단품화한다. 일부 실시예들에서, 단품화 이전에, 후면 재배선 구조물(106), 예컨대, 유전체층(108)으로부터 캐리어 기판(102)을 부착해제(또는 "접합해제")시키기 위해, 캐리어 기판 접합해제가 수행된다. 일부 실시예들에 따르면, 접합해제는 박리층(104) 상에 레이저 광 또는 UV 광과 같은 광을 투사시켜서 박리층(104)이 이러한 광의 열로 인해 분해되고 캐리어 기판(102)이 제거될 수 있도록 하는 것을 포함한다. 그런 후, 구조물은 뒤집혀지고, 테이프(148)와 같은 테이프 상에 배치된다. 일부 실시예들에서, 단품화 공정은 제1 패키지 영역(100A)의 후면으로부터 제1 패키지 영역(100A)의 전면쪽으로 소잉함으로써 수행될 수 있다. 다른 실시예들에서, 제1 패키지 영역(100A)의 전면으로부터 제1 패키지 영역(100A)의 후면쪽으로 소잉함으로써 단품화 공정이 수행될 수 있도록, 구조물은 다시 뒤집혀지고, 다른 테이프(도시되지 않음) 상에 배치될 수 있다. 단품화 공정에 이어서, 제1 패키지 영역(100A)이 제2 패키지 영역(100B)으로부터 단품화되어, 제1 패키지 컴포넌트(100)를 생성한다.In FIG. 13 , for example, the singulation process is performed by sawing along the scribe line region 150 , for example, between the first package region 100A and the second package region 100B. The sawing separates the first package area 100A from the second package area 100B. In some embodiments, prior to singulation, carrier substrate debonding is performed to detach (or “debond”) the carrier substrate 102 from the backside redistribution structure 106 , eg, the dielectric layer 108 . do. According to some embodiments, debonding projects light, such as laser light or UV light, onto the release layer 104 so that the release layer 104 decomposes due to the heat of the light and the carrier substrate 102 can be removed. includes doing The structure is then turned over and placed on a tape, such as tape 148 . In some embodiments, the singulation process may be performed by sawing from the rear surface of the first package area 100A toward the front surface of the first package area 100A. In other embodiments, the structure is turned over again and another tape (not shown) so that the singulation process can be performed by sawing from the front side of the first package area 100A toward the back side of the first package area 100A. may be placed on the Following the singulation process, the first package region 100A is singulated from the second package region 100B to create the first package component 100 .

도 14 내지 도 21은 제2 패키지 컴포넌트(200)를 형성하기 위한 공정 동안의 중간 단계들의 단면도들을 나타낸다. 도 14에서, 하나 이상의 단품화된 제1 패키지 컴포넌트(100)가 캐리어 기판(202)에 실장될 수 있다. 추가적인 패키지 컴포넌트(도시되지 않음)가 또한 캐리어 기판(202)에 실장될 수 있다. 추가적인 패키지 컴포넌트는 제1 패키지 컴포넌트(100)와 동일할 수 있거나 또는 상이한 유형의 패키지 컴포넌트일 수 있다. 캐리어 기판(202)은 캐리어 기판(102)과 유사할 수 있다. 캐리어 기판(202)은 캐리어 기판(202) 상에 형성된 박리층(204)을 가질 수 있으며, 박리층(204)은 박리층(104)과 관련하여 전술한 것과 같은 물질들 및 공정들을 사용하여 형성될 수 있다.14-21 show cross-sectional views of intermediate steps during the process for forming the second package component 200 . In FIG. 14 , one or more singulated first package components 100 may be mounted to a carrier substrate 202 . Additional package components (not shown) may also be mounted to the carrier substrate 202 . The additional package component may be the same as the first package component 100 or may be a different type of package component. The carrier substrate 202 may be similar to the carrier substrate 102 . The carrier substrate 202 may have a release layer 204 formed on the carrier substrate 202 , the release layer 204 being formed using materials and processes such as those described above with respect to the release layer 104 . can be

도 15에서, 인캡슐런트(206)가 다양한 컴포넌트들 상에 그리고 그 주위에 형성된다. 형성 이후, 인캡슐런트(206)는 제1 패키지 컴포넌트(100)를 캡슐화한다. 인캡슐런트(206)는 몰딩 화합물, 에폭시 등일 수 있다. 인캡슐런트(206)는 압축 몰딩, 전사 몰딩 등에 의해 도포될 수 있고, 제1 패키지 컴포넌트(100)가 매립되거나 덮히도록 캐리어 기판(202) 위에 형성될 수 있다. 인캡슐런트(206)는 제1 패키지 컴포넌트(100)들 사이의 갭 영역들 내에 추가로 형성된다. 인캡슐런트(206)는 액체 또는 반액체 형태로 도포되고, 그런 후 경화될 수 있다. 인캡슐런트(206)의 형성에 이어서, 접촉 패드(138) 및 접촉 패드(140)를 노출시키기 위해 인캡슐런트(206)에 대해 평탄화 공정이 수행된다. 접촉 패드(138), 접촉 패드(140), 및 인캡슐런트(206)의 최상면들은 평탄화 공정 이후에 동일 평면 상에 있다. 인캡슐런트(206)의 최상면과 유전체층(136)의 최상면 사이의 거리는 약 10㎛와 같이, 약 5㎛와 약 100㎛ 사이일 수 있지만, 다른 거리들이 구상가능하고 사용될 수 있다. 일부 다른 실시예들에서, 평탄화 공정은 인캡슐런트(206)의 윗면과 유전체층(136)의 윗면이 동일 평면을 이루게 할 수 있다. 평탄화 공정은, 예를 들어, CMP(chemical-mechanical polish), 그라인딩 공정 등일 수 있다. 일부 실시예들에서, 평탄화는, 예를 들어, 접촉 패드(138)와 접촉 패드(140)가 이미 노출된 경우, 생략될 수 있다.In FIG. 15 , an encapsulant 206 is formed on and around the various components. After formation, the encapsulant 206 encapsulates the first package component 100 . The encapsulant 206 may be a molding compound, epoxy, or the like. The encapsulant 206 may be applied by compression molding, transfer molding, or the like, and formed over the carrier substrate 202 such that the first package component 100 is buried or covered. An encapsulant 206 is further formed in gap regions between the first package components 100 . The encapsulant 206 may be applied in liquid or semi-liquid form and then cured. Following formation of encapsulant 206 , a planarization process is performed on encapsulant 206 to expose contact pads 138 and contact pads 140 . The top surfaces of contact pad 138 , contact pad 140 , and encapsulant 206 are coplanar after the planarization process. The distance between the top surface of the encapsulant 206 and the top surface of the dielectric layer 136 may be between about 5 μm and about 100 μm, such as about 10 μm, although other distances are conceivable and may be used. In some other embodiments, the planarization process may cause the top surface of the encapsulant 206 and the top surface of the dielectric layer 136 to be coplanar. The planarization process may be, for example, chemical-mechanical polish (CMP), a grinding process, or the like. In some embodiments, planarization may be omitted, for example, if contact pad 138 and contact pad 140 are already exposed.

도 16에서, 통합형 전압 조정기(IVR)(210)가 제공되고 접촉 패드(140)에 정렬된다. IVR(210)은 접촉 패드(205)들을 포함하고, 이들 각각은 접촉 패드(140)들의 접촉 패드에 대응한다. IVR(210)은 또한 각각의 접촉 패드(205) 상에 형성된 도전성 커넥터(207)를 포함할 수 있다. 도전성 커넥터(207)는 BGA(ball grid array) 커넥터, 솔더 볼, 금속 필라, C4(controlled collapse chip connection) 범프, 마이크로 범프, ENEPIG(electroless nickel-electroless palladium-immersion gold) 기술로 형성된 범프 등일 수 있다. 도전성 커넥터(207)는 솔더, 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석 등, 또는 이들의 조합과 같은 도전성 물질을 포함할 수 있다. 일부 실시예들에서, 도전성 커넥터(207)는 증발, 전기도금, 프린팅, 솔더 전사, 볼 배치 등을 통해 솔더층을 초기에 형성함으로써 형성된다. 솔더층이 구조물 상에서 형성되면, 원하는 범프 형상으로 물질을 형상화하기 위해 리플로우가 수행될 수 있다. 다른 실시예에서, 도전성 커넥터(207)는 스퍼터링, 프린팅, 전기도금, 무전해 도금, CVD 등에 의해 형성된 금속 필라(예컨대, 구리 필라)를 포함한다. 금속 필라는 솔더가 없을 수 있고 실질적으로 수직한 측벽들을 갖는다. 일부 실시예들에서, 금속 캡층이 금속 필라의 최상부 상에 형성된다. 금속 캡층은 니켈, 주석, 주석 납, 금, 은, 팔라듐, 인듐, 니켈 팔라듐 금, 니켈 금 등, 또는 이들의 조합을 포함할 수 있고, 도금 공정에 의해 형성될 수 있다. 도전성 커넥터(207)는 약 40㎛와 같이, 약 20㎛와 약 80㎛ 사이의 피치를 가질 수 있지만, 다른 피치들이 구상가능하고 사용될 수 있다. 피치는 접촉 패드(140)의 배치에 대응한다. IVR(210)은 픽 앤드 플레이스(pick and place) 공정 또는 다른 적절한 공정을 사용하여 위치될 수 있다.In FIG. 16 , an integrated voltage regulator (IVR) 210 is provided and aligned to the contact pads 140 . IVR 210 includes contact pads 205 , each of which corresponds to a contact pad of contact pads 140 . The IVR 210 may also include a conductive connector 207 formed on each contact pad 205 . The conductive connector 207 may be a ball grid array (BGA) connector, a solder ball, a metal pillar, a controlled collapse chip connection (C4) bump, a micro bump, a bump formed by an electroless nickel-electroless palladium-immersion gold (ENEPIG) technology, or the like. . The conductive connector 207 may include a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, or the like, or a combination thereof. In some embodiments, the conductive connector 207 is formed by initially forming a solder layer through evaporation, electroplating, printing, solder transfer, ball placement, or the like. Once the solder layer is formed on the structure, a reflow may be performed to shape the material into the desired bump shape. In another embodiment, the conductive connector 207 includes metal pillars (eg, copper pillars) formed by sputtering, printing, electroplating, electroless plating, CVD, or the like. The metal pillar may be solder free and has substantially vertical sidewalls. In some embodiments, a metal cap layer is formed on top of the metal pillar. The metal cap layer may include nickel, tin, tin lead, gold, silver, palladium, indium, nickel palladium gold, nickel gold, or the like, or a combination thereof, and may be formed by a plating process. The conductive connector 207 may have a pitch of between about 20 μm and about 80 μm, such as about 40 μm, although other pitches are conceivable and may be used. The pitch corresponds to the placement of the contact pads 140 . The IVR 210 may be positioned using a pick and place process or other suitable process.

IVR(210)은 약 30㎛와 같이, 약 10㎛와 약 200㎛ 사이의 두께를 가질 수 있지만, 다른 치수들이 구상가능하고 사용될 수 있다. IVR(210)은 약 5㎜와 같이, 약 2㎜와 40㎜ 사이의 폭 치수, 및 약 5㎜와 같이, 약 2㎜와 약 80㎜ 사이의 깊이 치수(지면 안팎으로 들어가고 나옴)를 가질 수 있지만, 다른 치수들이 구상가능하고 사용될 수 있다.IVR 210 may have a thickness between about 10 μm and about 200 μm, such as about 30 μm, although other dimensions are conceivable and may be used. The IVR 210 may have a width dimension of between about 2 mm and 40 mm, such as about 5 mm, and a depth dimension (in and out of the ground) of between about 2 mm and about 80 mm, such as about 5 mm. However, other dimensions are conceivable and may be used.

도 17에서, IVR(210)은 도전성 커넥터(207)에 의해 접촉 패드(140)에 접합된다. 일부 실시예들에서, 도전성 커넥터(207)는 IVR(210)을 접촉 패드(140)에 결합시키도록 리플로우될 수 있다. 일부 실시예들에서, 접합은 도시된 플립 칩 공정에 의해 이루어질 수 있다. 다른 실시예들에서, IVR(210)은 표면 실장 디바이스일 수 있다. 또다른 실시예들에서, IVR(210)은 와이어 접합 공정에 의해 접합될 수 있다.In FIG. 17 , IVR 210 is bonded to contact pad 140 by conductive connector 207 . In some embodiments, the conductive connector 207 may be reflowed to couple the IVR 210 to the contact pad 140 . In some embodiments, bonding may be achieved by the illustrated flip chip process. In other embodiments, IVR 210 may be a surface mount device. In still other embodiments, the IVR 210 may be bonded by a wire bonding process.

일부 실시예들에서, 도전성 커넥터(207)가 리플로우되기 전에는 도전성 커넥터(207) 상에 에폭시 플럭스(미도시됨)가 형성되어 있을 수 있고, IVR(210)이 제1 패키지 컴포넌트(100)에 부착된 후 에폭시 플럭스의 에폭시 부분의 적어도 일부분은 잔존한다.In some embodiments, an epoxy flux (not shown) may be formed on the conductive connector 207 before the conductive connector 207 is reflowed, and the IVR 210 attaches to the first package component 100 . At least a portion of the epoxy portion of the epoxy flux remains after being deposited.

일부 실시예들에서, 언더필(208)이 도전성 커넥터(207)를 둘러싸도록, 제1 패키지 컴포넌트(100)와 IVR(210) 사이에 형성된다. 언더필(208)은 응력을 감소시키고 도전성 커넥터(207)의 리플로우로 인한 접합으로부터 보호할 수 있다. 언더필은 IVR(210)이 부착된 후에 모세관 유동 공정에 의해 형성될 수 있거나, 또는 IVR(210)이 부착되기 전에 적절한 퇴적 방법에 의해 형성될 수 있다. 에폭시 플럭스가 형성되는 실시예들에서, 에폭시 플럭스가 언더필(208)로서 작용할 수 있다.In some embodiments, an underfill 208 is formed between the first package component 100 and the IVR 210 to surround the conductive connector 207 . The underfill 208 may reduce stress and protect against bonding due to reflow of the conductive connector 207 . The underfill may be formed by a capillary flow process after the IVR 210 is attached, or may be formed by a suitable deposition method before the IVR 210 is attached. In embodiments where an epoxy flux is formed, the epoxy flux may act as the underfill 208 .

하나의 IVR(210)만이 도시되어 있지만, 복수의 IVR 디바이스들이 적절하게 사용될 수 있음을 이해해야 한다. IVR(210)의 횡측 범위는 전면 재배선 구조물(122)(도 12 참조)의 횡측 범위 내에 있을 수 있다. 다시 말해서, IVR(210)의 풋프린트는 제1 패키지 컴포넌트(100)의 풋프린트에 의해 완전히 오버랩될 수 있다. 다른 실시예들에서, IVR(210)의 가장자리 부분은 전면 재배선 구조물(122)의 횡측 범위를 넘어서 돌출될 수 있다. 일부 실시예들에서, 전면 재배선 구조물(122)의 설계는 전면 재배선 구조물(122)의 금속화 패턴들(예를 들어, 도 12의 금속화 패턴들(126, 130, 134))에서 일련의 하나 이상의 비아를 제공할 수 있어서, 비아들이 집적 회로 다이들(50A 및/또는 50B)의 전압 입력부에 대한 짧은 경로를 제공한다.Although only one IVR 210 is shown, it should be understood that multiple IVR devices may be used as appropriate. The lateral extent of the IVR 210 may be within the lateral extent of the front redistribution structure 122 (see FIG. 12 ). In other words, the footprint of the IVR 210 may be completely overlapped by the footprint of the first package component 100 . In other embodiments, an edge portion of the IVR 210 may protrude beyond a lateral extent of the front redistribution structure 122 . In some embodiments, the design of the front redistribution structure 122 is a series of metallization patterns of the front redistribution structure 122 (eg, the metallization patterns 126 , 130 , 134 of FIG. 12 ). can provide one or more vias of the , so that the vias provide a short path to the voltage input of the integrated circuit dies 50A and/or 50B.

일부 실시예들에서, 비아는 재배선 구조물의 각 유전체층을 관통하여 정렬되고 적층되어 짧은 경로를 형성할 수 있다. 일부 실시예들에서, IVR(210)은 집적 회로 다이들(50A 및/또는 50B)의 전압 입력부와 수직으로 정렬된 조정된 전압 출력부를 가질 수 있고, 이러한 실시예들에서 비아는 또한 각 유전체층을 관통하여 정렬되고 적층되어, IVR(210) 출력 전압부와 집적 회로 다이들(50A 및/또는 50B)의 전압 입력부 사이의 직선 수직 경로를 형성한다. 일부 실시예들에서, IVR(210)의 조정된 전압 출력부로부터 집적 회로 다이들(50A 및/또는 50B)의 전압 입력부까지의 경로의 전체 길이는 약 20㎛와 약 1,000㎛ 사이, 약 100㎛와 약 5,000㎛ 사이일 수 있거나, 또는 약 100㎛와 약 40,000㎛ 사이일 수 있다.In some embodiments, vias may be aligned and stacked through each dielectric layer of the redistribution structure to form a short path. In some embodiments, the IVR 210 may have a regulated voltage output vertically aligned with the voltage input of the integrated circuit dies 50A and/or 50B, in which embodiments the via also connects each dielectric layer. Aligned and stacked therethrough, they form a straight vertical path between the IVR 210 output voltage portion and the voltage input portion of the integrated circuit dies 50A and/or 50B. In some embodiments, the total length of the path from the regulated voltage output of the IVR 210 to the voltage input of the integrated circuit dies 50A and/or 50B is between about 20 μm and about 1,000 μm, about 100 μm. and about 5,000 μm, or between about 100 μm and about 40,000 μm.

짧은 경로는 예를 들어, 마이크로 리드 프레임 칩 캐리어(micro lead-frame chip carrier; MLCC)를 사용하여 집적 회로 다이들(50A 및/또는 50B) 옆에 실장된 전압 조정기보다 더 작은, IVR(210)로부터 집적 회로 다이들(50A 및/또는 50B)까지의 IR 강하를 제공한다. 일부 실시예들에서, 전체 IR 강하는 약 1.4%와 같이, 약 0.5%와 2.5% 사이일 수 있지만, 다른 값들이 구상가능하다. 이와는 대조적으로, MLCC를 통해 실장된 전압 조정기는 약 4.5% 이상의 IR 강하를 가질 수 있다.The short path is smaller than a voltage regulator mounted next to the integrated circuit dies 50A and/or 50B, for example using a micro lead-frame chip carrier (MLCC), the IVR 210 . to provide an IR drop from to the integrated circuit dies 50A and/or 50B. In some embodiments, the total IR drop may be between about 0.5% and 2.5%, such as about 1.4%, although other values are conceivable. In contrast, a voltage regulator mounted via an MLCC can have an IR drop of about 4.5% or more.

당업자는 IVR(210) 대신에 또는 이에 추가하여 다른 디바이스들이 사용될 수 있음을 인식할 것이다. 일부 실시예들에서, IPD(integrated package device), SRAM 등과 같은 메모리 디바이스, 실리콘 브릿지, 및/또는 다른 디바이스들과 같은 디바이스들이 웨이퍼 디바이스 상의 시스템(system on wafer device)을 생성하는데 사용될 수 있다. 용이한 참조를 위해, 이하의 개시는 IVR(210)을 구체적으로 설명하지만, 이들 디바이스들 중 임의의 것이 사용될 수 있고 본 발명개시의 범위 내에 있는 것으로 이해되어야 한다.Those skilled in the art will recognize that other devices may be used in place of or in addition to the IVR 210 . In some embodiments, devices such as an integrated package device (IPD), memory device such as SRAM, etc., silicon bridges, and/or other devices may be used to create a system on wafer device. For ease of reference, the following disclosure specifically describes IVR 210 , but it should be understood that any of these devices may be used and are within the scope of the present disclosure.

도 18에서, 재배선 구조물(240)(도 11 참조)이 인캡슐런트(206), 제1 패키지 컴포넌트(100), 및 IVR(210) 위에 형성된다. 재배선 구조물(240)은 유전체층들(212, 218, 222, 226, 230, 234, 238); 및 금속화 패턴들(216, 220, 224, 228, 232, 236)을 포함한다. 금속화 패턴은 또한 재배선층 또는 재배선 라인이라고도 칭해질 수 있다. 재배선 구조물(240)은 6개의 층들의 금속화 패턴들을 갖는 예시로서 도시되어 있다. 재배선 구조물(240) 내에는 더 많거나 더 적은 수의 유전체층들 및 금속화 패턴들이 형성될 수 있다.In FIG. 18 , a redistribution structure 240 (see FIG. 11 ) is formed over the encapsulant 206 , the first package component 100 , and the IVR 210 . The redistribution structure 240 includes dielectric layers 212 , 218 , 222 , 226 , 230 , 234 , 238 ; and metallization patterns 216 , 220 , 224 , 228 , 232 , 236 . The metallization pattern may also be referred to as a redistribution layer or a redistribution line. The redistribution structure 240 is shown as an example with six layers of metallization patterns. More or fewer dielectric layers and metallization patterns may be formed in the redistribution structure 240 .

유전체층(212)이 인캡슐런트(206)와 접촉 패드(138) 및 IVR(210) 상에 퇴적된다. 일부 실시예들에서, 유전체층(212)은 리소그래피 마스크를 사용하여 패터닝될 수 있는 PBO, 폴리이미드, BCB 등과 같은 감광성 물질로 형성된다. 유전체층(212)은 스핀 코팅, 라미네이션, CVD 등, 또는 이들의 조합에 의해 형성될 수 있다. 유전체층(212)은 약 50㎛ 두께와 같이, 약 35㎛와 약 250㎛ 두께 사이의 두께를 가질 수 있지만, 다른 두께들이 사용될 수 있고 구상가능하다. 이어서, 유전체층(212)은 패터닝된다. 패터닝은 접촉 패드(138)의 일부분들을 노출시키는 개구를 형성한다. 패터닝은, 유전체층(212)이 감광성 물질인 경우 유전체층(212)을 광에 노출시키는 것, 또는, 예컨대 이방성 에칭을 사용하여 에칭하는 것과 같은, 허용가능한 공정에 의해 형성될 수 있다. 유전체층(212)이 감광성 물질인 경우, 노광 후 유전체층(212)은 현상될 수 있다.A dielectric layer 212 is deposited over the encapsulant 206 and the contact pads 138 and the IVR 210 . In some embodiments, dielectric layer 212 is formed of a photosensitive material, such as PBO, polyimide, BCB, or the like, that can be patterned using a lithographic mask. The dielectric layer 212 may be formed by spin coating, lamination, CVD, or the like, or a combination thereof. The dielectric layer 212 may have a thickness between about 35 μm and about 250 μm thick, such as about 50 μm thick, although other thicknesses may be used and are contemplated. The dielectric layer 212 is then patterned. The patterning forms an opening exposing portions of the contact pad 138 . The patterning may be formed by any acceptable process, such as exposing the dielectric layer 212 to light if the dielectric layer 212 is a photosensitive material, or etching using, for example, anisotropic etching. When the dielectric layer 212 is a photosensitive material, the dielectric layer 212 may be developed after exposure.

일부 실시예들에서, IVR(210)의 윗면과 유전체층(212)의 윗면 사이의 거리(D1)는 약 15㎛와 같이, 약 0㎛(동일 평면 상에 있음)과 약 100㎛ 사이이며, 다른 치수들이 구상가능하다. 다른 실시예들에서, IVR(210)의 윗면은 유전체층(212)의 윗면 위로 돌출될 수 있다(도 19 참조).In some embodiments, the distance D1 between the top surface of the IVR 210 and the top surface of the dielectric layer 212 is between about 0 μm (coplanar) and about 100 μm, such as about 15 μm, other Dimensions are conceivable. In other embodiments, the top surface of the IVR 210 may protrude above the top surface of the dielectric layer 212 (see FIG. 19 ).

그런 후, 금속화 패턴(216)이 형성된다. 금속화 패턴(216)은 유전체층(212)의 주 표면 상에서 주 표면을 따라 연장되는 라인 부분들(도전성 라인이라고도 칭함)을 포함한다. 금속화 패턴(216)은 접촉 패드(138)와 물리적으로 그리고 전기적으로 결합되도록 유전체층(212)을 관통하여 연장되는 관통 비아들(214)(도전성 비아라고도 칭함)을 더 포함한다. 금속화 패턴(216)을 형성하기 위한 예시로서, 시드층이 유전체층(212) 위에 그리고 유전체층(212)을 관통하여 연장되는 개구 내에 형성된다. 일부 실시예들에서, 시드층은 상이한 물질들로 형성된 복수의 서브층들을 포함한 복합층 또는 단일층일 수 있는 금속층이다. 일부 실시예들에서, 시드층은 티타늄층과, 티타늄층 위의 구리층을 포함한다. 시드층은 예컨대, PVD 등을 이용하여 형성될 수 있다. 그 후, 시드층 상에 포토레지스트가 형성되고 패터닝된다. 포토레지스트는 스핀 코팅 등에 의해 형성될 수 있고, 패터닝을 위해 광에 노광될 수 있다. 포토레지스트의 패턴은 금속화 패턴(216)에 대응한다. 패터닝은 시드층을 노출시키는 개구들을 포토레지스트를 통해 형성한다. 그런 후, 도전성 물질이 시드층의 노출된 부분들 상과 포토레지스트의 개구들 내에 형성된다. 도전성 물질은 전기도금 또는 무전해 도금 등과 같은, 도금에 의해 형성될 수 있다. 도전성 물질은 구리, 티타늄, 텅스텐, 알루미늄 등과 같은 금속을 포함할 수 있다. 도전성 물질과 그 아래에 있는 시드층의 일부분들의 조합은 금속화 패턴(216)을 형성한다. 도전성 물질이 형성되어 있지 않은 시드층의 일부분들 및 포토레지스트가 제거된다. 포토레지스트는 산소 플라즈마 등을 이용하는 것과 같이, 수용가능한 애싱(ashing) 또는 스트리핑(stripping) 공정에 의해 제거될 수 있다. 포토레지스트가 제거되면, Ÿ‡ 에칭(wet etching) 또는 드라이 에칭(dry etching)과 같은 수용가능한 에칭 공정을 이용하여, 시드층의 노출된 부분들은 제거된다.Then, a metallization pattern 216 is formed. The metallization pattern 216 includes line portions (also referred to as conductive lines) that extend along the major surface on the major surface of the dielectric layer 212 . The metallization pattern 216 further includes through vias 214 (also referred to as conductive vias) extending through the dielectric layer 212 to physically and electrically couple with the contact pads 138 . As an example for forming the metallization pattern 216 , a seed layer is formed over the dielectric layer 212 and in an opening extending through the dielectric layer 212 . In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer comprising a plurality of sub-layers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, PVD. A photoresist is then formed and patterned on the seed layer. The photoresist may be formed by spin coating or the like, and may be exposed to light for patterning. The pattern of photoresist corresponds to the metallization pattern 216 . The patterning forms openings through the photoresist exposing the seed layer. A conductive material is then formed over the exposed portions of the seed layer and in the openings of the photoresist. The conductive material may be formed by plating, such as electroplating or electroless plating. The conductive material may include a metal such as copper, titanium, tungsten, aluminum, or the like. The combination of the conductive material and portions of the underlying seed layer forms a metallization pattern 216 . Portions of the seed layer on which the conductive material is not formed and the photoresist are removed. The photoresist may be removed by an acceptable ashing or stripping process, such as using an oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer are removed using an acceptable etching process, such as a Ÿ‡ etch or dry etching.

유전체층(212) 및 금속화 패턴(216)을 형성하는 상기 설명된 공정은 재배선 구조물(240)의 원하는 수의 층들을 형성하기 위해 필요한 만큼 반복될 수 있다. 예시된 실시예에서, 유전체층(218) 및 금속화 패턴(220)을 형성하고, 이어서 유전체층(222) 및 금속화 패턴(224)을 형성하고, 이어서 유전체층(226) 및 금속화 패턴(228)을 형성하고, 이어서 유전체층(230) 및 금속화 패턴(232)을 형성하고, 이어서 유전체층(234) 및 금속화 패턴(236)을 형성하기 위해 유전체층(212) 및 금속화 패턴(216)을 형성하는 공정은 반복될 수 있다. 금속화 패턴(236) 상에 최종적인 유전체층(238)이 형성될 수 있으며, 이는 유전체층(212)과 유사한 방식으로 형성될 수 있다. 유전체층(238)은 재배선 구조물(240)의 최상위 유전체층이다.The process described above for forming the dielectric layer 212 and the metallization pattern 216 may be repeated as needed to form a desired number of layers of the redistribution structure 240 . In the illustrated embodiment, dielectric layer 218 and metallization pattern 220 are formed, followed by dielectric layer 222 and metallization pattern 224 , followed by dielectric layer 226 and metallization pattern 228 . forming, then forming dielectric layer 230 and metallization pattern 232 , and then forming dielectric layer 212 and metallization pattern 216 to form dielectric layer 234 and metallization pattern 236 . can be repeated. A final dielectric layer 238 may be formed over the metallization pattern 236 , which may be formed in a manner similar to the dielectric layer 212 . Dielectric layer 238 is the uppermost dielectric layer of redistribution structure 240 .

도 19에서, IVR(210)은 유전체층(212)의 윗면 위로 돌출되는 윗면을 갖는다. IVR(210)은 거리(D2)만큼 돌출될 수 있으며, 여기서 D2는 약 15㎛와 같이, 약 0㎛(동일 평면 상에 있음)과 약 50㎛ 사이이지만, 다른 치수들이 구상가능하다. 이러한 실시예들에서, IVR(210)은 재배선 구조물(240)의 복수의 유전체층들 내로 돌출될 수 있다. IVR(210)의 윗면과 재배선 구조물(240)의 다음 유전체층의 최상부 사이의 거리(D3)는 약 15㎛와 같이, 약 0㎛(동일 평면 상에 있음)와 약 100㎛ 사이일 수 있지만, 다른 치수들이 구상가능하다.In FIG. 19 , the IVR 210 has a top surface that protrudes above the top surface of the dielectric layer 212 . The IVR 210 may protrude a distance D2, where D2 is between about 0 μm (coplanar) and about 50 μm, such as about 15 μm, although other dimensions are conceivable. In such embodiments, IVR 210 may protrude into a plurality of dielectric layers of redistribution structure 240 . The distance D3 between the top surface of the IVR 210 and the top of the next dielectric layer of the redistribution structure 240 may be between about 0 μm (coplanar) and about 100 μm, such as about 15 μm, Other dimensions are conceivable.

도 20에서, 언더 범프 금속화부(under-bump metallurgies; UBM)(242)가 전면 재배선 구조물(240)에 대한 외부 연결을 위해 형성된다. UBM(242)은 유전체층(238)의 주 표면 상에 있고 주 표면을 따라 연장되는 범프 부분들을 갖고, 금속화 패턴(236)과 물리적으로 그리고 전기적으로 결합되도록 유전체층(238)을 관통하여 연장되는 비아 부분들을 갖는다. 결과적으로, UBM(242)은 관통 비아(214) 및 제1 패키지 컴포넌트(100)에 전기적으로 결합된다. UBM(242)은 금속화 패턴(236)과 동일한 물질로 형성될 수 있다. 일부 실시예들에서, UBM(242)은 금속화 패턴들(216, 220, 224, 228, 232, 236)과는 상이한 크기를 갖는다. 제1 패키지 컴포넌트(100)에 제공하기 위한 저전압 신호로의 조정 및 변환을 위해 고전압 신호를 IVR(210)로 라우팅하도록 특정의 UBM(242)이 IVR(210)에 결합된다.In FIG. 20 , under-bump metallurgies (UBM) 242 are formed for external connection to the front redistribution structure 240 . UBM 242 is on and has bump portions extending along the major surface of dielectric layer 238 , and vias extending through dielectric layer 238 to physically and electrically couple with metallization pattern 236 . have parts. As a result, the UBM 242 is electrically coupled to the through via 214 and the first package component 100 . The UBM 242 may be formed of the same material as the metallization pattern 236 . In some embodiments, UBM 242 has a different size than metallization patterns 216 , 220 , 224 , 228 , 232 , 236 . A specific UBM 242 is coupled to the IVR 210 to route the high voltage signal to the IVR 210 for conditioning and conversion to a low voltage signal for providing to the first package component 100 .

도 21에서, 도전성 커넥터(246)가 UBM(242) 상에 형성된다. 도전성 커넥터(246)는 BGA(ball grid array) 커넥터, 솔더 볼, 금속 필라, C4(controlled collapse chip connection) 범프, 마이크로 범프, ENEPIG(electroless nickel-electroless palladium-immersion gold) 기술로 형성된 범프 등일 수 있다. 도전성 커넥터(246)는 솔더, 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석 등, 또는 이들의 조합과 같은 도전성 물질을 포함할 수 있다. 일부 실시예들에서, 도전성 커넥터(246)는 증발, 전기도금, 프린팅, 솔더 전사, 볼 배치 등을 통해 솔더층을 초기에 형성함으로써 형성된다. 솔더층이 구조물 상에서 형성되면, 원하는 범프 형상으로 물질을 형상화하기 위해 리플로우가 수행될 수 있다. 다른 실시예에서, 도전성 커넥터(246)는 스퍼터링, 프린팅, 전기도금, 무전해 도금, CVD 등에 의해 형성된 금속 필라(예컨대, 구리 필라)를 포함한다. 금속 필라는 솔더가 없을 수 있고 실질적으로 수직한 측벽들을 갖는다. 일부 실시예들에서, 금속 캡층이 금속 필라의 최상부 상에 형성된다. 금속 캡층은 니켈, 주석, 주석 납, 금, 은, 팔라듐, 인듐, 니켈 팔라듐 금, 니켈 금 등, 또는 이들의 조합을 포함할 수 있고, 도금 공정에 의해 형성될 수 있다.In FIG. 21 , a conductive connector 246 is formed on the UBM 242 . The conductive connector 246 may be a ball grid array (BGA) connector, a solder ball, a metal pillar, a controlled collapse chip connection (C4) bump, a micro bump, a bump formed by an electroless nickel-electroless palladium-immersion gold (ENEPIG) technology, or the like. . The conductive connector 246 may include a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, or the like, or a combination thereof. In some embodiments, the conductive connector 246 is formed by initially forming a solder layer through evaporation, electroplating, printing, solder transfer, ball placement, or the like. Once the solder layer is formed on the structure, a reflow may be performed to shape the material into the desired bump shape. In another embodiment, the conductive connector 246 includes metal pillars (eg, copper pillars) formed by sputtering, printing, electroplating, electroless plating, CVD, or the like. The metal pillar may be solder free and has substantially vertical sidewalls. In some embodiments, a metal cap layer is formed on top of the metal pillar. The metal cap layer may include nickel, tin, tin lead, gold, silver, palladium, indium, nickel palladium gold, nickel gold, or the like, or a combination thereof, and may be formed by a plating process.

도 22에서, 일부 실시예들에 따라, UBM(242)을 형성하는 대신에, 금속화 패턴(244)이 유전체층(238) 위에 형성될 수 있다. 금속화 패턴(244)은 금속화 패턴(216)과 관련하여 전술한 것과 유사한 물질들과 공정들을 사용하여 형성될 수 있다. 금속화 패턴(244)은 전술한 바와 같은 공정들과 물질들을 사용하여 형성될 수 있는 도전성 커넥터(246)를 형성하기에 적절한 패턴으로 형성된 영역들을 가질 수 있다.22 , instead of forming the UBM 242 , a metallization pattern 244 may be formed over the dielectric layer 238 , in accordance with some embodiments. The metallization pattern 244 may be formed using materials and processes similar to those described above with respect to the metallization pattern 216 . The metallization pattern 244 may have regions formed in a pattern suitable for forming a conductive connector 246 that may be formed using processes and materials as described above.

도 23에서, 반완성된 기판(300)(기판(300)이라고도 칭함)이 제공되고, 도 24에서, 제2 패키지 컴포넌트(200)에 접합된다. 기판(300)은 제1 패키지 컴포넌트(100)에 대한 강도 및 강성을 제공한다. 기판(300)은 재배선 구조물(240)의 상이한 층들 간의 열팽창 계수(coefficient of thermal expansion; CTE) 불일치로 인해 초래될 수 있는 휨 문제를 감소시킨다. 기판(300)이 없으면, 캐리어 기판(202)이 제거될 때, 제2 패키지 컴포넌트(200)는 휨 문제를 겪을 가능성이 있다. 기판(300)이 없는 경우, 휨 효과를 감소시키기 위해, 금속화 패턴들 중 임의의 특정 금속화 패턴에 대해 패턴의 좌측이 패턴의 우측과 동일하도록, 재배선 구조물(240)은 각 층에서 서로를 미러링하는 금속화 패턴들을 사용할 수 있다. 그러나, 기판(300)이 제공되기 때문에, 기판 코어(310) 및 다른 층들은 휨을 방지하는 안정성 및 강성을 제공하여, 각각의 금속화 패턴들(216, 220, 224, 228, 232, 236)이 전체 층에 걸쳐 변할 수 있게 하는데, 이는 패턴 라우팅에서 더 많은 유연성을 제공한다. 다시 말해서, 금속화 패턴들의 우측은 금속화 패턴들의 좌측과는 상이할 수 있거나, 또는 금속화 패턴들의 우측은 금속화 패턴의 좌측과 비대칭일 수 있다.In FIG. 23 , a semi-finished substrate 300 (also referred to as substrate 300 ) is provided and, in FIG. 24 , bonded to the second package component 200 . The substrate 300 provides strength and rigidity for the first package component 100 . The substrate 300 reduces warpage problems that may be caused by a coefficient of thermal expansion (CTE) mismatch between the different layers of the redistribution structure 240 . Without the substrate 300 , when the carrier substrate 202 is removed, the second package component 200 is likely to experience warpage problems. In the absence of the substrate 300 , the redistribution structures 240 are interconnected in each layer such that the left side of the pattern is the same as the right side of the pattern for any particular one of the metallization patterns, in order to reduce the warping effect. It is possible to use metallization patterns that mirror the However, since the substrate 300 is provided, the substrate core 310 and other layers provide stability and stiffness to prevent warping, so that each of the metallization patterns 216 , 220 , 224 , 228 , 232 , 236 is Allows it to vary across the entire layer, which provides more flexibility in pattern routing. In other words, the right side of the metallization patterns may be different from the left side of the metallization patterns, or the right side of the metallization patterns may be asymmetrical with the left side of the metallization pattern.

반완성된 기판(300)을 사용하는 것은 또한 기판(300)이 개별적인 공정으로 제조되는 장점을 갖는다. 기판(300)을 형성하기 위해 개별적인 공정을 사용하는 것은 더 큰 신뢰성 및 더 높은 기판 수율로 이어질 수 있다. 또한, 기판(300)은 개별적인 공정에서 형성되기 때문에, 기판(300)은 개별적으로 테스트될 수 있어서, 기판(300)을 제2 패키지 컴포넌트(200)에 부착시키는 후속 공정에서 알려진 양품 기판(300)이 사용되도록 한다.Using the semi-finished substrate 300 also has the advantage that the substrate 300 is manufactured in a separate process. Using a separate process to form the substrate 300 may lead to greater reliability and higher substrate yield. In addition, since the substrate 300 is formed in a separate process, the substrate 300 can be individually tested, so that a known good substrate 300 is used in a subsequent process of attaching the substrate 300 to the second package component 200 . let this be used.

반완성된 기판(300)은 비아(312)가 내부에 형성된 기판 코어(310), 및 기판 코어(310) 위의 접합 패드(322)를 포함할 수 있다. 기판(300)은 또한 기판(300)의 바닥 상에 형성된 접합 패드(342)를 가질 수 있다. 일부 실시예들에서, 비아(312)는 배리어층(314)에 의해 둘러싸일 수 있다. 기판(300)은 개별적인 공정에서 형성될 수 있다. 기판 코어(310)는 실리콘, 게르마늄, 다이아몬드 등과 같은 반도체 물질로 제조될 수 있다. 대안적으로, 실리콘 게르마늄, 실리콘 카바이드, 갈륨 비소, 인듐 비소, 인듐 인, 실리콘 게르마늄 카바이드, 갈륨 비소 인, 갈륨 인듐 인, 이들의 조합 등과 같은 화합물 물질이 또한 이용될 수 있다. 추가적으로, 기판 코어(310)는 SOI(silicon-on-insulator) 기판일 수 있다. 일반적으로, SOI 기판은 에피택셜 실리콘, 게르마늄, 실리콘 게르마늄, SOI, SGOI(silicon germanium on insulator), 또는 이들의 조합과 같은 반도체 물질층을 포함한다. 기판 코어(310)는, 하나의 대안적인 실시예에서, 유리섬유 강화 수지 코어와 같은 절연 코어를 기반으로 한다. 하나의 예시적인 코어 물질은 FR4(난연 등급 4 물질) 등과 같은 유리섬유 수지이다. 코어 물질의 대안은 프리-함침(pre-impregnated) 복합 섬유(프리프레그), 절연막 또는 빌드업 막, 종이, 유리 섬유, 부직포 유리 직물, 실리콘, RCC(resin coated copper), 몰딩 물질, 폴리이미드, PID(photo image dielectrics), 세라믹, 유리, BT(bismaleimide-triazine) 수지, 또는 대안적으로, PCB(printed circuit board) 물질 또는 막을 포함한다. 기판 코어(310)를 위해 ABF(Ajinomoto Build-up Film)과 같은 라미네이트 및 코팅 또는 다른 라미네이트를 포함하는 빌드 업 막이 사용될 수도 있다.The semi-finished substrate 300 may include a substrate core 310 having vias 312 formed therein, and bonding pads 322 over the substrate core 310 . Substrate 300 may also have bonding pads 342 formed on the bottom of substrate 300 . In some embodiments, the via 312 may be surrounded by a barrier layer 314 . The substrate 300 may be formed in a separate process. The substrate core 310 may be made of a semiconductor material such as silicon, germanium, or diamond. Alternatively, compound materials such as silicon germanium, silicon carbide, gallium arsenide, indium arsenide, indium phosphorus, silicon germanium carbide, gallium arsenide phosphorus, gallium indium phosphorus, combinations thereof, and the like may also be used. Additionally, the substrate core 310 may be a silicon-on-insulator (SOI) substrate. In general, an SOI substrate includes a layer of semiconductor material such as epitaxial silicon, germanium, silicon germanium, SOI, silicon germanium on insulator (SGOI), or a combination thereof. The substrate core 310, in one alternative embodiment, is based on an insulating core, such as a glass fiber reinforced resin core. One exemplary core material is a glass fiber resin such as FR4 (flame retardant grade 4 material). Alternatives to core materials include pre-impregnated composite fibers (prepregs), insulating or build-up films, paper, glass fibers, non-woven glass fabrics, silicone, resin coated copper (RCC), molding materials, polyimides, photo image dielectrics (PIDs), ceramics, glass, bismaleimide-triazine (BT) resins, or alternatively, printed circuit board (PCB) materials or films. A build-up film including a laminate and coating or other laminate such as Ajinomoto Build-up Film (ABF) may be used for the substrate core 310 .

기판 코어(310)는 능동 및 수동 디바이스들(도시되지 않음)을 포함할 수 있거나, 또는 능동 디바이스, 수동 디바이스, 또는 이 둘 다가 없을 수 있다. 본 발명분야의 당업자는 트랜지스터, 커패시터, 저항기, 이들의 조합 등과 같은 폭넓게 다양한 디바이스들이 사용될 수 있다는 것을 알 것이다. 이러한 디바이스들은 임의의 적절한 방법들을 이용하여 형성될 수 있다.The substrate core 310 may include active and passive devices (not shown), or may be free of active devices, passive devices, or both. Those skilled in the art will appreciate that a wide variety of devices may be used, such as transistors, capacitors, resistors, combinations thereof, and the like. Such devices may be formed using any suitable methods.

반완성된 기판(300) 상에는 최상부 재배선 구조물(340) 및/또는 바닥부 재배선 구조물(360)이 형성될 수 있다. 최상부 재배선 구조물(340)은 유전체층들(324, 328, 332); 및 금속화 패턴들(326, 330, 334)을 포함한다. 금속화 패턴은 또한 재배선층 또는 재배선 라인이라고도 칭해질 수 있다. 최상부 재배선 구조물(340)은 3개의 유전체층들 내에 3개의 금속화 패턴들의 층들을 갖는 예시로서 도시되어 있다. 최상부 재배선 구조물(340) 내에는 더 많거나 더 적은 수의 유전체층들 및 금속화 패턴들이 형성될 수 있다.A top redistribution structure 340 and/or a bottom redistribution structure 360 may be formed on the semi-finished substrate 300 . The top redistribution structure 340 includes dielectric layers 324 , 328 , 332 ; and metallization patterns 326 , 330 , 334 . The metallization pattern may also be referred to as a redistribution layer or a redistribution line. The top redistribution structure 340 is shown as an example with three layers of metallization patterns in three dielectric layers. More or fewer dielectric layers and metallization patterns may be formed in the uppermost redistribution structure 340 .

유전체층(324)은 유전체층(212)과 관련하여 위에서 논의된 것과 유사한 공정들과 물질을 사용하여 형성될 수 있다. 또한, 유전체층(324)은 프리프레그, RCC, 몰딩 물질, 폴리이미드, PID 등을 포함할 수 있다. 유전체층(324)은 또한 하나 이상의 라미네이션층 또는 코팅으로 제조될 수 있다. 금속화 패턴(326)은 금속화 패턴(216)과 관련하여 위에서 논의된 것과 유사한 물질과 공정들을 사용하여 형성될 수 있다. 금속화 패턴(326)의 형성에 이어서, 유전체층 및 금속화 패턴을 형성하는 공정은 원하는 수의 최상부 재배선 구조물(340)의 층들을 형성하기 위해 필요한 만큼 반복될 수 있다. 예시된 실시예에서, 유전체층(324) 및 금속화 패턴(326)을 형성하는 공정은 유전체층(328) 및 금속화 패턴(330)을 형성하고, 이어서 유전체층(332) 및 금속화 패턴(334)을 형성하기 위해 반복될 수 있다. 유전체층(332)은 최상부 재배선 구조물(340)의 최상위 유전체층이다.Dielectric layer 324 may be formed using processes and materials similar to those discussed above with respect to dielectric layer 212 . Further, the dielectric layer 324 may include a prepreg, RCC, a molding material, polyimide, PID, or the like. Dielectric layer 324 may also be made of one or more lamination layers or coatings. The metallization pattern 326 may be formed using materials and processes similar to those discussed above with respect to the metallization pattern 216 . Following the formation of the metallization pattern 326 , the process of forming the dielectric layer and the metallization pattern may be repeated as needed to form a desired number of layers of the top redistribution structure 340 . In the illustrated embodiment, the process of forming dielectric layer 324 and metallization pattern 326 forms dielectric layer 328 and metallization pattern 330 , followed by dielectric layer 332 and metallization pattern 334 . can be repeated to form. The dielectric layer 332 is the uppermost dielectric layer of the uppermost redistribution structure 340 .

바닥부 재배선 구조물(360)은 유전체층들(344, 348, 352); 및 금속화 패턴들(346, 350, 354)을 포함한다. 바닥부 재배선 구조물(360)은 3개의 유전체층들 내에 3개의 금속화 패턴들의 층들을 갖는 예시로서 도시되어 있다. 바닥부 재배선 구조물(360) 내에는 더 많거나 더 적은 수의 유전체층들 및 금속화 패턴들이 형성될 수 있다.The bottom redistribution structure 360 includes dielectric layers 344 , 348 , 352 ; and metallization patterns 346 , 350 , 354 . The bottom redistribution structure 360 is shown as an example with three layers of metallization patterns in three dielectric layers. More or fewer dielectric layers and metallization patterns may be formed in the bottom redistribution structure 360 .

기판(300)의 바닥부 재배선 구조물(360)은 기판(300)을 뒤집고, 최상부 재배선 구조물(340)과 관련하여 위에서 논의된 것과 유사한 공정들과 물질들을 사용하여 바닥부 재배선 구조물(360)을 형성함으로써 형성될 수 있다. 특히, 유전체층들(344, 348, 352)은 각각 유전체층들(324, 328, 332)과 유사하게 형성될 수 있다. 마찬가지로, 금속화 패턴들(346, 350, 354)은 각각 금속화 패턴들(326, 330, 334)과 유사하게 형성될 수 있다.The bottom redistribution structure 360 of the substrate 300 inverts the substrate 300 , and the bottom redistribution structure 360 uses processes and materials similar to those discussed above with respect to the top redistribution structure 340 . ) can be formed by forming In particular, dielectric layers 344, 348, and 352 may be formed similarly to dielectric layers 324, 328, and 332, respectively. Similarly, the metallization patterns 346 , 350 , and 354 may be formed similarly to the metallization patterns 326 , 330 , and 334 , respectively.

도 24에서, 기판(300)은 도전성 커넥터(246)에 의해 제2 패키지 컴포넌트(200)에 접합되어 패키지(400)를 형성한다. 일부 실시예들에서, 기판(300)은 픽 앤드 플레이스 공정 또는 다른 적절한 공정들을 사용하여 도전성 커넥터(246) 상에 배치될 수 있고, 플립 칩 접합 공정 또는 다른 적절한 접합 공정에 의해 도전성 커넥터(246)에 접합될 수 있다. 일부 실시예들에서, 금속화 패턴(354)에 의해 기판(300)을 제2 패키지 컴포넌트(200)에 부착시키기 위해 도전성 커넥터(246)는 리플로우된다. 도전성 커넥터(246)는 기판(300)을 제2 패키지 컴포넌트(200)에 전기적으로 및/또는 물리적으로 결합시킨다.In FIG. 24 , the substrate 300 is bonded to the second package component 200 by a conductive connector 246 to form a package 400 . In some embodiments, the substrate 300 may be disposed on the conductive connector 246 using a pick and place process or other suitable processes, and may be disposed on the conductive connector 246 by a flip chip bonding process or other suitable bonding process. can be joined to In some embodiments, the conductive connector 246 is reflowed to attach the substrate 300 to the second package component 200 with the metallization pattern 354 . The conductive connector 246 electrically and/or physically couples the substrate 300 to the second package component 200 .

도전성 커넥터(246)가 리플로우되기 전에는 도전성 커넥터(122) 상에 에폭시 플럭스(미도시됨)가 형성되어 있을 수 있고, 에폭시 플럭스의 에폭시 부분의 적어도 일부는 반완성된 기판(300)이 제2 패키지 컴포넌트(200)에 부착된 후에 잔존한다. 이 잔존하는 에폭시 부분은 응력을 감소시키고 도전성 커넥터(246)의 리플로우로 인해 초래된 접합을 보호하기 위한 언더필로서 작용할 수 있다. 일부 실시예들에서, 언더필(도시되지 않음)이 제2 패키지 컴포넌트(200)와 기판(300) 사이에 그리고 도전성 커넥터(246) 주위에 형성될 수 있다. 언더필은 기판(300)이 부착된 후에 모세관 유동 공정에 의해 형성될 수 있거나, 또는 기판(300)이 부착되기 전에 적절한 퇴적 방법에 의해 형성될 수 있다.Before the conductive connector 246 is reflowed, an epoxy flux (not shown) may be formed on the conductive connector 122 , and at least a portion of the epoxy portion of the epoxy flux may form a semi-finished substrate 300 on the second conductive connector 122 . It remains after being attached to the package component 200 . This remaining epoxy portion may act as an underfill to reduce stress and protect the bonding resulting from reflow of the conductive connector 246 . In some embodiments, an underfill (not shown) may be formed between the second package component 200 and the substrate 300 and around the conductive connector 246 . The underfill may be formed by a capillary flow process after the substrate 300 is attached, or may be formed by a suitable deposition method before the substrate 300 is attached.

일부 실시예들에서, 수동 디바이스들(예를 들어, 도시되지 않은 표면 실장 디바이스(surface mount device; SMD))은 또한 제1 패키지 컴포넌트(100)에(예를 들어, 접촉 패드(140)에) 또는 제2 패키지 컴포넌트(200)에(예를 들어, UBM(242)에) 또는 기판(300)에(예를 들어, 금속화 패턴(334) 또는 금속화 패턴(354)과 관련된 패드를 접합시키기 위해) 부착될 수 있다. 수동 디바이스들은 제2 패키지 컴포넌트(200)를 형성하기 위해 재배선 구조물(240)을 형성하기 전에 제1 패키지 컴포넌트(100)에 부착될 수 있거나, 또는 기판(300)을 부착시키기 전에 제2 패키지 컴포넌트(200)에 부착될 수 있거나, 또는 기판(300)을 제2 패키지 컴포넌트(200)에 실장하기 전에 또는 그 후에 부착될 수 있다.In some embodiments, passive devices (eg, a surface mount device (SMD), not shown) are also attached to the first package component 100 (eg, to the contact pad 140 ). or bonding a pad associated with the metallization pattern 334 or metallization pattern 354 to the second package component 200 (eg, to the UBM 242 ) or to the substrate 300 (eg, the metallization pattern 334 ). for) can be attached. Passive devices may be attached to the first package component 100 prior to forming the redistribution structure 240 to form the second package component 200 , or may be attached to the second package component prior to attaching the substrate 300 . 200 , or before or after mounting the substrate 300 to the second package component 200 .

도 25에서, 다수의 패키지(400)(예를 들어, 패키지들(400A, 400B))가 캐리어 기판(202) 상에 형성되는 것으로 도시되어 있으며, 나중에 개별 패키지들로 단품화된다. 추가적인 그러한 패키지들이 캐리어 기판(202) 상에 형성될 수 있음을 이해해야 한다. 패키지(400A)의 기판(300A)이 패키지(400B)의 기판(300B)에 인접해 있는 곳에서 확대된 영역이 도시되어 있다. 기판(300A)은 약 500㎛와 같이, 약 25㎛와 약 1,000㎛ 사이의 거리(D4)만큼 인접한 기판(300B)으로부터 분리된다. 이 거리는 패키지(400B)로부터 패키지(400A)의 단품화를 위한 공간을 제공한다. 패키지(400A) 및 패키지(400B)의 형성 공정의 결과로서, 각각의 기판들(300A, 300B)은 각각 제2 패키지 컴포넌트들(200A, 200B)보다 더 작을 것이다. 다시 말해, 패키지(400)는 자신에 부착된 패키지 컴포넌트만큼 폭이 넓지 않은 기판을 초래할 것이다. 이것은 아래의 추가적인 논의에서 보여질 것이다.In FIG. 25 , multiple packages 400 (eg, packages 400A, 400B) are shown formed on a carrier substrate 202 , which are later singulated into individual packages. It should be understood that additional such packages may be formed on the carrier substrate 202 . An enlarged area is shown where substrate 300A of package 400A is adjacent substrate 300B of package 400B. Substrate 300A is separated from adjacent substrate 300B by a distance D4 between about 25 μm and about 1,000 μm, such as about 500 μm. This distance provides a space for singling the package 400A from the package 400B. As a result of the process of forming package 400A and package 400B, each of the substrates 300A, 300B will be smaller than the second package components 200A, 200B, respectively. In other words, the package 400 will result in a substrate that is not as wide as the package components attached to it. This will be shown in further discussion below.

도 26에서, 인캡슐런트(406)는 기판들(300A, 300B) 상에, 그 주위, 그리고 그 사이에 형성된다. 형성 이후, 인캡슐런트(406)는 기판들(300A, 300B)을 캡슐화한다. 인캡슐런트(406)는 몰딩 화합물, 에폭시 등일 수 있다. 인캡슐런트(406)는 압축 몰딩, 전사 몰딩 등에 의해 도포될 수 있고, 반완성된 기판들(300A, 300B)이 매립되거나 덮히도록 캐리어 기판(202) 위에 형성될 수 있다. 인캡슐런트(406)가 반완성된 기판들(300A)과 각각의 제2 패키지 컴포넌트들(200A, 200B) 사이에 추가로 형성된다. 인캡슐런트(406)는 액체 또는 반액체 형태로 도포되고, 그런 후 경화될 수 있다. 인캡슐런트(406)의 형성에 이어서, 금속화 패턴(334)(도 23 참조)을 노출시키기 위해 인캡슐런트(406)에 대해 평탄화 공정이 수행된다. 금속화 패턴(334)과 인캡슐런트(406)의 최상면들은 평탄화 공정 이후에 동일 평면 상에 있다. 평탄화 공정은, 예를 들어, CMP(chemical-mechanical polish), 그라인딩 공정 등일 수 있다. 일부 실시예들에서, 평탄화는, 예를 들어, 금속화 패턴(334)이 이미 노출된 경우, 생략될 수 있다. 유사한 결과를 달성하기 위해 다른 공정들이 사용될 수 있다. 예를 들어, 인캡슐런트(406)를 형성하기 전에 금속화 패턴(334) 위에 유전체 또는 패시베이션층이 형성될 수 있다. 그러한 경우들에서, 금속화 패턴(334)의 일부분들을 노출시키기 위해 후속 단계에서 유전체 또는 패시베이션층이 패터닝될 수 있다.In FIG. 26 , encapsulant 406 is formed on, around, and between substrates 300A and 300B. After formation, encapsulant 406 encapsulates substrates 300A, 300B. The encapsulant 406 may be a molding compound, epoxy, or the like. The encapsulant 406 may be applied by compression molding, transfer molding, or the like, and formed over the carrier substrate 202 so that the semi-finished substrates 300A, 300B are buried or covered. An encapsulant 406 is further formed between the semi-finished substrates 300A and each of the second package components 200A, 200B. The encapsulant 406 may be applied in liquid or semi-liquid form and then cured. Following formation of the encapsulant 406 , a planarization process is performed on the encapsulant 406 to expose the metallization pattern 334 (see FIG. 23 ). The top surfaces of the metallization pattern 334 and the encapsulant 406 are coplanar after the planarization process. The planarization process may be, for example, chemical-mechanical polish (CMP), a grinding process, or the like. In some embodiments, planarization may be omitted, for example if the metallization pattern 334 is already exposed. Other processes may be used to achieve similar results. For example, a dielectric or passivation layer may be formed over the metallization pattern 334 prior to forming the encapsulant 406 . In such cases, a dielectric or passivation layer may be patterned in a subsequent step to expose portions of the metallization pattern 334 .

도 27에서, 유전체층(410)이 반완성된 기판들(300A, 300B) 위에 형성된다. 일부 실시예들에서, 유전체층(410)은 솔더 레지스트이고, 리소그래피 마스크를 사용하여 패터닝될 수 있는 PBO, 폴리이미드, BCB 등과 같은 감광성 물질일 수 있는 폴리머로 형성될 수 있다. 다른 실시예들에서, 유전체층(410)은 실리콘 질화물과 같은 질화물; 실리콘 산화물, PSG, BSG, BPSG 등과 같은 산화물로 형성된다. 유전체층(410)은 스핀 코팅, 라미네이션, CVD 등, 또는 이들의 조합에 의해 형성될 수 있다. 이어서, 금속화 패턴(334)(도 23 참조)의 일부분들을 노출시키는 개구를 형성하도록 유전체층(410)이 패터닝되고, 이 개구는 예를 들어, 나중에 형성되는 볼 그리드 어레이의 커넥터 위치에 대응한다. 패터닝은, 유전체층(410)이 감광성 물질인 경우 유전체층(410)을 광에 노출시키는 것, 또는, 예컨대 이방성 에칭을 사용하여 에칭하는 것과 같은, 허용가능한 공정에 의해 형성될 수 있다. 유전체층(410)이 감광성 물질인 경우, 노광 후 유전체층(410)은 현상될 수 있다.In FIG. 27 , a dielectric layer 410 is formed over semi-finished substrates 300A and 300B. In some embodiments, dielectric layer 410 is a solder resist and may be formed of a polymer that may be a photosensitive material such as PBO, polyimide, BCB, etc. that may be patterned using a lithographic mask. In other embodiments, dielectric layer 410 may include a nitride such as silicon nitride; It is formed of oxides such as silicon oxide, PSG, BSG, BPSG, and the like. The dielectric layer 410 may be formed by spin coating, lamination, CVD, or the like, or a combination thereof. The dielectric layer 410 is then patterned to form openings exposing portions of the metallization pattern 334 (see FIG. 23 ), which openings correspond to, for example, connector locations in a later formed ball grid array. . The patterning may be formed by any acceptable process, such as exposing the dielectric layer 410 to light if the dielectric layer 410 is a photosensitive material, or etching using, for example, anisotropic etching. When the dielectric layer 410 is a photosensitive material, the dielectric layer 410 may be developed after exposure.

유전체층(410)의 개구 내에는 도전성 커넥터(414)가 형성된다. 일부 실시예들에서, 도전성 커넥터(414)를 형성하기 전에 언더 범프 금속부(예를 들어, UBM(242)과 유사함)가 형성될 수 있다. 다른 실시예들에서, 도전성 커넥터(414)가 금속화 패턴(334)의 노출된 부분들 상에 형성될 수 있다. 도전성 커넥터(414)는 BGA(ball grid array) 커넥터, 솔더 볼, 금속 필라, C4(controlled collapse chip connection) 범프, 마이크로 범프, ENEPIG(electroless nickel-electroless palladium-immersion gold) 기술로 형성된 범프 등일 수 있다. 도전성 커넥터(414)는 솔더, 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석 등, 또는 이들의 조합과 같은 도전성 물질을 포함할 수 있다. 일부 실시예들에서, 도전성 커넥터(414)는 증발, 전기도금, 프린팅, 솔더 전사, 볼 배치 등을 통해 솔더층을 초기에 형성함으로써 형성된다. 솔더층이 구조물 상에서 형성되면, 원하는 범프 형상으로 물질을 형상화하기 위해 리플로우가 수행될 수 있다. 다른 실시예에서, 도전성 커넥터(414)는 스퍼터링, 프린팅, 전기도금, 무전해 도금, CVD 등에 의해 형성된 금속 필라(예컨대, 구리 필라)를 포함한다. 금속 필라는 솔더가 없을 수 있고 실질적으로 수직한 측벽들을 갖는다. 일부 실시예들에서, 금속 캡층이 금속 필라의 최상부 상에 형성된다. 금속 캡층은 니켈, 주석, 주석 납, 금, 은, 팔라듐, 인듐, 니켈 팔라듐 금, 니켈 금 등, 또는 이들의 조합을 포함할 수 있고, 도금 공정에 의해 형성될 수 있다.A conductive connector 414 is formed in the opening of the dielectric layer 410 . In some embodiments, an under bump metal portion (eg, similar to UBM 242 ) may be formed prior to forming the conductive connector 414 . In other embodiments, a conductive connector 414 may be formed on exposed portions of the metallization pattern 334 . The conductive connector 414 may be a ball grid array (BGA) connector, a solder ball, a metal pillar, a controlled collapse chip connection (C4) bump, a micro bump, a bump formed by an electroless nickel-electroless palladium-immersion gold (ENEPIG) technology, or the like. . The conductive connector 414 may include a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, or the like, or a combination thereof. In some embodiments, the conductive connector 414 is formed by initially forming a solder layer through evaporation, electroplating, printing, solder transfer, ball placement, or the like. Once the solder layer is formed on the structure, a reflow may be performed to shape the material into the desired bump shape. In another embodiment, the conductive connector 414 includes metal pillars (eg, copper pillars) formed by sputtering, printing, electroplating, electroless plating, CVD, or the like. The metal pillar may be solder free and has substantially vertical sidewalls. In some embodiments, a metal cap layer is formed on top of the metal pillar. The metal cap layer may include nickel, tin, tin lead, gold, silver, palladium, indium, nickel palladium gold, nickel gold, or the like, or a combination thereof, and may be formed by a plating process.

도 28에서, 제2 패키지 컴포넌트(200)로부터, 예를 들어, 제2 패키지 컴포넌트들(200A, 200B)로부터 캐리어 기판(202)(도 27 참조)을 부착해제(또는 "접합해제")하기 위해 캐리어 기판 접합해제가 수행된다. 일부 실시예들에 따르면, 접합해제는 박리층(204) 상에 레이저 광 또는 UV 광과 같은 광을 투사시켜서 박리층(204)이 이러한 광의 열로 인해 분해되고 캐리어 기판(202)이 제거될 수 있도록 하는 것을 포함한다. 그런 후, 구조물은 뒤집혀지고, 단품화를 위한 블루 테이프와 같은, 테이프(420) 상에 배치된다.28 , to detach (or “debond”) the carrier substrate 202 (see FIG. 27 ) from the second package component 200 , eg, from the second package components 200A, 200B. Carrier substrate debonding is performed. According to some embodiments, debonding projects light, such as laser light or UV light, onto the release layer 204 so that the release layer 204 decomposes due to the heat of the light and the carrier substrate 202 can be removed. includes doing The structure is then turned over and placed on tape 420, such as blue tape for singulation.

도 29에서, 일부 실시예들에서, 스크라이브 라인 영역을 따라, 예컨대, 패키지(400A)와 패키지(400B) 사이를 소잉함으로써 단품화 공정이 수행된다. 소잉은 패키지(400B)로부터 패키지(400A)를 단품화한다. 결과적인 단품화된 패키지(400)는 패키지(400A) 또는 패키지(400B) 중 하나로부터 나온 것이다. 29 , in some embodiments, a singulation process is performed by sawing along a scribe line region, eg, between package 400A and package 400B. Sawing separates the package 400A from the package 400B. The resulting singulated package 400 is from either package 400A or package 400B.

그런 후, 각각의 단품화된 패키지(400)는 도전성 커넥터(414)를 사용하여 인쇄 회로 기판(600)에 실장된다. 인쇄 회로 기판(600)은 능동 및 수동 컴포넌트들뿐만 아니라 다른 디바이스들을 포함할 수 있다. 일부 실시예들에서, 인쇄 회로 기판(600)은 인터포저 또는 다른 패키지 컴포넌트일 수 있다. 인쇄 회로 기판(600)은 전압원 디바이스(601)를 포함할 수 있는데, 이 전압원 디바이스(601)는 고전압 신호를 도전성 커넥터(414)에 제공하도록 인쇄 회로 기판(600)에 실장되며, 고전압 신호는 그 후에 기판(300)을 통해 다양한 컴포넌트들로 라우팅된다.Then, each singulated package 400 is mounted to a printed circuit board 600 using a conductive connector 414 . Printed circuit board 600 may include active and passive components as well as other devices. In some embodiments, the printed circuit board 600 may be an interposer or other package component. Printed circuit board 600 may include a voltage source device 601 that is mounted to printed circuit board 600 to provide a high voltage signal to conductive connector 414, the high voltage signal being It is then routed through the substrate 300 to the various components.

도 29에서 도시된 바와 같이, 처리 기술들로 인해, 인캡슐런트(406)의 폭(D5)과 폭(D6)이 반완성된 기판(300)의 각각의 측면 상에 잔존한다. 반완성된 기판(300)의 다른 측면들은 그 측면들 상에 유사한 잔류량의 인캡슐런트(406)를 가질 수 있다. 폭(D5, D6)은 0보다는 크고 약 500㎛에 이를 수 있는데, 예를 들어, 약 250㎛와 같이, 약 5㎛와 약 500㎛ 사이일 수 있지만, 다른 값들이 구상가능하다. 일부 실시예들에서, 인캡슐런트(406)의 폭은 반완성된 기판(300)의 각 측면 상에서 균일할 수 있다. 다른 실시예들에서, 인캡슐런트(406)의 폭은 기판(300)의 각 측면 상에서 상이할 수 있다. 이러한 방식으로, 반완성된 기판(300)의 폭은 D5와 D6의 합산만큼 제2 패키지 컴포넌트(200)의 폭보다 작다. 달리 말하면, 재배선 구조물(240)은 기판(300)보다 폭이 더 넓다. 또 달리 말하면, 기판은 지지하는 제2 패키지 컴포넌트(200)보다 더 작은 풋프린트를 갖는다.29 , due to processing techniques, width D5 and width D6 of encapsulant 406 remain on each side of semifinished substrate 300 . Other sides of the semi-finished substrate 300 may have a similar residual amount of encapsulant 406 on those sides. The widths D5 and D6 are greater than zero and can reach about 500 μm, for example between about 5 μm and about 500 μm, such as about 250 μm, although other values are conceivable. In some embodiments, the width of the encapsulant 406 may be uniform on each side of the semi-finished substrate 300 . In other embodiments, the width of the encapsulant 406 may be different on each side of the substrate 300 . In this way, the width of the semi-finished substrate 300 is less than the width of the second package component 200 by the sum of D5 and D6. In other words, the redistribution structure 240 is wider than the substrate 300 . In other words, the substrate has a smaller footprint than the supporting second package component 200 .

일부 실시예들에서, 패키지(400)를 인쇄 회로 기판(600)의 대응하는 접합 패드들에 부착시키기 위해 도전성 커넥터(414)는 리플로우된다. 도전성 커넥터(414)는 인쇄 회로 기판(600)을 패키지(400)에 전기적으로 및/또는 물리적으로 결합시킨다.In some embodiments, the conductive connector 414 is reflowed to attach the package 400 to corresponding bond pads of the printed circuit board 600 . The conductive connector 414 electrically and/or physically couples the printed circuit board 600 to the package 400 .

도전성 커넥터(414)가 리플로우되기 전에는 도전성 커넥터(414) 상에 에폭시 플럭스(미도시됨)가 형성되어 있을 수 있고, 에폭시 플럭스의 에폭시 부분의 적어도 일부는 패키지(400)가 인쇄 회로 기판(600)에 부착된 후에 잔존한다. 이 잔존하는 에폭시 부분은 응력을 감소시키고 도전성 커넥터(414)의 리플로우로 인해 초래된 접합을 보호하기 위한 언더필로서 작용할 수 있다.Before the conductive connector 414 is reflowed, an epoxy flux (not shown) may be formed on the conductive connector 414 , and at least a portion of the epoxy portion of the epoxy flux is transferred to the package 400 by the printed circuit board 600 . ) remains after being attached to it. This remaining epoxy portion can act as an underfill to reduce stress and protect the bonding resulting from reflow of the conductive connector 414 .

패키지(400)는 다른 디바이스 스택들로 구현될 수 있음을 이해해야 한다. 예를 들어, PoP 구조물이 도시되어 있지만, 패키지(400)는 또한 FCBGA(Flip Chip Ball Grid Array) 패키지로 구현될 수 있다. 이러한 실시예들에서, 패키지(400)는 인쇄 회로 기판(600)과 같은 기판에 실장될 수 있다. 뚜껑 또는 열 확산기가 패키지(400)에 부착될 수 있다.It should be understood that package 400 may be implemented with other device stacks. For example, although a PoP structure is shown, package 400 may also be implemented as a Flip Chip Ball Grid Array (FCBGA) package. In such embodiments, package 400 may be mounted on a substrate, such as printed circuit board 600 . A lid or heat spreader may be attached to the package 400 .

다른 피처들 및 공정들이 또한 포함될 수 있다. 예를 들어, 3D 패키징 또는 3DIC 디바이스의 검증 테스트를 지원하기 위해 테스트 구조물이 포함될 수 있다. 테스트 구조물은, 예를 들어, 3D 패키징 또는 3DIC의 테스트, 프로브 및/또는 프로브 카드의 사용 등을 가능하게 해주는, 배선층 내 또는 기판 상에 형성된 테스트 패드를 포함할 수 있다. 검증 테스트는 중간 구조물뿐만이 아니라 최종 구조물에 대해 수행될 수 있다. 또한, 여기에 개시된 구조물 및 방법은 수율을 증가시키고 비용을 감소시키기 위해 공지된 양품 다이들의 중간 검증을 통합하는 테스트 방법과 함께 사용될 수 있다.Other features and processes may also be included. For example, test structures may be included to support validation testing of 3D packaging or 3DIC devices. The test structures may include test pads formed in the wiring layer or on the substrate, for example, to enable 3D packaging or testing of 3DICs, the use of probes and/or probe cards, and the like. Verification tests can be performed on the final structure as well as the intermediate structure. In addition, the structures and methods disclosed herein can be used with test methods that incorporate interim verification of known good dies to increase yield and reduce cost.

도 30에서, 일부 실시예들에서, 제3 패키지 컴포넌트(500)는 패키지(400)의 제1 패키지 컴포넌트(100)에 결합되어 패키지(800)를 형성한다. 제3 패키지 컴포넌트들(500) 중 하나는 각각의 패키지(800A, 800B)에서 결합되어 제1 패키지 컴포넌트(100)의 각 영역에서 집적 회로 디바이스 스택을 형성한다. 그러한 실시예들에서, 제3 패키지 컴포넌트(500)는 제1 패키지 컴포넌트(100)(도 10 참조)의 단품화 이전 또는 단품화 이후 또는 제2 패키지 컴포넌트(200)(도 29 참조)의 단품화 이전 또는 단품화 이후 제1 패키지 컴포넌트(100)에 결합될 수 있다. 예를 들어, 캐리어 기판(202)이 제거될 수 있고 패키지(400)가 뒤집혀지며 테이프(440) 상에 배치될 수 있다(도 28 참조). 그런 후, 제3 패키지 컴포넌트(500)가 부착될 수 있다. 제3 패키지 컴포넌트(500)를 사용하지 않는 실시예들에서, 후면 재배선 구조물(106) 및 관통 비아(116)는 생략될 수 있다.30 , in some embodiments, third package component 500 is coupled to first package component 100 of package 400 to form package 800 . One of the third package components 500 is combined in each package 800A, 800B to form an integrated circuit device stack in each region of the first package component 100 . In such embodiments, the third packaged component 500 is either before or after singulation of the first package component 100 (see FIG. 10 ) or the singulation of the second package component 200 (see FIG. 29 ). It may be coupled to the first package component 100 before or after singulation. For example, the carrier substrate 202 can be removed and the package 400 can be turned over and placed on the tape 440 (see FIG. 28 ). The third package component 500 may then be attached. In embodiments that do not use the third package component 500 , the rear redistribution structure 106 and the through via 116 may be omitted.

제3 패키지 컴포넌트(500)는 기판(502) 및 기판(502)에 결합된 하나 이상의 적층형 다이(510)(예를 들어, 다이들(510A, 510B))를 포함한다. 한 세트의 적층형 다이들(510)(510A, 510B))이 도시되어 있지만, 다른 실시예들에서, 복수의 적층형 다이들(510)(각각 하나 이상의 적층형 다이를 가짐)이 기판(502)의 동일면에 나란히 결합되어 배치될 수 있다. 기판(502)은 실리콘, 게르마늄, 다이아몬드 등과 같은 반도체 물질로 제조될 수 있다. 일부 실시예들에서, 실리콘 게르마늄, 실리콘 카바이드, 갈륨 비소, 인듐 비소, 인듐 인, 실리콘 게르마늄 카바이드, 갈륨 비소 인, 갈륨 인듐 인, 이들의 조합 등과 같은 화합물 물질이 또한 이용될 수 있다. 추가적으로, 기판(502)은 SOI(silicon-on-insulator) 기판일 수 있다. 일반적으로, SOI 기판은 에피택셜 실리콘, 게르마늄, 실리콘 게르마늄, SOI, SGOI(silicon germanium on insulator), 또는 이들의 조합과 같은 반도체 물질층을 포함한다. 기판(502)은, 하나의 대안적인 실시예에서, 유리섬유 강화 수지 코어와 같은 절연 코어를 기반으로 한다. 하나의 예시적인 코어 물질은 FR4와 같은 유리섬유 수지이다. 대안적인 코어 물질에는 BT(bismaleimide-triazine) 수지, 또는 대안적으로는, 다른 PCB(printed circuit board) 물질 또는 막이 포함된다. 기판(502)을 위해 ABF(Ajinomoto build-up film) 또는 다른 라미네이트와 같은 빌드 업 막이 사용될 수 있다.The third package component 500 includes a substrate 502 and one or more stacked dies 510 (eg, dies 510A, 510B) coupled to the substrate 502 . Although a set of stacked dies 510 ( 510A, 510B) is shown, in other embodiments, a plurality of stacked dies 510 (each having one or more stacked dies) are on the same side of the substrate 502 . It can be arranged side by side coupled to. The substrate 502 may be made of a semiconductor material such as silicon, germanium, diamond, or the like. In some embodiments, a compound material such as silicon germanium, silicon carbide, gallium arsenide, indium arsenide, indium phosphorus, silicon germanium carbide, gallium arsenide phosphorus, gallium indium phosphorus, combinations thereof, and the like may also be used. Additionally, the substrate 502 may be a silicon-on-insulator (SOI) substrate. In general, an SOI substrate includes a layer of semiconductor material such as epitaxial silicon, germanium, silicon germanium, SOI, silicon germanium on insulator (SGOI), or a combination thereof. Substrate 502, in one alternative embodiment, is based on an insulating core, such as a fiberglass reinforced resin core. One exemplary core material is a fiberglass resin such as FR4. Alternative core materials include bismaleimide-triazine (BT) resins, or alternatively, other printed circuit board (PCB) materials or films. A build-up film such as Ajinomoto build-up film (ABF) or other laminate may be used for the substrate 502 .

기판(502)은 능동 디바이스와 수동 디바이스(도시되지 않음)를 포함할 수 있다. 제3 패키지 컴포넌트들(500)에 대한 설계의 구조적 및 기능적 요건들을 생성하기 위해 트랜지스터, 커패시터, 저항기, 이들의 조합 등과 같은 폭넓게 다양한 디바이스들이 사용될 수 있다. 이러한 디바이스들은 임의의 적절한 방법들을 이용하여 형성될 수 있다.The substrate 502 may include active and passive devices (not shown). A wide variety of devices may be used, such as transistors, capacitors, resistors, combinations thereof, and the like, to create the structural and functional requirements of the design for the third package components 500 . Such devices may be formed using any suitable methods.

기판(502)은 또한 금속화층들(도시되지 않음) 및 도전성 비아들(508)을 포함할 수 있다. 금속화층은 능동 및 수동 디바이스들 위에 형성될 수 있으며, 기능 회로부를 형성하기 위해 다양한 디바이스들을 연결시키도록 설계된다. 금속층들은 도전성 물질의 층들을 상호연결시키는 비아를 구비하면서 유전체 물질(예컨대, 로우 k 유전체 물질)과 도전성 물질(예컨대, 구리)의 교호 층들로 형성될 수 있고, 이것은 (퇴적, 다마신, 듀얼 다마신 등과 같은) 임의의 적절한 공정을 통해 형성될 수 있다. 일부 실시예들에서, 기판(502)에는 능동 및 수동 디바이스들이 실질적으로 없다.The substrate 502 may also include metallization layers (not shown) and conductive vias 508 . A metallization layer can be formed over active and passive devices and is designed to connect various devices to form functional circuitry. The metal layers may be formed of alternating layers of dielectric material (eg, low k dielectric material) and conductive material (eg, copper) with vias interconnecting the layers of conductive material, which may be (deposition, damascene, dual may be formed through any suitable process (such as mashin, etc.). In some embodiments, the substrate 502 is substantially free of active and passive devices.

기판(502)은 적층형 다이들(510)에 결합되도록 기판(502)의 제1 측면 상에 접합 패드(504)를 가질 수 있고, 도전성 커넥터(520)에 결합되도록 기판(502)의 제2 측면 상에 접합 패드(506)를 가질 수 있으며, 제2 측면은 기판(502)의 제1 측면 반대편에 있다. 일부 실시예들에서, 접합 패드들(504, 506)은 기판(502)의 제1 및 제2 측면들 상의 유전체층들(도시되지 않음) 내에 리세스(도시되지 않음)를 형성함으로써 형성된다. 리세스는 접합 패드들(504, 506)이 유전체층 내에 임베딩될 수 있게 하도록 형성될 수 있다. 다른 실시예들에서, 접합 패드들(504, 506)이 유전체층 상에 형성될 수 있으므로 리세스들은 생략된다. 일부 실시예들에서, 접합 패드들(504, 506)은 구리, 티타늄, 니켈, 금, 팔라듐 등 또는 이들의 조합으로 제조된 얇은 시드층(도시되지 않음)을 포함한다. 접합 패드들(504, 506)의 도전성 물질은 얇은 시드층 위에 퇴적될 수 있다. 도전성 물질은 전기 화학 도금 공정, 무전해 도금 공정, CVD, 원자층 증착(ALD), PVD 등 또는 이들의 조합에 의해 형성될 수 있다. 실시예에서, 접합 패드들(504, 506)의 도전성 물질은 구리, 텅스텐, 알루미늄, 은, 금 등, 또는 이들의 조합이다.The substrate 502 may have a bonding pad 504 on a first side of the substrate 502 to couple to the stacked dies 510 , and a second side of the substrate 502 to couple to a conductive connector 520 . may have a bonding pad 506 thereon, a second side opposite the first side of the substrate 502 . In some embodiments, bonding pads 504 , 506 are formed by forming recesses (not shown) in dielectric layers (not shown) on first and second sides of substrate 502 . The recess may be formed to allow the bonding pads 504 and 506 to be embedded in the dielectric layer. In other embodiments, the recesses are omitted since the bonding pads 504 and 506 may be formed on the dielectric layer. In some embodiments, bonding pads 504 and 506 include a thin seed layer (not shown) made of copper, titanium, nickel, gold, palladium, etc., or a combination thereof. The conductive material of the bonding pads 504 and 506 may be deposited over a thin seed layer. The conductive material may be formed by an electrochemical plating process, an electroless plating process, CVD, atomic layer deposition (ALD), PVD, or the like, or a combination thereof. In an embodiment, the conductive material of the bonding pads 504 , 506 is copper, tungsten, aluminum, silver, gold, etc., or a combination thereof.

실시예에서, 접합 패드(504) 및 접합 패드(506)는 티타늄층, 구리층, 및 니켈층과 같은 3개의 도전성 물질층들을 포함하는 UBM이다. 크롬/크롬 구리 합금/구리/금의 배열, 티타늄/티타늄 텅스텐/구리의 배열, 또는 구리/니켈/금의 배열과 같은, 접합 패드들(504, 506)의 형성을 위해 다른 물질들 및 층들의 배열들이 이용될 수 있다. 접합 패드들(504, 506)을 위해 사용될 수 있는 임의의 적절한 물질들 또는 물질층들은 본 출원의 범위 내에 완전히 포함되는 것으로 의도된다. 일부 실시예들에서, 도전성 비아들(508)은 기판(502)을 관통하여 연장되고, 접합 패드들(504) 중 적어도 하나를 접합 패드들(506) 중 적어도 하나에 결합시킨다.In an embodiment, bonding pad 504 and bonding pad 506 are UBMs comprising three layers of conductive material, such as a titanium layer, a copper layer, and a nickel layer. of other materials and layers to form bond pads 504 , 506 , such as a chromium/chromium copper alloy/copper/gold arrangement, a titanium/titanium tungsten/copper arrangement, or a copper/nickel/gold arrangement. Arrangements may be used. Any suitable materials or layers of materials that may be used for bonding pads 504 and 506 are intended to be fully included within the scope of this application. In some embodiments, conductive vias 508 extend through the substrate 502 and couple at least one of the bonding pads 504 to at least one of the bonding pads 506 .

예시된 실시예에서, 적층형 다이(510)는 와이어 접합부(512)에 의해 기판(502)에 결합되지만, 도전성 범프와 같은, 다른 연결부들이 사용될 수 있다. 실시예에서, 적층형 다이(510)는 적층형 메모리 다이이다. 예를 들어, 적층형 다이(510)는 LPDDR1, LPDDR2, LPDDR3, LPDDR4 등의 메모리 모듈과 같은 저전력(LP) DDR(Double Data Rate) 메모리 모듈과 같은 메모리 다이일 수 있다.In the illustrated embodiment, stacked die 510 is coupled to substrate 502 by wire bonds 512 , although other connections may be used, such as conductive bumps. In an embodiment, stacked die 510 is a stacked memory die. For example, the stacked die 510 may be a memory die such as a low power (LP) double data rate (DDR) memory module, such as memory modules such as LPDDR1, LPDDR2, LPDDR3, and LPDDR4.

적층형 다이(510) 및 와이어 접합부(512)는 몰딩 물질(514)에 의해 캡슐화될 수 있다. 몰딩 물질(514)은 예를 들어, 압축 몰딩을 사용하여 적층형 다이(510) 및 와이어 접합부(512) 상에 몰딩될 수 있다. 일부 실시예들에서, 몰딩 물질(514)은 몰딩 화합물, 폴리머, 에폭시, 실리콘 산화물 충전재 등, 또는 이들의 조합이다. 몰딩 물질(514)을 경화시키기 위해 경화 공정이 수행될 수 있고; 경화 공정은 열 경화, UV 경화 등, 또는 이들의 조합일 수 있다.Stacked die 510 and wire bond 512 may be encapsulated by molding material 514 . Molding material 514 may be molded onto stacked die 510 and wire bond 512 using, for example, compression molding. In some embodiments, the molding material 514 is a molding compound, polymer, epoxy, silicon oxide filler, etc., or a combination thereof. A curing process may be performed to cure the molding material 514 ; The curing process may be thermal curing, UV curing, or the like, or a combination thereof.

일부 실시예들에서, 적층형 다이(510) 및 와이어 접합부(512)는 몰딩 물질(514) 내에 매립되고, 몰딩 물질(514)의 경화 후에, 몰딩 물질(514)의 과잉 부분들을 제거하고 제3 패키지 컴포넌트(500)를 위한 실질적으로 평면을 제공하기 위해, 그라인딩과 같은 평탄화 단계가 수행된다.In some embodiments, stacked die 510 and wire bond 512 are embedded in molding material 514 , and after curing of molding material 514 , remove excess portions of molding material 514 and remove the third package. To provide a substantially flat surface for component 500, a planarization step, such as grinding, is performed.

제3 패키지 컴포넌트(500)가 형성된 후, 제3 패키지 컴포넌트(500)는 도전성 커넥터(520), 접합 패드(506), 및 후면 재배선 구조물(106)(도 3 참조)의 금속화 패턴을 통해 제1 패키지 컴포넌트(100)에 기계적으로 그리고 전기적으로 접합된다. 일부 실시예들에서, 적층형 다이(510)는 와이어 접합부(512), 접합 패드(504, 506), 도전성 비아(508), 도전성 커넥터(520), 후면 재배선 구조물(106), 관통 비아(116), 및 전면 재배선 구조물(122)을 통해 집적 회로 다이들(50A, 50B)(도 5 참조) 및/또는 IVR(210)(도 17 참조)에 결합될 수 있다.After the third package component 500 is formed, the third package component 500 passes through the metallization pattern of the conductive connector 520 , the bonding pad 506 , and the backside redistribution structure 106 (see FIG. 3 ). mechanically and electrically bonded to the first package component 100 . In some embodiments, the stacked die 510 includes a wire bond 512 , bond pads 504 , 506 , a conductive via 508 , a conductive connector 520 , a back redistribution structure 106 , and a through via 116 . ), and to the integrated circuit dies 50A, 50B (see FIG. 5 ) and/or the IVR 210 (see FIG. 17 ) via the front redistribution structure 122 .

일부 실시예들에서, 솔더 레지스트가 적층형 다이(510)와는 기판(502)의 반대 측면 상에 형성된다. 도전성 커넥터(520)가 기판(502) 내의 도전성 피처들(예컨대, 접합 패드(506))에 전기적으로 그리고 기계적으로 결합되도록 솔더 레지스트 내의 개구 내에 배치될 수 있다. 솔더 레지스트는 외부 손상으로부터 기판(502)의 영역을 보호하기 위해 사용될 수 있다.In some embodiments, a solder resist is formed on the opposite side of the substrate 502 from the stacked die 510 . A conductive connector 520 may be disposed within the opening in the solder resist to electrically and mechanically couple to conductive features (eg, bond pad 506 ) in the substrate 502 . Solder resist may be used to protect areas of the substrate 502 from external damage.

일부 실시예들에서, 도전성 커넥터(520)가 리플로우되기 전에는 도전성 커넥터(520) 상에 에폭시 플럭스(미도시됨)가 형성되어 있을 수 있고, 제3 패키지 컴포넌트(500)가 제1 패키지 컴포넌트(100)에 각각 부착된 후 에폭시 플럭스의 에폭시 부분의 적어도 일부분은 잔존한다.In some embodiments, an epoxy flux (not shown) may be formed on the conductive connector 520 before the conductive connector 520 is reflowed, and the third package component 500 forms the first package component ( 100), at least a portion of the epoxy portion of the epoxy flux remains.

일부 실시예들에서, 언더필(501)(예를 들어, 좌측에 도시된 제3 패키지 컴포넌트(500)에 도포된 것으로 도시되되 우측에 도시된 제3 패키지 컴포넌트(500) 상에서는 생략됨)이 도전성 커넥터(520)를 둘러싸도록, 제1 패키지 컴포넌트(100)와 제3 패키지 컴포넌트(500) 사이에 형성된다. 언더필(501)은 응력을 감소시키고 도전성 커넥터(520)의 리플로우로 인한 접합으로부터 보호할 수 있다. 언더필(501)은 제3 패키지 컴포넌트(500)가 부착된 후에 모세관 유동 공정에 의해 형성될 수 있거나, 또는 제3 패키지 컴포넌트(500)가 부착되기 전에 적절한 퇴적 방법에 의해 형성될 수 있다. 에폭시 플럭스가 형성되는 실시예들에서, 에폭시 플럭스가 언더필(501)로서 작용할 수 있다.In some embodiments, the underfill 501 (eg, shown applied to the third package component 500 shown on the left but omitted on the third package component 500 shown on the right) is a conductive connector in some embodiments. It is formed between the first package component 100 and the third package component 500 to surround the 520 . The underfill 501 may reduce stress and protect against bonding due to reflow of the conductive connector 520 . The underfill 501 may be formed by a capillary flow process after the third package component 500 is attached, or may be formed by a suitable deposition method before the third package component 500 is attached. In embodiments where an epoxy flux is formed, the epoxy flux may act as the underfill 501 .

도 31에서, 일부 실시예들에서, 스크라이브 라인 영역을 따라, 예컨대, 패키지(800A)와 패키지(800B)(도 30 참조) 사이를 소잉함으로써 단품화 공정이 수행된다. 소잉은 패키지(800B)로부터 패키지(800A)를 단품화한다. 결과적인 단품화된 패키지(800)는 패키지(800A) 또는 패키지(800B) 중 하나로부터 나온 것이다.31 , in some embodiments, a singulation process is performed by sawing along a scribe line region, eg, between package 800A and package 800B (see FIG. 30 ). Sawing separates the package 800A from the package 800B. The resulting singulated package 800 is from either package 800A or package 800B.

그런 후, 일부 실시예들에 따라, 각각의 단품화된 패키지(800)는 도전성 커넥터(414)를 사용하여 인쇄 회로 기판(600)에 실장된다. 인쇄 회로 기판(600)은 능동 및 수동 컴포넌트들뿐만 아니라 다른 디바이스들을 포함할 수 있다. 일부 실시예들에서, 인쇄 회로 기판(600)은 인터포저 또는 다른 패키지 컴포넌트일 수 있다. 인쇄 회로 기판(600)은 전압원 디바이스(601)를 포함할 수 있는데, 이 전압원 디바이스(601)는 고전압 신호를 도전성 커넥터(414)에 제공하도록 인쇄 회로 기판(600)에 실장되며, 고전압 신호는 그 후에 기판(300)을 통해 다양한 컴포넌트들로 라우팅된다. 일부 실시예들에서, 패키지(800)를 인쇄 회로 기판(600)의 대응하는 접합 패드들에 부착시켜서 디바이스(900)를 형성하기 위해 도전성 커넥터(414)는 리플로우된다. 도전성 커넥터(414)는 도 29와 관련하여 상술한 바와 같이, 인쇄 회로 기판(600)을 패키지(800)에 전기적으로 및/또는 물리적으로 결합시킨다.Then, each singulated package 800 is mounted to a printed circuit board 600 using a conductive connector 414 , in accordance with some embodiments. Printed circuit board 600 may include active and passive components as well as other devices. In some embodiments, the printed circuit board 600 may be an interposer or other package component. Printed circuit board 600 may include a voltage source device 601 that is mounted to printed circuit board 600 to provide a high voltage signal to conductive connector 414, the high voltage signal being It is then routed through the substrate 300 to the various components. In some embodiments, conductive connector 414 is reflowed to attach package 800 to corresponding bond pads of printed circuit board 600 to form device 900 . The conductive connector 414 electrically and/or physically couples the printed circuit board 600 to the package 800 , as described above with respect to FIG. 29 .

도 32에서, 패키지(400) 또는 패키지(800)와 관련하여 상술된 실시예들과 같은 일부 실시예들에 따른, 구조물의 동작을 예시하는 흐름도가 제공된다. 도 32의 흐름은 패키지(400)와 관련하여 아래에서 논의되지만, 이 흐름은 일반적으로 모든 구상가능한 실시예들에 적용될 수 있음을 이해해야 한다. 동작(1010)에서, (예를 들어, 전압원 디바이스(601)로부터) 고전압 신호가 전압 입력을 통해 수신된다. 전압 입력은 예를 들어, 도전성 커넥터(414) 중 하나(도 29 참조)에서 또는 도전성 커넥터(246) 중 하나(도 24 참조)에서 수신될 수 있다. 일부 실시예들에서, 고전압 신호는 약 40W와 같이, 약 20W와 약 120W 사이의 총 전력을 위해, 약 5V 또는 약 12V의 공칭 값, 약 4A와 약 15A 사이의 전류를 가질 수 있지만, 다른 값들이 구상가능하고 사용될 수 있다. 동작(1020)에서, 고전압 신호는 제1 재배선 구조물, 예를 들어 재배선 구조물(240)(도 22 참조)에 의해 임베디드 IVR, 예를 들어, IVR(210)(도 29 참조)로 라우팅된다. 일부 실시예들에서, 재배선 구조물(240)을 통한 총 옴 부하는 약 0.1Ω과 같이, 약 0.05Ω과 약 10Ω 사이일 수 있지만, 다른 값들이 구상가능하다.In FIG. 32 , a flow diagram is provided illustrating operation of a structure in accordance with some embodiments, such as the embodiments described above with respect to package 400 or package 800 . Although the flow of FIG. 32 is discussed below with respect to package 400 , it should be understood that this flow is generally applicable to all conceivable embodiments. At operation 1010 , a high voltage signal (eg, from voltage source device 601 ) is received via a voltage input. The voltage input may be received, for example, at one of the conductive connectors 414 (see FIG. 29 ) or at one of the conductive connectors 246 (see FIG. 24 ). In some embodiments, the high voltage signal may have a nominal value of about 5V or about 12V, a current between about 4A and about 15A, for a total power of between about 20W and about 120W, such as about 40W, but other values are conceivable and can be used. At operation 1020 , the high voltage signal is routed to an embedded IVR, eg, IVR 210 (see FIG. 29 ) by a first redistribution structure, eg, redistribution structure 240 (see FIG. 22 ). . In some embodiments, the total ohmic load through redistribution structure 240 may be between about 0.05 ohms and about 10 ohms, such as about 0.1 ohms, although other values are conceivable.

동작(1030)에서, 고전압 신호는 IVR에 의해 조정된 전압 신호로 변환된다. 조정된 전압 신호의 크기는 고전압 신호의 크기보다 작다. 일부 실시예들에서, 조정된 전압 신호는 약 0.7V와 같이, 약 0.1V와 약 2.5V 사이일 수 있고, 조정된 전압 신호를 위한 전류는 약 58A와 같이, 약 10A와 약 200A 사이일 수 있다. 조정된 전압 출력에 대한 다른 값들이 구상가능하고 사용될 수 있다. 일부 실시예들에서, 고전압 신호에 대한 조정된 전압 출력의 비는 약 10%와 약 20% 사이일 수 있지만, 다른 값들이 구상가능하고 사용될 수 있다. 동작(1040)에서, 조정된 전압 신호를 제1 재배선 구조물을 통해 라우팅하지 않고서 조정된 전압 신호가 제2 재배선 구조물에 의해 임베디드 디바이스 다이로 라우팅된다. 예를 들어, IVR(210)로부터의 조정된 전압 신호는 전면 재배선 구조물(122)(도 12 참조)에 의해 임베디드 집적 회로 다이들(50A 및/또는 50B)(도 5 참조)로 라우팅된다. 일부 실시예들에서, (재배선 구조물(122)과 같은) 제2 재배선 구조물을 통한 총 옴 부하는 약 0.1Ω과 같이, 약 0.05Ω과 약 10Ω 사이일 수 있지만, 다른 값들이 구상가능하다. 0.7V의 조정된 전압에 대한 총 전압 강하는 약 9mV와 약 14mV 사이일 수 있지만, 다른 값들이 구상가능하다. 동작(1050)에서, 선택적으로 일부 실시예들에서, 조정된 전압 신호는 다른 디바이스들로 라우팅될 수 있으며, 이는 조정된 전압 신호를 제1 재배선 구조물을 통해 다른 디바이스들로 다시 라우팅하는 것을 포함할 수 있다.At operation 1030 , the high voltage signal is converted to a regulated voltage signal by the IVR. The magnitude of the regulated voltage signal is smaller than the magnitude of the high voltage signal. In some embodiments, the regulated voltage signal can be between about 0.1V and about 2.5V, such as about 0.7V, and the current for the regulated voltage signal can be between about 10A and about 200A, such as about 58A have. Other values for the regulated voltage output are conceivable and may be used. In some embodiments, the ratio of regulated voltage output to high voltage signal may be between about 10% and about 20%, although other values are conceivable and may be used. At operation 1040 , the regulated voltage signal is routed by the second redistribution structure to the embedded device die without routing the regulated voltage signal through the first redistribution structure. For example, the regulated voltage signal from the IVR 210 is routed by the front redistribution structure 122 (see FIG. 12 ) to the embedded integrated circuit dies 50A and/or 50B (see FIG. 5 ). In some embodiments, the total ohmic load through the second redistribution structure (such as redistribution structure 122 ) may be between about 0.05Ω and about 10Ω, such as about 0.1Ω, although other values are contemplated. . The total voltage drop for a regulated voltage of 0.7V can be between about 9mV and about 14mV, although other values are conceivable. At operation 1050 , optionally in some embodiments, the regulated voltage signal may be routed to other devices, including routing the regulated voltage signal back to the other devices via the first redistribution structure. can do.

본 발명개시의 양태들을 본 발명분야의 당업자가 보다 잘 이해할 수 있도록 앞에서는 여러 개의 실시예들의 특징들을 약술해왔다. 본 발명분야의 당업자는 여기서 소개한 실시예들의 동일한 목적들을 수행하거나 및/또는 동일한 장점들을 달성하기 위한 다른 공정들 및 구조물들을 설계하거나 또는 수정하기 위한 기초로서 본 발명개시를 자신들이 손쉽게 이용할 수 있다는 것을 알아야 한다. 본 발명분야의 당업자는 또한 이와 같은 등가적 구성들은 본 발명개시의 사상과 범위를 이탈하지 않는다는 것과, 본 발명개시의 사상과 범위를 이탈하지 않고서 당업자가 다양한 변경들, 대체들, 및 개조들을 본 발명에서 행할 수 있다는 것을 자각해야 한다.In order that aspects of the present disclosure may be better understood by those skilled in the art, features of several embodiments have been outlined above. Those skilled in the art will appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. you should know Those skilled in the art will also appreciate that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that various changes, substitutions, and alterations will occur to those skilled in the art without departing from the spirit and scope of the present disclosure. You have to be aware that you can do it in your invention.

실시예들Examples

실시예 1. 방법에 있어서,Example 1. A method comprising:

전압 조정기를 제1 패키지의 제1 재배선 구조물에 부착시키는 단계;attaching the voltage regulator to a first redistribution structure of a first package;

상기 전압 조정기 위에 제2 재배선 구조물을 형성하는 단계 - 상기 전압 조정기는 상기 제2 재배선 구조물 내에 임베딩됨 -; 및 forming a second redistribution structure over the voltage regulator, the voltage regulator embedded within the second redistribution structure; and

상기 제1 패키지를 포함하는 제2 패키지를 형성하기 위해 제1 기판을 상기 제2 재배선 구조물에 부착시키는 단계를 포함하는 방법.attaching a first substrate to the second redistribution structure to form a second package including the first package.

실시예 2.실시예 1에 있어서,Example 2. In Example 1,

상기 제1 기판 위에 그리고 그 주위에 인캡슐런트를 형성하는 단계; 및forming an encapsulant over and around the first substrate; and

상기 제2 패키지를 단품화하는 단계를 더 포함하며, 상기 단품화 이후에 상기 인캡슐런트는 상기 제1 기판의 측벽들 상에 잔존하는 것인 방법.The method further comprising singling the second package, wherein the encapsulant remains on sidewalls of the first substrate after singulation.

실시예 3. 실시예 1에 있어서,Example 3. The method of Example 1,

제1 디바이스를 형성하기 위해 상기 제1 기판을 인쇄 회로 기판에 부착시키는 단계를 더 포함하는 방법.and attaching the first substrate to a printed circuit board to form a first device.

실시예 4. 실시예 1에 있어서, 상기 전압 조정기는 상기 제1 재배선 구조물의 횡측 범위 내에 있는 것인 방법.Embodiment 4. The method of embodiment 1, wherein the voltage regulator is within a lateral extent of the first redistribution structure.

실시예 5. 실시예 1에 있어서, 상기 제2 재배선 구조물의 횡측 범위는 상기 제1 기판의 횡측 범위보다 큰 것인 방법.Embodiment 5 The method of embodiment 1, wherein a lateral extent of the second redistribution structure is greater than a lateral extent of the first substrate.

실시예 6. 실시예 1에 있어서,Example 6. The method of Example 1,

상기 제2 재배선 구조물을 통해 제1 전압 신호를 상기 전압 조정기로 라우팅하는 단계;routing a first voltage signal to the voltage regulator through the second redistribution structure;

상기 제1 전압 신호를 제2 전압 신호로 조정하는 단계 - 상기 제2 전압 신호는 상기 제1 전압 신호보다 작은 전압 크기를 가짐 -; 및adjusting the first voltage signal to a second voltage signal, the second voltage signal having a smaller voltage magnitude than the first voltage signal; and

상기 제2 재배선 구조물을 통해 상기 제2 전압 신호를 라우팅하지 않고서 상기 제1 재배선 구조물을 통해 상기 제2 전압 신호를 상기 제1 패키지의 디바이스 다이로 라우팅하는 단계를 더 포함하는 방법.and routing the second voltage signal through the first redistribution structure to a device die of the first package without routing the second voltage signal through the second redistribution structure.

실시예 7. 실시예 1에 있어서, 상기 전압 조정기를 상기 제1 재배선 구조물에 부착시키는 단계는 상기 전압 조정기의 커넥터들을 상기 제1 재배선 구조물의 대응하는 접촉 패드들에 접합시키는 단계를 포함한 것인 방법.Embodiment 7. The method of Embodiment 1 wherein attaching the voltage regulator to the first redistribution structure comprises bonding connectors of the voltage regulator to corresponding contact pads of the first redistribution structure. how to be.

실시예 8. 방법에 있어서,Example 8. A method comprising:

제1 디바이스를 캐리어 기판에 부착시키는 단계;attaching the first device to the carrier substrate;

상기 제1 디바이스를 제1 인캡슐런트 내에 횡측으로 캡슐화하는 단계;transversely encapsulating the first device in a first encapsulant;

상기 제1 디바이스의 접촉 패드들을 상기 제1 인캡슐런트로부터 노출시키는 단계;exposing contact pads of the first device from the first encapsulant;

상기 접촉 패드들에 제2 디바이스를 부착시키는 단계;attaching a second device to the contact pads;

상기 제1 디바이스 및 상기 제1 인캡슐런트 위에 제1 재배선 구조물을 형성하는 단계 - 상기 제1 재배선 구조물은 상기 제1 재배선 구조물의 하나 이상의 층 내에 상기 제2 디바이스를 임베딩함 -; forming a first redistribution structure over the first device and the first encapsulant, the first redistribution structure embedding the second device within one or more layers of the first redistribution structure;

준비된 기판을 제공하는 단계; 및providing a prepared substrate; and

상기 준비된 기판을 상기 제2 디바이스와는 반대편에 있는 상기 제1 재배선 구조물에 부착시키는 단계를 포함하는 방법.attaching the prepared substrate to the first redistribution structure opposite the second device.

실시예 9. 실시예 8에 있어서,Example 9. The method of Example 8,

상기 캐리어 기판을 제거하는 단계; 및removing the carrier substrate; and

제2 패키지로부터 제1 패키지를 단품화하는 단계를 더 포함하며, 상기 제1 패키지는 상기 제1 디바이스와 상기 준비된 기판을 포함하며, 상기 준비된 기판의 치수는 단품화 전후에 동일한 것인 방법.The method further comprising singling a first package from a second package, wherein the first package includes the first device and the prepared substrate, wherein the dimensions of the prepared substrate are the same before and after singulation.

실시예 10. 실시예 9에 있어서,Example 10. The method of Example 9,

상기 준비된 기판을 제2 인캡슐런트 내에 횡측으로 캡슐화하는 단계; 및transversely encapsulating the prepared substrate in a second encapsulant; and

상기 준비된 기판 위에 커넥터들을 형성하는 단계를 더 포함하며, 단품화 이후에 상기 제2 인캡슐런트는 상기 준비된 기판의 측벽들을 덮는 것인 방법.and forming connectors on the prepared substrate, wherein the second encapsulant covers sidewalls of the prepared substrate after singulation.

실시예 11. 실시예 8에 있어서, 상기 제2 디바이스는 상기 제1 디바이스의 횡측 범위 내에 있는 것인 방법.Embodiment 11 The method of embodiment 8, wherein the second device is within a lateral extent of the first device.

실시예 12. 구조물에 있어서,Example 12. A structure comprising:

기판 위에 배치된 제1 재배선 구조물;a first redistribution structure disposed over the substrate;

상기 제1 재배선 구조물 위에 배치된 전압 조정기 - 상기 전압 조정기의 커넥터들은 상기 제1 재배선 구조물을 등지고 있음 -;a voltage regulator disposed over the first redistribution structure, the connectors of the voltage regulator facing the first redistribution structure;

상기 전압 조정기 위에 배치된 제2 재배선 구조물 - 상기 전압 조정기는 상기 제2 재배선 구조물의 횡측 범위 내에 배치됨 -; 및a second redistribution structure disposed over the voltage regulator, the voltage regulator disposed within a lateral extent of the second redistribution structure; and

상기 제2 재배선 구조물 위에 배치된 디바이스 다이 - 상기 제2 재배선 구조물은 상기 전압 조정기의 출력부를 상기 디바이스 다이의 입력부에 전기적으로 결합시킴 -를 포함하는 구조물.a device die disposed over the second redistribution structure, the second redistribution structure electrically coupling an output of the voltage regulator to an input of the device die.

실시예 13. 실시예 12에 있어서,Example 13. The method of Example 12,

상기 기판을 둘러싸는 제1 인캡슐런트를 더 포함하는 구조물.The structure further comprising a first encapsulant surrounding the substrate.

실시예 14. 실시예 13에 있어서, 상기 기판의 횡측 범위는 상기 제1 재배선 구조물의 횡측 범위보다 작은 것인 구조물.Embodiment 14. The structure of embodiment 13, wherein a lateral extent of the substrate is less than a lateral extent of the first redistribution structure.

실시예 15. 실시예 12에 있어서,Example 15. The method of Example 12,

상기 기판과 상기 제1 재배선 구조물 사이에 개재된 복수의 커넥터들을 더 포함하며, 상기 복수의 커넥터들은 상기 기판을 상기 제1 재배선 구조물에 결합시키는 것인 구조물.and a plurality of connectors interposed between the substrate and the first redistribution structure, wherein the plurality of connectors couple the substrate to the first redistribution structure.

실시예 16. 실시예 12에 있어서,Example 16. The method of Example 12,

상기 전압 조정기와 상기 제2 재배선 구조물 사이에 배치된 언더필을 더 포함하는 구조물.The structure further comprising an underfill disposed between the voltage regulator and the second redistribution structure.

실시예 17. 실시예 12에 있어서, 상기 전압 조정기는 상기 제1 재배선 구조물 내에 임베딩된 것인 구조물.Embodiment 17 The structure of embodiment 12, wherein the voltage regulator is embedded within the first redistribution structure.

실시예 18. 실시예 17에 있어서, 상기 전압 조정기는 상기 제1 재배선 구조물의 2개 이상의 층들 내에 임베딩된 것인 구조물.Embodiment 18 The structure of embodiment 17, wherein the voltage regulator is embedded within two or more layers of the first redistribution structure.

실시예 19. 실시예 12에 있어서, 상기 제1 재배선 구조물의 금속화 패턴은 비대칭인 것인 구조물.Embodiment 19. The structure of embodiment 12, wherein the metallization pattern of the first redistribution structure is asymmetric.

실시예 20. 실시예 12에 있어서,Example 20. The method of Example 12,

상기 디바이스 다이 위에 배치된 패키지;a package disposed over the device die;

상기 패키지와 상기 디바이스 다이 사이에 개재된 제3 재배선 구조물;a third redistribution structure interposed between the package and the device die;

상기 제3 재배선 구조물을 상기 제2 재배선 구조물에 결합시키는 하나 이상의 비아를 더 포함하는 구조물.The structure further comprising one or more vias coupling the third redistribution structure to the second redistribution structure.

Claims (10)

방법에 있어서,
전압 조정기를 제1 패키지의 제1 재배선 구조물에 부착시키는 단계;
상기 전압 조정기 위에 제2 재배선 구조물을 형성하는 단계 - 상기 전압 조정기는 상기 제2 재배선 구조물 내에 임베딩됨 - ; 및
상기 제1 패키지를 포함하는 제2 패키지를 형성하기 위해 제1 기판을 상기 제2 재배선 구조물에 부착시키는 단계
를 포함하고,
상기 제2 재배선 구조물의 횡측 범위는 상기 제1 기판의 횡측 범위보다 큰 것인, 방법.
In the method,
attaching the voltage regulator to a first redistribution structure of a first package;
forming a second redistribution structure over the voltage regulator, the voltage regulator embedded within the second redistribution structure; and
attaching a first substrate to the second redistribution structure to form a second package including the first package;
including,
and a lateral extent of the second redistribution structure is greater than a lateral extent of the first substrate.
방법에 있어서,
제1 디바이스를 캐리어 기판에 부착시키는 단계 - 상기 제1 디바이스는 통합형 팬 아웃 패키지(integrated fan out package)이고, 상기 통합형 팬 아웃 패키지는 제1 인캡슐런트에 의해 횡측으로 둘러싸인 제1 임베딩된 다이 및 상기 제1 인캡슐런트 너머에 배치된 팬-아웃 인터커넥트를 포함함 - ;
상기 제1 디바이스를 제2 인캡슐런트 내에 횡측으로 캡슐화하는 단계 - 상기 제2 인캡슐런트는 상기 팬-아웃 인터커넥트의 측벽들 상에 형성됨 - ;
상기 제1 디바이스의 접촉 패드들을 상기 제2 인캡슐런트로부터 노출시키는 단계;
상기 접촉 패드들에 제2 디바이스를 부착시키는 단계;
상기 제1 디바이스 및 상기 제1 인캡슐런트 위에 제1 재배선 구조물을 형성하는 단계 - 상기 제1 재배선 구조물은 상기 제1 재배선 구조물의 하나 이상의 층 내에 상기 제2 디바이스를 임베딩함 - ;
준비된 기판을 제공하는 단계; 및
상기 준비된 기판을 상기 제2 디바이스와는 반대편에 있는 상기 제1 재배선 구조물에 부착시키는 단계
를 포함하는, 방법.
In the method,
attaching a first device to a carrier substrate, wherein the first device is an integrated fan out package, the integrated fan out package comprising: a first embedded die laterally surrounded by a first encapsulant; a fan-out interconnect disposed beyond the first encapsulant;
transversely encapsulating the first device in a second encapsulant, the second encapsulant formed on sidewalls of the fan-out interconnect;
exposing the contact pads of the first device from the second encapsulant;
attaching a second device to the contact pads;
forming a first redistribution structure over the first device and the first encapsulant, the first redistribution structure embedding the second device within one or more layers of the first redistribution structure;
providing a prepared substrate; and
attaching the prepared substrate to the first redistribution structure opposite to the second device;
A method comprising
구조물에 있어서,
기판 위에 배치된 제1 재배선 구조물;
상기 제1 재배선 구조물 위에 배치된 전압 조정기 - 상기 전압 조정기의 커넥터들은 상기 제1 재배선 구조물을 등지고 있음 - ;
상기 전압 조정기 위에 배치된 제2 재배선 구조물 - 상기 전압 조정기는 상기 제2 재배선 구조물의 횡측 범위 내에 배치됨 - ; 및
상기 제2 재배선 구조물 위에 배치된 디바이스 다이 - 상기 제2 재배선 구조물은 상기 전압 조정기의 출력부를 상기 디바이스 다이의 입력부에 전기적으로 결합시킴 -
를 포함하고,
상기 기판의 횡측 범위는 상기 제1 재배선 구조물의 횡측 범위보다 작은 것인, 구조물.
In the structure,
a first redistribution structure disposed over the substrate;
a voltage regulator disposed over the first redistribution structure, the connectors of the voltage regulator facing the first redistribution structure;
a second redistribution structure disposed over the voltage regulator, the voltage regulator disposed within a lateral extent of the second redistribution structure; and
a device die disposed over the second redistribution structure, the second redistribution structure electrically coupling an output of the voltage regulator to an input of the device die;
including,
and a lateral extent of the substrate is less than a lateral extent of the first redistribution structure.
제3항에 있어서,
상기 기판을 둘러싸는 제1 인캡슐런트
를 더 포함하는, 구조물.
4. The method of claim 3,
a first encapsulant surrounding the substrate
Further comprising, the structure.
삭제delete 제3항에 있어서,
상기 기판과 상기 제1 재배선 구조물 사이에 개재된 복수의 커넥터들
을 더 포함하며,
상기 복수의 커넥터들은 상기 기판을 상기 제1 재배선 구조물에 결합시키는 것인, 구조물.
4. The method of claim 3,
a plurality of connectors interposed between the substrate and the first redistribution structure
further comprising,
wherein the plurality of connectors couple the substrate to the first redistribution structure.
제3항에 있어서,
상기 전압 조정기와 상기 제2 재배선 구조물 사이에 개재된 언더필
을 더 포함하는, 구조물.
4. The method of claim 3,
Underfill interposed between the voltage regulator and the second redistribution structure
Further comprising, the structure.
제3항에 있어서,
상기 전압 조정기는 상기 제1 재배선 구조물 내에 임베딩된 것인, 구조물.
4. The method of claim 3,
and the voltage regulator is embedded within the first redistribution structure.
제3항에 있어서,
상기 제1 재배선 구조물의 금속화 패턴은 비대칭인 것인, 구조물.
4. The method of claim 3,
The structure of claim 1, wherein the metallization pattern of the first redistribution structure is asymmetric.
제3항에 있어서,
상기 디바이스 다이 위에 배치된 패키지;
상기 패키지와 상기 디바이스 다이 사이에 개재된 제3 재배선 구조물; 및
상기 제3 재배선 구조물을 상기 제2 재배선 구조물에 결합시키는 하나 이상의 비아
를 더 포함하는, 구조물.
4. The method of claim 3,
a package disposed over the device die;
a third redistribution structure interposed between the package and the device die; and
one or more vias coupling the third redistribution structure to the second redistribution structure
Further comprising, the structure.
KR1020190122125A 2018-12-14 2019-10-02 Embedded voltage regulator structure and method forming same KR102307844B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862779857P 2018-12-14 2018-12-14
US62/779,857 2018-12-14
US16/458,948 US11217546B2 (en) 2018-12-14 2019-07-01 Embedded voltage regulator structure and method forming same
US16/458,948 2019-07-01

Publications (2)

Publication Number Publication Date
KR20200074847A KR20200074847A (en) 2020-06-25
KR102307844B1 true KR102307844B1 (en) 2021-10-06

Family

ID=71070962

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190122125A KR102307844B1 (en) 2018-12-14 2019-10-02 Embedded voltage regulator structure and method forming same

Country Status (2)

Country Link
KR (1) KR102307844B1 (en)
TW (1) TWI719678B (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11456353B2 (en) * 2020-07-16 2022-09-27 Nanya Technology Corporation Semiconductor structure and manufacturing method thereof
CN114388471A (en) * 2020-10-06 2022-04-22 欣兴电子股份有限公司 Packaging structure and manufacturing method thereof
TWI730917B (en) * 2020-10-27 2021-06-11 矽品精密工業股份有限公司 Electronic package and manufacturing method thereof
CN113594153B (en) * 2021-07-30 2024-04-05 东莞记忆存储科技有限公司 Memory chip packaging structure and processing technique thereof
CN117350240B (en) * 2023-12-06 2024-03-12 飞腾信息技术有限公司 Chip

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160035590A1 (en) * 2014-08-04 2016-02-04 Stmicroelectronics Pte Ltd System-in-packages and methods for forming same
US20180323150A1 (en) * 2017-02-08 2018-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-Stacked Package-on-Package Structures

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9831148B2 (en) * 2016-03-11 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package including voltage regulators and methods forming same
US10529697B2 (en) * 2016-09-16 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160035590A1 (en) * 2014-08-04 2016-02-04 Stmicroelectronics Pte Ltd System-in-packages and methods for forming same
US20180323150A1 (en) * 2017-02-08 2018-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-Stacked Package-on-Package Structures

Also Published As

Publication number Publication date
TWI719678B (en) 2021-02-21
KR20200074847A (en) 2020-06-25
TW202022954A (en) 2020-06-16

Similar Documents

Publication Publication Date Title
US11183487B2 (en) Integrated circuit package and method
US11217546B2 (en) Embedded voltage regulator structure and method forming same
KR102367630B1 (en) Integrated circuit package and method
US11984372B2 (en) Integrated circuit package and method
KR102307844B1 (en) Embedded voltage regulator structure and method forming same
US11121089B2 (en) Integrated circuit package and method
KR102424012B1 (en) Semiconductor package and method
US12002767B2 (en) Integrated circuit package and method
US11264359B2 (en) Chip bonded to a redistribution structure with curved conductive lines
US11635566B2 (en) Package and method of forming same
US11227795B2 (en) Integrated circuit package and method
KR20220013891A (en) Heat dissipation in semiconductor packages and methods of forming same
US20230377905A1 (en) Dummy through vias for Integrated Circuit Packages and Methods of Forming the Same
US20230223357A1 (en) Interconnect Structure of Semiconductor Package and Method of Forming the Same
US20230420331A1 (en) Semiconductor package and method
US11444034B2 (en) Redistribution structure for integrated circuit package and method of forming same
US20240145433A1 (en) Integrated circuit package and method
US20230387039A1 (en) Semicondcutor packages and methods of forming thereof

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant