KR102248788B1 - Integrated circuit device and method of manufacturing the same - Google Patents

Integrated circuit device and method of manufacturing the same Download PDF

Info

Publication number
KR102248788B1
KR102248788B1 KR1020170029610A KR20170029610A KR102248788B1 KR 102248788 B1 KR102248788 B1 KR 102248788B1 KR 1020170029610 A KR1020170029610 A KR 1020170029610A KR 20170029610 A KR20170029610 A KR 20170029610A KR 102248788 B1 KR102248788 B1 KR 102248788B1
Authority
KR
South Korea
Prior art keywords
capping layer
layer
insulating
wiring structure
air gap
Prior art date
Application number
KR1020170029610A
Other languages
Korean (ko)
Other versions
KR20180102888A (en
Inventor
김영배
박수현
안상훈
이의복
강성진
서훈석
오혁상
이우진
Original Assignee
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자 주식회사 filed Critical 삼성전자 주식회사
Priority to KR1020170029610A priority Critical patent/KR102248788B1/en
Priority to US15/846,498 priority patent/US10276505B2/en
Priority to CN201810076683.7A priority patent/CN108573949B/en
Priority to CN201810124015.7A priority patent/CN108573916B/en
Publication of KR20180102888A publication Critical patent/KR20180102888A/en
Application granted granted Critical
Publication of KR102248788B1 publication Critical patent/KR102248788B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

집적회로 소자는 기판 상에 형성된 제1 절연막의 적어도 일부를 관통하는 하부 금속막을 포함하는 하부 배선 구조와, 상기 하부 금속막의 상면을 덮는 캡핑층과, 상기 캡핑층을 덮는 제2 절연막과, 상기 제2 절연막 및 상기 캡핑층을 관통하여 상기 하부 금속막에 연결되는 상부 배선 구조와, 상기 하부 금속막과 상기 제2 절연막과의 사이에 배치되고 상기 캡핑층 및 상기 상부 배선 구조에 의해 폭이 한정되는 에어 갭을 포함한다. The integrated circuit device includes a lower wiring structure including a lower metal film penetrating at least a portion of a first insulating film formed on a substrate, a capping layer covering an upper surface of the lower metal film, a second insulating film covering the capping layer, and the second insulating film. 2 An upper wiring structure that penetrates through the insulating layer and the capping layer and is connected to the lower metal layer, and is disposed between the lower metal layer and the second insulating layer and has a width defined by the capping layer and the upper wiring structure. Includes an air gap.

Description

집적회로 소자 및 그 제조 방법 {Integrated circuit device and method of manufacturing the same}Integrated circuit device and method of manufacturing the same}

본 발명의 기술적 사상은 집적회로 소자 및 그 제조 방법에 관한 것으로, 특히 다층 배선 구조를 포함하는 집적회로 소자 및 그 제조 방법에 관한 것이다. The technical idea of the present invention relates to an integrated circuit device and a method of manufacturing the same, and more particularly, to an integrated circuit device including a multilayer wiring structure and a method of manufacturing the same.

전자 기술의 발달로 인해, 집적회로 소자의 다운-스케일링(down-scaling)이 급속도로 진행되고 있으며, 집적회로 소자에 포함되는 금속 배선층들의 선폭 및 피치도 미세화되고 있다. 이에 따라, 금속 배선층들의 저항 증가 및 누설 전류를 억제하고 금속의 전자이동(electromigration)을 억제하면서 금속 배선층들을 포함하는 다층 배선 구조의 물리적 손상을 최소화하여 집적회로 소자의 수명 및 신뢰성을 개선할 필요가 있다. Due to the development of electronic technology, down-scaling of integrated circuit devices is rapidly progressing, and line widths and pitches of metal wiring layers included in integrated circuit devices are also being refined. Accordingly, it is necessary to improve the life and reliability of the integrated circuit device by minimizing physical damage to the multilayer wiring structure including the metal wiring layers while suppressing the increase in resistance and leakage current of the metal wiring layers, and suppressing the electromigration of the metal. have.

본 발명의 기술적 사상이 이루고자 하는 기술적 과제는 금속 배선층들의 저항 증가 및 누설 전류를 억제하고 금속의 전자이동을 억제하면서 다층 배선 구조의 물리적 손상을 방지함으로써 수명 및 신뢰성을 향상시킬 수 있는 집적회로 소자 및 그 제조 방법을 제공하는 것이다. The technical problem to be achieved by the technical idea of the present invention is an integrated circuit device capable of improving life and reliability by preventing physical damage to a multilayered wiring structure while suppressing an increase in resistance and leakage current of metal wiring layers, suppressing electron movement of metal, and It is to provide a manufacturing method.

본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자는 기판 상에 형성된 제1 절연막의 적어도 일부를 관통하는 하부 금속막을 포함하는 하부 배선 구조와, 상기 하부 금속막의 상면을 덮는 캡핑층과, 상기 캡핑층을 덮는 제2 절연막과, 상기 제2 절연막 및 상기 캡핑층을 관통하여 상기 하부 금속막에 연결되는 상부 배선 구조와, 상기 하부 금속막과 상기 제2 절연막과의 사이에 배치되고 상기 캡핑층 및 상기 상부 배선 구조에 의해 폭이 한정되는 에어 갭(air gap)을 포함한다. An integrated circuit device according to an aspect of the inventive concept includes a lower wiring structure including a lower metal film penetrating at least a portion of a first insulating film formed on a substrate, a capping layer covering an upper surface of the lower metal film, and A second insulating layer covering the capping layer, an upper wiring structure passing through the second insulating layer and the capping layer and connected to the lower metal layer, and the capping layer disposed between the lower metal layer and the second insulating layer And an air gap having a width defined by the upper wiring structure.

본 발명의 기술적 사상에 의한 다른 양태에 따른 집적회로 소자는 기판 상에 형성된 제1 절연막의 적어도 일부를 관통하고 제1 방향으로 연장되는 하부 금속 라인을 포함하는 하부 배선 구조와, 상기 하부 금속 라인의 상면 및 상기 제1 절연막의 상면을 덮는 캡핑층과, 상기 캡핑층을 덮는 제2 절연막과, 상기 제2 절연막 및 상기 캡핑층을 관통하여 상기 하부 금속 라인에 연결되는 콘택 플러그와, 상기 하부 금속 라인의 상면과 상기 콘택 플러그의 측벽에 의해 한정되는 코너 영역 내에서 상기 하부 금속 라인과 상기 제2 절연막과의 사이에 배치되는 에어 갭을 포함한다. According to another aspect of the inventive concept, an integrated circuit device includes a lower wiring structure including a lower metal line extending in a first direction and penetrating at least a portion of a first insulating layer formed on a substrate, and A capping layer covering an upper surface and an upper surface of the first insulating layer; a second insulating layer covering the capping layer; a contact plug connected to the lower metal line through the second insulating layer and the capping layer; and the lower metal line And an air gap disposed between the lower metal line and the second insulating layer in a corner region defined by an upper surface of and a sidewall of the contact plug.

본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자의 제조 방법에서는 기판 상의 제1 절연막을 식각하여 제1 홀을 형성한다. 상기 제1 홀 내에 하부 금속막을 포함하는 하부 배선 구조를 형성한다. 상기 하부 배선 구조 및 상기 제1 절연막을 덮는 캡핑층을 형성한다. 상기 캡핑층을 덮는 제2 절연막을 형성한다. 상기 제2 절연막 및 상기 캡핑층을 제1 방향으로 관통하는 제2 홀을 형성한다. 상기 제2 홀을 통해 상기 캡핑층 중 일부를 상기 제1 방향에 수직인 제2 방향을 따라 제거하여 상기 캡핑층에 상기 제2 홀과 연통하는 컷아웃(cutout) 영역을 형성한다. 상기 제2 홀 내에 상부 배선 구조를 형성하여 상기 컷아웃 영역에 상기 상부 배선 구조에 의해 한정되는 에어 갭을 형성한다. In a method of manufacturing an integrated circuit device according to an aspect of the inventive concept, a first hole is formed by etching a first insulating layer on a substrate. A lower wiring structure including a lower metal layer is formed in the first hole. A capping layer covering the lower wiring structure and the first insulating layer is formed. A second insulating layer covering the capping layer is formed. A second hole penetrating the second insulating layer and the capping layer in a first direction is formed. A part of the capping layer is removed in a second direction perpendicular to the first direction through the second hole to form a cutout region communicating with the second hole in the capping layer. An upper wiring structure is formed in the second hole to form an air gap defined by the upper wiring structure in the cutout area.

본 발명의 기술적 사상에 의한 집적회로 소자는 다층 배선 구조에서 금속막을 덮는 캡핑층을 구비함으로써 금속막의 저항 증가 및 누설 전류가 억제될 수 있으며, 금속막으로부터 금속의 전자이동이 억제되어 금속막을 포함하는 다층 배선 구조의 신뢰성을 향상시킬 수 있다. 또한, 상기 다층 배선 구조에서 하부 배선 구조를 구성하는 하부 금속막의 상면과 상부 배선 구조의 측벽에 의해 한정되는 코너 영역에 배치되는 에어 갭을 포함한다. 따라서, 하부 배선 구조, 상부 배선 구조, 이들을 감싸는 절연막들, 또는 이들 각각의 사이의 계면에서 박리 또는 크랙이 발생하는 경우에도, 상기 에어 갭에서 박리 또는 크랙의 전파가 정지될 수 있다. 따라서, 다층 배선 구조에서 집적회로 소자의 동작 불량, 수명 저하 등을 억제하여 집적회로 소자의 신뢰성을 향상시킬 수 있다. The integrated circuit device according to the technical idea of the present invention includes a capping layer covering the metal film in a multilayer wiring structure, thereby increasing resistance of the metal film and suppressing leakage current, and suppressing the movement of electrons from the metal film to include the metal film. The reliability of the multilayer wiring structure can be improved. In addition, the multilayer wiring structure includes an air gap disposed in a corner region defined by an upper surface of a lower metal film constituting a lower wiring structure and a sidewall of the upper wiring structure. Accordingly, even when peeling or cracking occurs at the lower wiring structure, the upper wiring structure, the insulating films surrounding them, or an interface between each of them, the peeling or crack propagation may be stopped in the air gap. Accordingly, it is possible to improve the reliability of the integrated circuit device by suppressing the operation failure and the decrease in lifespan of the integrated circuit device in the multilayer wiring structure.

도 1a는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 주요 구성들을 도시한 단면도이다. 도 1b는 도 1a에 예시한 집적회로 소자의 일부 구성들의 일 예에 따른 평면 구조를 보여주는 레이아웃 다이어그램이다.
도 1c 내지 도 1e는 각각 도 1a에 예시한 집적회로 소자의 일부 구성들의 다른 예에 따른 평면 구조를 보여주는 레이아웃 다이어그램이다.
도 2 내지 도 7은 각각 본 발명의 기술적 사상에 의한 다른 실시예들에 따른 집적회로 소자를 설명하기 위한 단면도이다.
도 8a는 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자를 설명하기 위한 단면도이다. 도 8b는 도 8a의 "X1"으로 표시한 부분을 확대하여 보다 상세하게 도시한 단면도이다.
도 9 및 도 10은 각각 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자의 주요 구성들을 도시한 단면도로서, 도 8a의 "X1"으로 표시한 부분에 대응하는 부분의 다른 구성을 도시한 단면도이다.
도 11은 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자를 설명하기 위한 단면도이다.
도 12 내지 도 16은 각각 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자를 설명하기 위한 단면도로서, 도 11의 "X2"로 표시한 부분에 대응하는 부분의 다른 구성을 도시한 단면도이다.
도 17 및 도 18은 각각 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자를 설명하기 위한 단면도이다.
도 19a 내지 도 19f는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 예시적인 제조 방법들을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 20 내지 도 24는 각각 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자를 설명하기 위한 단면도이다.
도 25a는 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자의 주요 구성을 도시한 레이아웃 다이어그램이고, 도 25b는 도 25a의 B - B' 선 단면도이다.
1A is a cross-sectional view illustrating main components of an integrated circuit device according to embodiments of the inventive concept. FIG. 1B is a layout diagram showing a planar structure according to an example of some configurations of the integrated circuit device illustrated in FIG. 1A.
1C to 1E are layout diagrams showing a planar structure according to another example of some configurations of the integrated circuit device illustrated in FIG. 1A, respectively.
2 to 7 are cross-sectional views each illustrating an integrated circuit device according to other embodiments according to the technical idea of the present invention.
8A is a cross-sectional view illustrating an integrated circuit device according to still other embodiments according to the inventive concept. FIG. 8B is an enlarged and more detailed cross-sectional view of a portion indicated by “X1” in FIG. 8A.
9 and 10 are cross-sectional views showing main configurations of an integrated circuit device according to still other embodiments according to the technical idea of the present invention, and other configurations of a portion corresponding to a portion indicated by “X1” in FIG. 8A Is a cross-sectional view showing.
11 is a cross-sectional view illustrating an integrated circuit device according to still other embodiments according to the inventive concept.
12 to 16 are cross-sectional views for explaining an integrated circuit device according to still another embodiment according to the technical idea of the present invention, respectively, showing another configuration of a portion corresponding to a portion indicated by “X2” in FIG. 11 It is a cross-sectional view.
17 and 18 are cross-sectional views each illustrating an integrated circuit device according to still other embodiments according to the inventive concept.
19A to 19F are cross-sectional views illustrating exemplary methods of manufacturing an integrated circuit device according to embodiments of the inventive concept according to a process sequence.
20 to 24 are cross-sectional views each illustrating an integrated circuit device according to still other embodiments according to the technical idea of the present invention.
FIG. 25A is a layout diagram illustrating a main configuration of an integrated circuit device according to still another exemplary embodiment according to the inventive concept, and FIG. 25B is a cross-sectional view taken along line B-B' of FIG. 25A.

이하, 첨부 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. The same reference numerals are used for the same components in the drawings, and redundant descriptions thereof are omitted.

도 1a는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 주요 구성들을 도시한 단면도이다. 1A is a cross-sectional view illustrating main components of an integrated circuit device according to embodiments of the inventive concept.

도 1a를 참조하면, 집적회로 소자(100)는 기판(110) 상에 형성된 제1 절연막(124)의 적어도 일부를 관통하는 하부 배선 구조(130)를 포함한다. 하부 배선 구조(130)는 일 방향, 예를 들면 X 방향을 따라 길게 연장되는 도전 라인 부분을 포함할 수 있다. Referring to FIG. 1A, the integrated circuit device 100 includes a lower wiring structure 130 penetrating at least a portion of the first insulating layer 124 formed on the substrate 110. The lower wiring structure 130 may include a conductive line portion extending in one direction, for example, in the X direction.

기판(110)은 Si 또는 Ge와 같은 반도체, 또는 SiGe, SiC, GaAs, InAs, 또는 InP와 같은 화합물 반도체를 포함할 수 있다. 기판(110)은 도전 영역(도시 생략), 예를 들면 게이트 구조물, 불순물 영역, 콘택 플러그 등과 같은 회로 소자들(도시 생략)을 포함할 수 있다. The substrate 110 may include a semiconductor such as Si or Ge, or a compound semiconductor such as SiGe, SiC, GaAs, InAs, or InP. The substrate 110 may include a conductive region (not shown), for example, circuit elements (not shown) such as a gate structure, an impurity region, and a contact plug.

기판(110) 상에는 제1 식각 저지막(112) 및 하부 절연막(114)과, 이들을 관통하는 하부 도전막(120)이 배치될 수 있다. 제1 식각 저지막(112)은 하부 절연막(114)과는 다른 식각 선택비를 가지는 물질로 이루어질 수 있다. 예를 들면, 제1 식각 저지막(112)은 실리콘 질화막, 탄소 도핑된 실리콘 질화막, 탄소 도핑된 실리콘 산화질화막, 금속 질화막, 또는 이들의 조합으로 이루어질 수 있다. 일부 실시예들에서, 하부 절연막(114)은 실리콘 산화물 계열의 물질로 이루어질 수 있다. 예를 들면, 하부 절연막(114)은 PEOX (plasma enhanced oxide), TEOS (tetraethyl orthosilicate), BTEOS (boro TEOS), PTEOS (phosphorous TEOS), BPTEOS (boro phospho TESO), BSG (boro silicate glass), PSG (phospho silicate glass), BPSG (boro phospho silicate glass) 등으로 이루어질 수 있다. 다른 일부 실시예들에서, 하부 절연막(114)은 약 2.2 ∼ 3.0의 저유전상수 (low dielectric constant K)를 가지는 저유전막, 예를 들면 SiOC 막 또는 SiCOH 막으로 이루어질 수 있다. 하부 도전막(120)은 금속막과 상기 금속막을 포위하는 도전성 배리어막을 포함할 수 있다. 상기 금속막은 Cu, W, Al, Co, Ru, 또는 이들의 조합으로 이루어질 수 있다. 상기 도전성 배리어막은 Ta, TaN, Ti, TiN, 또는 이들의 조합으로 이루어질 수 있다. 하부 도전막(120)은 기판(110)에 형성된 도전 영역, 예를 들면 기판(110)에 형성된 트랜지스터의 소스/드레인 영역(도시 생략) 또는 게이트 전극(도시 생략)에 연결될 수 있다. A first etch stop layer 112 and a lower insulating layer 114 and a lower conductive layer 120 penetrating them may be disposed on the substrate 110. The first etch stop layer 112 may be made of a material having an etching selectivity different from that of the lower insulating layer 114. For example, the first etch stop layer 112 may be formed of a silicon nitride layer, a carbon-doped silicon nitride layer, a carbon-doped silicon oxynitride layer, a metal nitride layer, or a combination thereof. In some embodiments, the lower insulating layer 114 may be made of a silicon oxide-based material. For example, the lower insulating layer 114 is PEOX (plasma enhanced oxide), TEOS (tetraethyl orthosilicate), BTEOS (boro TEOS), PTEOS (phosphorous TEOS), BPTEOS (boro phospho TESO), BSG (boro silicate glass), PSG (phospho silicate glass), BPSG (boro phospho silicate glass), or the like. In some other embodiments, the lower insulating layer 114 may be formed of a low dielectric layer having a low dielectric constant K of about 2.2 to 3.0, for example, a SiOC layer or a SiCOH layer. The lower conductive layer 120 may include a metal layer and a conductive barrier layer surrounding the metal layer. The metal film may be formed of Cu, W, Al, Co, Ru, or a combination thereof. The conductive barrier layer may be formed of Ta, TaN, Ti, TiN, or a combination thereof. The lower conductive layer 120 may be connected to a conductive region formed on the substrate 110, for example, a source/drain region (not shown) or a gate electrode (not shown) of a transistor formed on the substrate 110.

하부 절연막(114) 상에 제2 식각 저지막(122) 및 제1 절연막(124)이 차례로 배치될 수 있다. 하부 배선 구조(130)는 제1 절연막(124) 및 제2 식각 저지막(122)을 관통하여 하부 도전막(120)까지 연장될 수 있다. 제2 식각 저지막(122) 및 제1 절연막(124)에 대한 보다 구체적인 구성은 제1 식각 저지막(112) 및 하부 절연막(114)에 대하여 설명한 바와 대체로 동일하다. A second etch stop layer 122 and a first insulating layer 124 may be sequentially disposed on the lower insulating layer 114. The lower wiring structure 130 may penetrate the first insulating layer 124 and the second etch stop layer 122 and extend to the lower conductive layer 120. A more specific configuration of the second etch stop layer 122 and the first insulating layer 124 is substantially the same as described for the first etch stop layer 112 and the lower insulating layer 114.

하부 배선 구조(130)는 하부 도전성 배리어막(132) 및 하부 금속막(136)을 포함할 수 있다. 하부 도전성 배리어막(132)은 하부 금속막(136)의 저면 및 측벽을 포위할 수 있다. 하부 도전성 배리어막(132)은 Ta, TaN, Ti, TiN, 또는 이들의 조합으로 이루어질 수 있다. 하부 금속막(136)은 Cu, W, Co, Ru, Mn, Ti, Ta, 또는 이들의 조합으로 이루어질 수 있다. The lower wiring structure 130 may include a lower conductive barrier layer 132 and a lower metal layer 136. The lower conductive barrier layer 132 may surround the bottom surface and sidewalls of the lower metal layer 136. The lower conductive barrier layer 132 may be formed of Ta, TaN, Ti, TiN, or a combination thereof. The lower metal layer 136 may be formed of Cu, W, Co, Ru, Mn, Ti, Ta, or a combination thereof.

하부 금속막(136)의 상면은 캡핑층(150)으로 덮여 있다. 캡핑층(150)은 절연성 캡핑층, 도전성 캡핑층, 또는 이들의 조합으로 이루어질 수 있다. 일부 실시예들에서, 캡핑층(150)은 실리콘 탄화물(SiC), 실리콘 질화물(SiN), 질소-도핑된 실리콘 탄화물(SiC:N), SiOC, AlN, AlON, AlO, AlOC, 금속, 합금, 또는 이들의 조합으로 이루어질 수 있다. 본 명세서에서 사용되는 용어 "합금"은 이종의 금속들의 조합으로 이루어지는 물질, 또는 금속과 금속 이외의 원소와의 조합으로 이루어지는 물질을 의미한다. 일 예에서, 캡핑층(150)은 Co, Ni, Ta, Ru, W, Mn, 및 이들의 조합으로부터 선택되는 금속을 포함할 수 있다. 다른 예에서, 캡핑층(150)은 Co, Ni, Ta, Ru, W, 및 Mn 중에서 선택되는 적어도 하나의 금속과, Si 및 Ge 중에서 선택되는 반도체 원소를 포함할 수 있다. 다른 일부 실시예들에서, 캡핑층(150)은 그 표면 또는 내부에 질소 함유막을 포함할 수 있다. 예를 들면, 캡핑층(150)은 Co, Ni, Ta, Ru, W, Mn, 및 이들의 조합으로부터 선택되는 금속의 질화물을 포함할 수 있다. The upper surface of the lower metal layer 136 is covered with the capping layer 150. The capping layer 150 may be formed of an insulating capping layer, a conductive capping layer, or a combination thereof. In some embodiments, the capping layer 150 is silicon carbide (SiC), silicon nitride (SiN), nitrogen-doped silicon carbide (SiC:N), SiOC, AlN, AlON, AlO, AlOC, metal, alloy, Or it may be made of a combination of these. The term "alloy" as used herein refers to a material composed of a combination of different kinds of metals or a material composed of a combination of a metal and an element other than a metal. In one example, the capping layer 150 may include a metal selected from Co, Ni, Ta, Ru, W, Mn, and combinations thereof. In another example, the capping layer 150 may include at least one metal selected from Co, Ni, Ta, Ru, W, and Mn, and a semiconductor element selected from Si and Ge. In some other embodiments, the capping layer 150 may include a nitrogen-containing layer on or inside the capping layer 150. For example, the capping layer 150 may include a nitride of a metal selected from Co, Ni, Ta, Ru, W, Mn, and combinations thereof.

캡핑층(150)은 제2 절연막(156)으로 덮여 있다. 상부 배선 구조(160)가 제2 절연막(156) 및 캡핑층(150)을 관통하여 하부 금속막(136)에 전기적으로 연결 가능하도록 연장되어 있다. 상부 배선 구조(160)는 상부 도전성 배리어막(162) 및 상부 금속막(166)을 포함할 수 있다. 상부 도전성 배리어막(162) 및 상부 금속막(166)의 구성 물질에 대한 상세한 사항은 하부 도전성 배리어막(132) 및 하부 금속막(136)에 대하여 설명한 바와 같다. 일부 실시예들에서, 상부 배선 구조(160)는 콘택 플러그를 구성할 수 있다. 하부 배선 구조(130)는 상부 배선 구조(160)에 연결되는 도전 라인 부분과, 상기 도전 라인 부분으로부터 기판(110) 측으로 돌출되어 하부 도전막(120)에 연결되는 콘택 플러그 부분을 포함할 수 있다. The capping layer 150 is covered with the second insulating layer 156. The upper wiring structure 160 extends through the second insulating layer 156 and the capping layer 150 to be electrically connected to the lower metal layer 136. The upper wiring structure 160 may include an upper conductive barrier layer 162 and an upper metal layer 166. Details of the constituent materials of the upper conductive barrier layer 162 and the upper metal layer 166 are as described for the lower conductive barrier layer 132 and the lower metal layer 136. In some embodiments, the upper wiring structure 160 may constitute a contact plug. The lower wiring structure 130 may include a conductive line portion connected to the upper wiring structure 160 and a contact plug portion protruding from the conductive line portion toward the substrate 110 and connected to the lower conductive layer 120. .

하부 금속막(136)과 제2 절연막(156)과의 사이에서 상부 배선 구조(160)의 주위에는 에어 갭(AG1)이 배치된다. 에어 갭(AG1)의 폭(W1)은 캡핑층(150) 및 상부 배선 구조(160)에 의해 한정될 수 있다. 에어 갭(AG1)의 폭(W1)은 에어 갭(AG1) 내부로 노출되는 상부 배선 구조(160)의 측벽과 에어 갭(AG1) 내부로 노출되는 캡핑층(150)의 측벽과의 수평 거리에 대응한다. 에어 갭(AG1)의 폭(W1)은 약 2 ∼ 10 nm 일 수 있으나, 이에 한정되는 것은 아니다. 하부 금속막(136)은 에어 갭(AG1)의 하한을 제공하고, 제2 절연막(156)은 에어 갭(AG1)의 상한을 제공할 수 있다. 에어 갭(AG1)의 높이는 캡핑층(150)의 두께(D1)에 대응하고 하부 금속막(136)과 제2 절연막(156)에 의해 에어 갭(AG1)의 높이가 한정될 수 있다. An air gap AG1 is disposed around the upper wiring structure 160 between the lower metal layer 136 and the second insulating layer 156. The width W1 of the air gap AG1 may be limited by the capping layer 150 and the upper wiring structure 160. The width W1 of the air gap AG1 is the horizontal distance between the sidewall of the upper wiring structure 160 exposed into the air gap AG1 and the sidewall of the capping layer 150 exposed inside the air gap AG1. Corresponds. The width W1 of the air gap AG1 may be about 2 to 10 nm, but is not limited thereto. The lower metal layer 136 may provide a lower limit of the air gap AG1, and the second insulating layer 156 may provide an upper limit of the air gap AG1. The height of the air gap AG1 corresponds to the thickness D1 of the capping layer 150, and the height of the air gap AG1 may be limited by the lower metal layer 136 and the second insulating layer 156.

에어 갭(AG1)은 하부 금속막(136)의 상면과 상부 배선 구조(160)의 측벽에 의해 한정되는 코너 영역에 배치될 수 있다. 일부 실시예들에서, 에어 갭(AG1)은 하부 금속막(136)과 수직으로 오버랩되는 위치에서 상부 배선 구조(160)를 그 둘레 방향 (circumferential direction)을 따라 포위하도록 연장될 수 있다. 본 명세서에서, "수직으로 오버랩"되는 것은 Z 방향을 따라 서로 오버랩되는 것을 의미한다. The air gap AG1 may be disposed in a corner region defined by an upper surface of the lower metal layer 136 and a sidewall of the upper wiring structure 160. In some embodiments, the air gap AG1 may extend to surround the upper wiring structure 160 along its circumferential direction at a position perpendicular to the lower metal layer 136. In this specification, "overlap vertically" means overlap with each other along the Z direction.

캡핑층(150)은 상부 배선 구조(160)의 저면의 폭(160W)보다 더 큰 폭(150W)을 가지는 컷아웃(cutout) 영역(150C)을 포함하고, 에어 갭(AG1)은 컷아웃 영역(150C) 중 상부 배선 구조(160)가 차지하는 부분을 제외한 체적을 가질 수 있다. The capping layer 150 includes a cutout area 150C having a width 150W larger than the width 160W of the bottom surface of the upper wiring structure 160, and the air gap AG1 is a cutout area. It may have a volume excluding a portion occupied by the upper wiring structure 160 of 150C.

일부 실시예들에서, 제1 절연막(124) 및 제2 절연막(156) 중 적어도 하나는 PEOX, TEOS, BTEOS, PTEOS, BPTEOS, BSG, PSG, BPSG 등과 같은 실리콘 산화물 계열의 물질로 이루어질 수 있다. 다른 일부 실시예들에서, 제1 절연막(124) 및 제2 절연막(156) 중 적어도 하나는 약 2.7 ∼ 3.0의 저유전상수 (low dielectric constant K)를 가지는 저유전막, 예를 들면 SiOC 막 또는 SiCOH 막으로 이루어질 수 있다. 또 다른 일부 실시예들에서, 제1 절연막(124) 및 제2 절연막(156) 중 적어도 하나는 약 2.2 ∼ 2.4의 초저유전상수 (ultra low dielectric constant K)를 가지는 ULK (ultra low K) 막, 예를 들면 SiOC 막 또는 SiCOH 막으로 이루어질 수 있다. 또 다른 일부 실시예들에서, 제1 절연막(124) 및 제2 절연막(156) 중 적어도 하나는 각각 불소 도핑된 실리콘 산화물(F-SiO2), 다공성 실리콘 산화물, 스핀 온 유기 폴리머, HSSQ (hydrogen silsesquioxane), MSSQ (methyl silsesquioxane) 등과 같은 무기 폴리머를 포함할 수 있다. In some embodiments, at least one of the first insulating layer 124 and the second insulating layer 156 may be made of a silicon oxide-based material such as PEOX, TEOS, BTEOS, PTEOS, BPTEOS, BSG, PSG, BPSG, and the like. In some other embodiments, at least one of the first insulating film 124 and the second insulating film 156 is a low dielectric film having a low dielectric constant K of about 2.7 to 3.0, for example, a SiOC film or a SiCOH film. Can be made. In still other embodiments, at least one of the first insulating layer 124 and the second insulating layer 156 is an ultra low K (ULK) layer having an ultra low dielectric constant K of about 2.2 to 2.4, For example, it may be made of a SiOC film or a SiCOH film. In still other embodiments, at least one of the first insulating layer 124 and the second insulating layer 156 is each of a fluorine-doped silicon oxide (F-SiO 2 ), a porous silicon oxide, a spin-on organic polymer, and HSSQ (hydrogen silsesquioxane), MSSQ (methyl silsesquioxane), and the like.

도 1b는 도 1a에 예시한 집적회로 소자(100)의 일부 구성들의 일 예에 따른 평면 구조를 보여주는 레이아웃 다이어그램이다. 도 1a 및 도 1b를 참조하면, 에어 갭(AG1)은 하부 금속막(136)의 상면 위에서 상부 배선 구조(160)를 포위하는 환형(annular) 형상을 가질 수 있다. 상부 배선 구조(160)의 둘레 방향을 따라 에어 갭(AG1)의 폭이 일정할 수 있다. 에어 갭(AG1) 중 하부 금속막(136)의 길이 방향(X 방향)을 따르는 폭(W11X)과 하부 금속막(136)의 폭 방향(Y 방향)을 따르는 폭(W11Y)은 대략 동일할 수 있다. 캡핑층(150)은 상부 배선 구조(160)와 접하지 않을 수 있다. FIG. 1B is a layout diagram showing a planar structure according to an example of some configurations of the integrated circuit device 100 illustrated in FIG. 1A. 1A and 1B, the air gap AG1 may have an annular shape surrounding the upper wiring structure 160 on the upper surface of the lower metal layer 136. The width of the air gap AG1 may be constant along the circumferential direction of the upper wiring structure 160. Among the air gaps AG1, the width W11X along the length direction (X direction) of the lower metal layer 136 and the width W11Y along the width direction (Y direction) of the lower metal layer 136 may be approximately the same. have. The capping layer 150 may not be in contact with the upper wiring structure 160.

도 1c 내지 도 1e는 각각 도 1a에 예시한 집적회로 소자(100)의 일부 구성들의 다른 예에 따른 평면 구조를 보여주는 레이아웃 다이어그램이다. 1C to 1E are layout diagrams showing a planar structure according to another example of some configurations of the integrated circuit device 100 illustrated in FIG. 1A, respectively.

도 1a 및 도 1c를 참조하면, 에어 갭(AG1)은 하부 금속막(136)의 상면 위에서 상부 배선 구조(160)를 포위하는 환형 형상을 가질 수 있다. 상부 배선 구조(160)의 둘레 방향을 따라 에어 갭(AG1)의 폭이 가변적일 수 있다. 에어 갭(AG1) 중 하부 금속막(136)의 길이 방향(X 방향)을 따르는 폭(W12X)이 하부 금속막(136)의 폭 방향(Y 방향)을 따르는 폭(W12Y)보다 더 클 수 있다. 캡핑층(150)은 상부 배선 구조(160)와 접하지 않을 수 있다. 1A and 1C, the air gap AG1 may have an annular shape surrounding the upper wiring structure 160 on the upper surface of the lower metal layer 136. The width of the air gap AG1 may be variable along the circumferential direction of the upper wiring structure 160. The width W12X of the lower metal layer 136 along the length direction (X direction) of the air gap AG1 may be larger than the width W12Y along the width direction (Y direction) of the lower metal layer 136 . The capping layer 150 may not be in contact with the upper wiring structure 160.

도 1a 및 도 1d를 참조하면, 에어 갭(AG1)은 하부 금속막(136)의 상면 위에서 상부 배선 구조(160)를 부분적으로 포위할 수 있다. 에어 갭(AG1)은 하부 금속막(136)의 길이 방향(X 방향)에서 캡핑층(150)과 상부 배선 구조(160)와의 사이에 배치될 수 있으며, 하부 금속막(136)의 폭 방향(Y 방향)에서 캡핑층(150)(도 1a 참조)과 상부 배선 구조(160)가 상호 접하는 부분이 있을 수 있다. 1A and 1D, the air gap AG1 may partially surround the upper wiring structure 160 on the upper surface of the lower metal layer 136. The air gap AG1 may be disposed between the capping layer 150 and the upper wiring structure 160 in the length direction (X direction) of the lower metal layer 136, and may be disposed in the width direction of the lower metal layer 136 ( In the Y direction), there may be a portion where the capping layer 150 (refer to FIG. 1A) and the upper wiring structure 160 contact each other.

도 1a 및 도 1e를 참조하면, 상부 배선 구조(160)는 하부 금속막(136)의 폭 방향 중심으로부터 폭 방향을 따라 어긋난 위치에 형성되어 상부 배선 구조(160)의 일부가 하부 금속막(136)의 주변에 있는 제1 절연막(124)의 상면을 덮도록 형성될 수 있다. 도 1e에서, 캡핑층(150)으로 덮여 있는 제1 절연막(124)의 위치가 점선으로 표시되어 있다. 상부 배선 구조(160)는 하부 금속막(136)과 수직으로 오버랩되는 부분과 제1 절연막(124)과 수직으로 오버랩되는 부분을 포함할 수 있다. 에어 갭(AG1)은 하부 금속막(136)의 상면 위에서 상부 배선 구조(160)이 둘레를 부분적으로 포위할 수 있다. 에어 갭(AG1)은 상부 배선 구조(160) 중 제1 절연막(124)과 수직 방향으로 오버랩되는 부분의 주위에는 형성되지 않고, 하부 금속막(136)과 수직으로 오버랩되는 부분만 포위하도록 상부 배선 구조(160)의 둘레 방향을 따라 연장될 수 있다. 1A and 1E, the upper wiring structure 160 is formed at a position shifted from the center in the width direction of the lower metal layer 136 along the width direction, so that a part of the upper wiring structure 160 is formed of the lower metal layer 136. ) May be formed to cover the upper surface of the first insulating layer 124 in the periphery. In FIG. 1E, the position of the first insulating layer 124 covered with the capping layer 150 is indicated by a dotted line. The upper wiring structure 160 may include a portion vertically overlapping the lower metal layer 136 and a portion vertically overlapping the first insulating layer 124. The upper wiring structure 160 may partially surround the air gap AG1 on the upper surface of the lower metal layer 136. The air gap AG1 is not formed around a portion of the upper wiring structure 160 that overlaps in a vertical direction with the first insulating layer 124, but the upper wiring so as to surround only the portion perpendicularly overlapping with the lower metal layer 136. It may extend along the circumferential direction of the structure 160.

도 1b 내지 도 1e를 참조하여 에어 갭(AG1)의 예시적인 평면 형상들을 설명하였으나, 본 발명의 기술적 사상이 이들에 한정되는 것은 아니다. 본 발명의 기술적 사상의 범위 내에서 에어 갭(AG1)은 다양하게 변형 및 변경된 평면 형상을 가질 수 있다. Although exemplary planar shapes of the air gap AG1 have been described with reference to FIGS. 1B to 1E, the technical idea of the present invention is not limited thereto. Within the scope of the technical idea of the present invention, the air gap AG1 may have variously deformed and changed planar shapes.

도 2는 본 발명의 기술적 사상에 의한 다른 실시예들에 따른 집적회로 소자를 설명하기 위한 단면도이다. 2 is a cross-sectional view illustrating an integrated circuit device according to other embodiments according to the inventive concept.

도 2를 참조하면, 집적회로 소자(200)는 도 1에 예시한 집적회로 소자(100)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(200)에서 하부 금속막(136)의 상면은 다중 절연층으로 이루어지는 캡핑층(250)으로 덮여 있다. 캡핑층(250)은 금속을 포함하는 제1 절연성 캡핑층(250A)과, 금속을 포함하지 않는 제2 절연성 캡핑층(250B)을 포함할 수 있다. 제1 절연성 캡핑층(250A)은 AlN, AlON, AlO, 또는 AlOC로 이루어질 수 있다. 제2 절연성 캡핑층(250B)은 실리콘 탄화물(SiC), 실리콘 질화물(SiN), 질소-도핑된 실리콘 탄화물(SiC:N), 또는 SiOC로 이루어질 수 있다. Referring to FIG. 2, the integrated circuit device 200 has substantially the same configuration as the integrated circuit device 100 illustrated in FIG. 1. However, in the integrated circuit device 200, the upper surface of the lower metal layer 136 is covered with a capping layer 250 made of multiple insulating layers. The capping layer 250 may include a first insulating capping layer 250A containing a metal and a second insulating capping layer 250B not containing a metal. The first insulating capping layer 250A may be made of AlN, AlON, AlO, or AlOC. The second insulating capping layer 250B may be made of silicon carbide (SiC), silicon nitride (SiN), nitrogen-doped silicon carbide (SiC:N), or SiOC.

캡핑층(250)은 제2 절연막(156)으로 덮여 있다. 상부 배선 구조(160)가 하부 금속막(136)에 전기적으로 연결 가능하도록 제2 절연막(156) 및 캡핑층(250)을 관통하여 연장되어 있다. The capping layer 250 is covered with the second insulating layer 156. The upper wiring structure 160 extends through the second insulating layer 156 and the capping layer 250 to be electrically connected to the lower metal layer 136.

하부 금속막(136)과 제2 절연막(156)과의 사이에는 상부 배선 구조(160)를 포위하는 에어 갭(AG2)이 배치된다. 에어 갭(AG2)의 폭(W2)은 캡핑층(250) 중 제1 절연성 캡핑층(250A)과 상부 배선 구조(160)에 의해 한정될 수 있다. 에어 갭(AG2)의 폭(W2)은 에어 갭(AG2) 내부로 노출되는 상부 배선 구조(160)의 측벽과 에어 갭(AG2) 내부로 노출되는 제1 절연성 캡핑층(250A)의 측벽과의 수평 거리에 대응한다. 에어 갭(AG2)의 폭(W2)은 약 2 ∼ 10 nm 일 수 있다. 하부 금속막(136)과 제2 절연성 캡핑층(250B)에 의해 에어 갭(AG2)의 높이가 한정될 수 있다. 에어 갭(AG2)의 높이는 제1 절연성 캡핑층(250A)의 두께(D2)에 대응할 수 있다. An air gap AG2 surrounding the upper wiring structure 160 is disposed between the lower metal layer 136 and the second insulating layer 156. The width W2 of the air gap AG2 may be defined by the first insulating capping layer 250A and the upper wiring structure 160 of the capping layer 250. The width W2 of the air gap AG2 is between the sidewall of the upper wiring structure 160 exposed inside the air gap AG2 and the sidewall of the first insulating capping layer 250A exposed inside the air gap AG2. Corresponds to the horizontal distance. The width W2 of the air gap AG2 may be about 2 to 10 nm. The height of the air gap AG2 may be limited by the lower metal layer 136 and the second insulating capping layer 250B. The height of the air gap AG2 may correspond to the thickness D2 of the first insulating capping layer 250A.

에어 갭(AG2)은 하부 금속막(136)의 상면과 상부 배선 구조(160)의 측벽에 의해 한정되는 코너 영역에 배치될 수 있다. 에어 갭(AG2)은 도 1b 내지 도 1e에 예시한 에어 갭(AG1)의 평면 형상들 중 어느 하나, 또는 이들로부터 본 발명의 기술적 사상의 범위 내에서 변경 및 변경된 평면 형상을 가질 수 있다. 제1 절연성 캡핑층(250A)은 상부 배선 구조(160)의 저면의 폭(160W)보다 더 큰 폭(250AW)을 가지는 컷아웃 영역(250AC)을 포함하고, 에어 갭(AG2)은 컷아웃 영역(250AC) 중 상부 배선 구조(160)가 차지하는 부분을 제외한 체적을 가질 수 있다. The air gap AG2 may be disposed in a corner region defined by an upper surface of the lower metal layer 136 and a sidewall of the upper wiring structure 160. The air gap AG2 may have any one of the planar shapes of the air gap AG1 illustrated in FIGS. 1B to 1E, or may have a changed and changed planar shape within the scope of the technical idea of the present invention from these. The first insulating capping layer 250A includes a cutout region 250AC having a width (250AW) greater than the width (160W) of the bottom surface of the upper wiring structure 160, and the air gap AG2 is a cutout region. It may have a volume of 250AC excluding a portion occupied by the upper wiring structure 160.

도 3은 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자를 설명하기 위한 단면도이다. 3 is a cross-sectional view illustrating an integrated circuit device according to still other embodiments according to the inventive concept.

도 3을 참조하면, 집적회로 소자(300)는 도 1에 예시한 집적회로 소자(100)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(300)의 하부 배선 구조(130)는 하부 도전성 배리어막(132)과 하부 금속막(136)과의 사이에 개재된 하부 금속 라이너(134)를 더 포함하고, 상부 배선 구조(160)는 상부 도전성 배리어막(162)과 상부 금속막(166)과의 사이에 개재된 상부 금속 라이너(164)를 더 포함한다. Referring to FIG. 3, the integrated circuit device 300 has substantially the same configuration as the integrated circuit device 100 illustrated in FIG. 1. However, the lower wiring structure 130 of the integrated circuit device 300 further includes a lower metal liner 134 interposed between the lower conductive barrier layer 132 and the lower metal layer 136, and the upper wiring structure Reference numeral 160 further includes an upper metal liner 164 interposed between the upper conductive barrier layer 162 and the upper metal layer 166.

하부 및 상부 금속 라이너(134, 164)는 각각 하부 및 상부 금속막(136, 166)에 포함된 금속과는 다른 금속을 포함할 수 있다. 예를 들면, 하부 및 상부 금속막(136, 166)은 Cu, W, Co, Ru, Mn, Ti, 및 Ta 중에서 선택되는 어느 하나의 제1 금속으로 이루어지고, 하부 및 상부 금속 라이너(134, 164)는 Co, Ni, Ta, Ru, W, 및 Mn 중에서 선택되고 상기 제1 금속과는 다른 제2 금속으로 이루어지거나, 상기 제2 금속을 포함하는 합금으로 이루어질 수 있다. 일부 실시예들에서, 하부 및 상부 금속 라이너(134)는 각각 Co 라이너 또는 Ta 라이너일 수 있다. The lower and upper metal liners 134 and 164 may include a metal different from the metal included in the lower and upper metal layers 136 and 166, respectively. For example, the lower and upper metal layers 136 and 166 are made of any one first metal selected from Cu, W, Co, Ru, Mn, Ti, and Ta, and the lower and upper metal liners 134, 164) may be selected from Co, Ni, Ta, Ru, W, and Mn, and may be made of a second metal different from the first metal, or may be made of an alloy containing the second metal. In some embodiments, the lower and upper metal liners 134 may each be a Co liner or a Ta liner.

도 4는 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자를 설명하기 위한 단면도이다. 4 is a cross-sectional view illustrating an integrated circuit device according to still other embodiments according to the inventive concept.

도 4를 참조하면, 집적회로 소자(400)는 도 2에 예시한 집적회로 소자(200)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(400)에서 하부 배선 구조(130)는 하부 도전성 배리어막(132)과 하부 금속막(136)과의 사이에 개재된 하부 금속 라이너(134)를 더 포함하고, 상부 배선 구조(160)는 상부 도전성 배리어막(162)과 상부 금속막(166)과의 사이에 개재된 상부 금속 라이너(164)를 더 포함한다. Referring to FIG. 4, the integrated circuit device 400 has substantially the same configuration as the integrated circuit device 200 illustrated in FIG. 2. However, in the integrated circuit device 400, the lower wiring structure 130 further includes a lower metal liner 134 interposed between the lower conductive barrier layer 132 and the lower metal layer 136, and the upper wiring structure Reference numeral 160 further includes an upper metal liner 164 interposed between the upper conductive barrier layer 162 and the upper metal layer 166.

도 5는 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자를 설명하기 위한 단면도이다. 5 is a cross-sectional view illustrating an integrated circuit device according to still other embodiments according to the inventive concept.

도 5를 참조하면, 집적회로 소자(500)는 도 4에 예시한 집적회로 소자(400)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(500)에서 하부 금속막(136)의 상면은 도전층과 절연층과의 조합으로 이루어지는 캡핑층(550)으로 덮여 있다. 캡핑층(550)은 도전성 캡핑층(550T), 금속을 포함하는 제1 절연성 캡핑층(550A), 및 금속을 포함하지 않는 제2 절연성 캡핑층(250B)을 포함한다. Referring to FIG. 5, the integrated circuit device 500 has substantially the same configuration as the integrated circuit device 400 illustrated in FIG. 4. However, in the integrated circuit device 500, the upper surface of the lower metal layer 136 is covered with a capping layer 550 formed of a combination of a conductive layer and an insulating layer. The capping layer 550 includes a conductive capping layer 550T, a first insulating capping layer 550A containing a metal, and a second insulating capping layer 250B containing no metal.

도전성 캡핑층(550T)은 하부 금속 라이너(134)를 구성하는 금속과 동일한 금속을 포함할 수 있다. 예를 들면, 도전성 캡핑층(550T)은 Co, Ni, Ta, Ru, W, Mn, 및 이들의 조합으로부터 선택되는 금속 또는 합금으로 이루어질 수 있다. 제1 절연성 캡핑층(550A)은 AlN, AlON, AlO, 또는 AlOC으로 이루어질 수 있다. 캡핑층(550)은 제2 절연막(156)으로 덮여 있다. 상부 배선 구조(160)가 제2 절연막(156) 및 캡핑층(550)을 관통하여 하부 금속막(136)까지 연장되어 있다. The conductive capping layer 550T may include the same metal as the metal constituting the lower metal liner 134. For example, the conductive capping layer 550T may be made of a metal or alloy selected from Co, Ni, Ta, Ru, W, Mn, and combinations thereof. The first insulating capping layer 550A may be made of AlN, AlON, AlO, or AlOC. The capping layer 550 is covered with the second insulating layer 156. The upper wiring structure 160 penetrates the second insulating layer 156 and the capping layer 550 and extends to the lower metal layer 136.

하부 금속막(136)과 제2 절연막(156)과의 사이에는 에어 갭(AG5)이 배치된다. 특히, 에어 갭(AG5)은 하부 금속막(136)과 제1 절연성 캡핑층(550A)과의 사이에 배치되고, 도전성 캡핑층(550T)과 상부 배선 구조(160)에 의해 에어 갭(AG5)의 폭(W5)이 한정될 수 있다. 에어 갭(AG5)의 폭(W5)은 에어 갭(AG5) 내부로 노출되는 도전성 캡핑층(550T)의 측벽과 에어 갭(AG5) 내부로 노출되는 상부 배선 구조(160)의 측벽과의 수평 거리에 대응한다. 에어 갭(AG5)의 폭(W5)은 약 2 ∼ 10 nm 일 수 있다. 에어 갭(AG5)의 높이는 하부 금속막(136)과 제1 절연성 캡핑층(550A)에 의해 한정될 수 있으며, 도전성 캡핑층(550T)의 두께(D5)에 대응할 수 있다. An air gap AG5 is disposed between the lower metal layer 136 and the second insulating layer 156. In particular, the air gap AG5 is disposed between the lower metal layer 136 and the first insulating capping layer 550A, and the air gap AG5 is formed by the conductive capping layer 550T and the upper wiring structure 160. The width W5 of may be limited. The width W5 of the air gap AG5 is the horizontal distance between the sidewall of the conductive capping layer 550T exposed inside the air gap AG5 and the sidewall of the upper wiring structure 160 exposed inside the air gap AG5 Corresponds to. The width W5 of the air gap AG5 may be about 2 to 10 nm. The height of the air gap AG5 may be limited by the lower metal layer 136 and the first insulating capping layer 550A, and may correspond to the thickness D5 of the conductive capping layer 550T.

에어 갭(AG5)은 하부 금속막(136)의 상면과 상부 배선 구조(160)의 측벽에 의해 한정되는 코너 영역에 배치될 수 있다. 에어 갭(AG5)은 도 1b 내지 도 1e에 예시한 에어 갭(AG1)의 평면 형상들 중 어느 하나, 또는 이들로부터 본 발명의 기술적 사상의 범위 내에서 변경 및 변경된 평면 형상을 가질 수 있다. The air gap AG5 may be disposed in a corner region defined by an upper surface of the lower metal layer 136 and a sidewall of the upper wiring structure 160. The air gap AG5 may have any one of the planar shapes of the air gap AG1 illustrated in FIGS. 1B to 1E, or a planar shape that has been changed and changed within the scope of the technical idea of the present invention from these.

캡핑층(550) 중 제1 절연성 캡핑층(550A) 및 제2 절연성 캡핑층(250B)은 상부 배선 구조(160)의 측벽에 접할 수 있다. 도전성 캡핑층(550T)은 상부 배선 구조(160)의 저면의 폭(160W)보다 더 큰 폭(550TW)을 가지는 컷아웃 영역(550TC)을 포함하고, 에어 갭(AG5)은 컷아웃 영역(550TC) 중 상부 배선 구조(160)가 차지하는 부분을 제외한 체적을 가질 수 있다. Among the capping layers 550, the first insulating capping layer 550A and the second insulating capping layer 250B may contact sidewalls of the upper wiring structure 160. The conductive capping layer 550T includes a cutout region 550TC having a width (550TW) greater than the width (160W) of the bottom surface of the upper wiring structure 160, and the air gap AG5 is the cutout region 550TC. ) May have a volume excluding a portion occupied by the upper wiring structure 160.

도 6은 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자를 설명하기 위한 단면도이다. 6 is a cross-sectional view illustrating an integrated circuit device according to still other embodiments according to the inventive concept.

도 6을 참조하면, 집적회로 소자(600)는 도 5에 예시한 집적회로 소자(500)와 대체로 동일한 구성을 가진다. 집적회로 소자(600)에서 하부 금속막(136)의 상면은 도전층과 절연층과의 조합으로 이루어지는 캡핑층(650)으로 덮여 있다. 캡핑층(650)은 도전성 캡핑층(550T), 금속을 포함하는 제1 절연성 캡핑층(650A), 및 금속을 포함하지 않는 제2 절연성 캡핑층(250B)을 포함한다. 단, 집적회로 소자(600)의 캡핑층(650)에서 제1 절연성 캡핑층(650A)은 상부 배선 구조(160)의 측벽으로부터 이격되어 있다. Referring to FIG. 6, the integrated circuit device 600 has substantially the same configuration as the integrated circuit device 500 illustrated in FIG. 5. In the integrated circuit device 600, the upper surface of the lower metal layer 136 is covered with a capping layer 650 formed of a combination of a conductive layer and an insulating layer. The capping layer 650 includes a conductive capping layer 550T, a first insulating capping layer 650A containing a metal, and a second insulating capping layer 250B containing no metal. However, in the capping layer 650 of the integrated circuit device 600, the first insulating capping layer 650A is spaced apart from the sidewall of the upper wiring structure 160.

하부 금속막(136)과 제2 절연막(156)과의 사이에는 에어 갭(AG6)이 배치된다. 특히, 에어 갭(AG6)은 하부 금속막(136)과 제2 절연성 캡핑층(250B)과의 사이에 배치된다. 도전성 캡핑층(550T) 중 상부 배선 구조(160)에 대면하는 측벽과, 제1 절연성 캡핑층(650A) 중 상부 배선 구조(160)에 대면하는 측벽은 각각 에어 갭(AG6)을 사이에 두고 상부 배선 구조(160)로부터 이격된 부분을 포함할 수 있다. 도전성 캡핑층(550T) 중 상부 배선 구조(160)에 대면하는 측벽과 상부 배선 구조(160)에 의해 에어 갭(AG6)의 하부 폭(W6A)이 한정되고, 제1 절연성 캡핑층(650A) 중 상부 배선 구조(160)에 대면하는 측벽과 상부 배선 구조(160)에 의해 에어 갭(AG6)의 상부 폭(W6B)이 한정될 수 있다. 에어 갭(AG6)의 하부 폭(W6A)과 상부 폭(W6B)은 서로 다른 크기를 가진다. 도 6에는 에어 갭(AG6)의 하부 폭(W6A)이 상부 폭(W6B)보다 더 큰 경우를 예시하였으나, 에어 갭(AG6)의 상부 폭(W6B)이 하부 폭(W6A)보다 더 클 수도 있다. 에어 갭(AG6)의 하부 폭(W6A) 및 상부 폭(W6B)은 약 2 ∼ 10 nm의 범위 내에서 선택되는 크기를 가질 수 있다. 하부 금속막(136)과 제2 절연성 캡핑층(250B)에 의해 에어 갭(AG6)의 높이가 한정될 수 있다. 에어 갭(AG6)의 높이는 도전성 캡핑층(550T)의 두께(D5)와 제1 절연성 캡핑층(650A)의 두께(D6)의 합에 대응할 수 있다. An air gap AG6 is disposed between the lower metal layer 136 and the second insulating layer 156. In particular, the air gap AG6 is disposed between the lower metal layer 136 and the second insulating capping layer 250B. A sidewall of the conductive capping layer 550T facing the upper wiring structure 160 and a sidewall of the first insulating capping layer 650A facing the upper wiring structure 160 are respectively formed at the upper portion with the air gap AG6 interposed therebetween. A portion spaced apart from the wiring structure 160 may be included. Among the conductive capping layers 550T, the lower width W6A of the air gap AG6 is limited by the sidewall facing the upper wiring structure 160 and the upper wiring structure 160, and among the first insulating capping layer 650A The upper width W6B of the air gap AG6 may be limited by the sidewall facing the upper wiring structure 160 and the upper wiring structure 160. The lower width W6A and the upper width W6B of the air gap AG6 have different sizes. 6 illustrates a case where the lower width W6A of the air gap AG6 is larger than the upper width W6B, but the upper width W6B of the air gap AG6 may be larger than the lower width W6A. . The lower width W6A and the upper width W6B of the air gap AG6 may have a size selected within a range of about 2 to 10 nm. The height of the air gap AG6 may be limited by the lower metal layer 136 and the second insulating capping layer 250B. The height of the air gap AG6 may correspond to the sum of the thickness D5 of the conductive capping layer 550T and the thickness D6 of the first insulating capping layer 650A.

에어 갭(AG6)은 하부 금속막(136)의 상면과 상부 배선 구조(160)의 측벽에 의해 한정되는 코너 영역에 배치될 수 있다. 에어 갭(AG6)은 도 1b 내지 도 1e에 예시한 에어 갭(AG1)의 평면 형상들 중 어느 하나, 또는 이들로부터 본 발명의 기술적 사상의 범위 내에서 변경 및 변경된 평면 형상을 가질 수 있다. The air gap AG6 may be disposed in a corner region defined by an upper surface of the lower metal layer 136 and a sidewall of the upper wiring structure 160. The air gap AG6 may have any one of the planar shapes of the air gap AG1 illustrated in FIGS. 1B to 1E, or may have a changed and changed planar shape within the scope of the technical idea of the present invention from these.

도 7은 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자를 설명하기 위한 단면도이다. 7 is a cross-sectional view illustrating an integrated circuit device according to still other embodiments according to the inventive concept.

도 7을 참조하면, 집적회로 소자(700)는 도 6에 예시한 집적회로 소자(600)와 대체로 동일한 구성을 가진다. 집적회로 소자(700)에서 하부 금속막(136)의 상면은 캡핑층(750)으로 덮여 있다. 캡핑층(750)은 도전성 캡핑층(550T), 금속을 포함하는 제1 절연성 캡핑층(750A), 및 금속을 포함하지 않는 제2 절연성 캡핑층(250B)을 포함한다. 캡핑층(750)은 도 6에 예시한 캡핑층(650)과 대체로 유사하나, 도전성 캡핑층(550T) 중 상부 배선 구조(160)에 대면하는 측벽과 제1 절연성 캡핑층(750A) 중 상부 배선 구조(160)에 대면하는 측벽이 이들 사이에 단차 없이 대략 평탄한 하나의 평면을 이루는 점에서 도 6에 예시한 캡핑층(650)과 다르다. Referring to FIG. 7, the integrated circuit device 700 has substantially the same configuration as the integrated circuit device 600 illustrated in FIG. 6. In the integrated circuit device 700, the upper surface of the lower metal layer 136 is covered with the capping layer 750. The capping layer 750 includes a conductive capping layer 550T, a first insulating capping layer 750A containing a metal, and a second insulating capping layer 250B containing no metal. The capping layer 750 is substantially similar to the capping layer 650 illustrated in FIG. 6, but the sidewall of the conductive capping layer 550T facing the upper wiring structure 160 and the upper wiring of the first insulating capping layer 750A It differs from the capping layer 650 illustrated in FIG. 6 in that the sidewalls facing the structure 160 form one substantially flat plane without a step therebetween.

하부 금속막(136)과 제2 절연성 캡핑층(250B)과의 사이에 에어 갭(AG7)이 배치된다. 에어 갭(AG7)은 도전성 캡핑층(550T)과 상부 배선 구조(160)와의 사이, 및 제1 절연성 캡핑층(750A)과 상부 배선 구조(160)와의 사이에서 대략 동일한 폭(W7)을 가질 수 있다. 에어 갭(AG7)의 폭(W7)은 약 2 ∼ 10 nm 일 수 있다. 에어 갭(AG7)은 도전성 캡핑층(550T)의 두께(D5)와 제1 절연성 캡핑층(750A)의 두께(D7)의 합에 대응하는 높이를 가질 수 있다. An air gap AG7 is disposed between the lower metal layer 136 and the second insulating capping layer 250B. The air gap AG7 may have approximately the same width W7 between the conductive capping layer 550T and the upper wiring structure 160, and between the first insulating capping layer 750A and the upper wiring structure 160. have. The width W7 of the air gap AG7 may be about 2 to 10 nm. The air gap AG7 may have a height corresponding to the sum of the thickness D5 of the conductive capping layer 550T and the thickness D7 of the first insulating capping layer 750A.

에어 갭(AG7)은 하부 금속막(136)의 상면과 상부 배선 구조(160)의 측벽에 의해 한정되는 코너 영역에 배치될 수 있다. 에어 갭(AG7)은 도 1b 내지 도 1e에 예시한 에어 갭(AG1)의 평면 형상들 중 어느 하나, 또는 이들로부터 본 발명의 기술적 사상의 범위 내에서 변경 및 변경된 평면 형상을 가질 수 있다. The air gap AG7 may be disposed in a corner region defined by an upper surface of the lower metal layer 136 and a sidewall of the upper wiring structure 160. The air gap AG7 may have any one of the planar shapes of the air gap AG1 illustrated in FIGS. 1B to 1E, or may have a changed and changed planar shape within the scope of the technical idea of the present invention from these.

도 8a는 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자를 설명하기 위한 단면도이다. 도 8b는 도 8a의 "X1"으로 표시한 부분을 확대하여 보다 상세하게 도시한 단면도이다. 8A is a cross-sectional view illustrating an integrated circuit device according to still other embodiments according to the inventive concept. FIG. 8B is an enlarged and more detailed cross-sectional view of a portion indicated by “X1” in FIG. 8A.

도 8a 및 도 8b를 참조하면, 집적회로 소자(800A)는 도 7에 예시한 집적회로 소자(700)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(800A)에서 상부 배선 구조(860A)는 에어 갭(AG7)을 향해 돌출된 돌출부(860P1)를 포함한다. 상부 배선 구조(860A)는 상부 도전성 배리어막(862A), 상부 금속 라이너(864), 및 상부 금속막(866)을 포함하고, 이들 중 적어도 일부는 돌출부(860P1)에서 에어 갭(AG7)을 향해 돌출된 형상을 가질 수 있다. 상부 도전성 배리어막(862A), 상부 금속 라이너(864), 및 상부 금속막(866)에 대한 보다 상세한 구성은 도 3을 참조하여 상부 도전성 배리어막(162), 상부 금속 라이너(164), 및 상부 금속막(166)에 대하여 설명한 바와 같다. 8A and 8B, the integrated circuit device 800A has substantially the same configuration as the integrated circuit device 700 illustrated in FIG. 7. However, in the integrated circuit device 800A, the upper wiring structure 860A includes a protrusion 860P1 protruding toward the air gap AG7. The upper wiring structure 860A includes an upper conductive barrier layer 862A, an upper metal liner 864, and an upper metal layer 866, and at least some of them are from the protrusion 860P1 toward the air gap AG7. It may have a protruding shape. For a more detailed configuration of the upper conductive barrier film 862A, the upper metal liner 864, and the upper metal film 866, referring to FIG. 3, the upper conductive barrier film 162, the upper metal liner 164, and the upper As described for the metal film 166.

상부 도전성 배리어막(862A) 및 상부 금속 라이너(864)는 각각 돌출부(860P1)에서 에어 갭(AG7)에 대면하는 국부 영역(862F, 864F)을 포함한다. 국부 영역(862F, 864F) 중 적어도 일부는 상부 도전성 배리어막(862A) 및 상부 금속 라이너(864) 중 제2 절연막(156)에 대면하는 부분들보다 작은 두께를 가질 수 있다. 국부 영역(862F, 864F)은 상부 도전성 배리어막(862A) 및 상부 금속 라이너(864) 중 제2 절연막(156)에 대면하는 부분들보다 상부 배선 구조(860A)의 외측으로 더 돌출되어 있다. The upper conductive barrier layer 862A and the upper metal liner 864 each include local regions 862F and 864F facing the air gap AG7 at the protrusion 860P1. At least a portion of the local regions 862F and 864F may have a thickness smaller than portions of the upper conductive barrier layer 862A and the upper metal liner 864 facing the second insulating layer 156. The local regions 862F and 864F protrude more outward of the upper wiring structure 860A than portions of the upper conductive barrier layer 862A and the upper metal liner 864 facing the second insulating layer 156.

도 9 및 도 10은 각각 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자의 주요 구성들을 도시한 단면도로서, 도 8a의 "X1"으로 표시한 부분에 대응하는 부분의 다른 구성을 도시한 단면도이다. 9 and 10 are cross-sectional views showing main configurations of an integrated circuit device according to still other embodiments according to the technical idea of the present invention, and other configurations of a portion corresponding to a portion indicated by “X1” in FIG. 8A Is a cross-sectional view showing.

도 9를 참조하면, 집적회로 소자(800B)는 도 7에 예시한 집적회로 소자(700)와 대체로 동일한 구성을 가진다. 상부 배선 구조(860B)는 상부 도전성 배리어막(862B), 상부 금속 라이너(864), 및 상부 금속막(866)을 포함한다. 단, 집적회로 소자(800B)에서 상부 배선 구조(860B)의 상부 도전성 배리어막(862B)에는 에어 갭(AG7)과 연통하는 개구(862H)가 형성되어 있다. 이에 따라, 상부 도전성 배리어막(862B)은 에어 갭(AG7)에 인접한 부분에서 불연속적으로 연장될 수 있다. 상부 배선 구조(860B)는 돌출부(860P2)를 포함할 수 있다. 돌출부(860P2)에서 상부 금속 라이너(864)의 국부 영역(864F)이 에어 갭(AG7)을 향해 돌출될 수 있다. 돌출부(860P2)는 상부 도전성 배리어막(862B)의 개구(862H)를 통해 에어 갭(AG7)에 대면할 수 있다. 상부 도전성 배리어막(862B)에 대한 보다 상세한 구성은 도 3을 참조하여 상부 도전성 배리어막(162)에 대하여 설명한 바와 대체로 동일하다. Referring to FIG. 9, the integrated circuit device 800B has substantially the same configuration as the integrated circuit device 700 illustrated in FIG. 7. The upper wiring structure 860B includes an upper conductive barrier layer 862B, an upper metal liner 864, and an upper metal layer 866. However, in the integrated circuit device 800B, an opening 862H communicating with the air gap AG7 is formed in the upper conductive barrier film 862B of the upper wiring structure 860B. Accordingly, the upper conductive barrier layer 862B may discontinuously extend in a portion adjacent to the air gap AG7. The upper wiring structure 860B may include a protrusion 860P2. The local area 864F of the upper metal liner 864 may protrude toward the air gap AG7 from the protrusion 860P2. The protrusion 860P2 may face the air gap AG7 through the opening 862H of the upper conductive barrier layer 862B. A more detailed configuration of the upper conductive barrier layer 862B is substantially the same as that described for the upper conductive barrier layer 162 with reference to FIG. 3.

도 10을 참조하면, 집적회로 소자(800C)는 도 7에 예시한 집적회로 소자(700)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(800C)에서 상부 배선 구조(860C)는 에어 갭(AG7)을 향해 돌출된 돌출부(860P3)를 포함한다. 상부 배선 구조(860C)는 상부 도전성 배리어막(862C), 상부 금속 라이너(864C), 및 상부 금속막(866)을 포함한다. 상부 도전성 배리어막(862C), 상부 금속 라이너(864C), 및 상부 금속막(866) 중 적어도 하나는 돌출부(860P3)에서 에어 갭(AG7)을 향해 돌출된 형상을 가질 수 있다. 상부 도전성 배리어막(862C), 상부 금속 라이너(864C), 및 상부 금속막(866)에 대한 보다 상세한 구성은 도 3을 참조하여 상부 도전성 배리어막(162), 상부 금속 라이너(164), 및 상부 금속막(166)에 대하여 설명한 바와 대체로 동일하다. 일부 실시예들에서, 상부 도전성 배리어막(862C)은 그 형성 위치에 따라 대략 일정한 두께를 가질 수 있다. 예를 들면, 상부 도전성 배리어막(862C)은 에어 갭(AG7)에 대면하는 돌출부(860P3)에서의 두께와 제2 절연막(156)에 대면하는 부분에서의 두께가 대략 동일할 수 있다. 상부 도전성 배리어막(862C) 및 상부 금속 라이너(864C) 중 돌출부(860P3)를 구성하는 부분들은 제2 절연막(156)에 대면하는 부분들보다 상부 배선 구조(860C)의 외측으로 돌출될 수 있다. Referring to FIG. 10, the integrated circuit device 800C has substantially the same configuration as the integrated circuit device 700 illustrated in FIG. 7. However, in the integrated circuit device 800C, the upper wiring structure 860C includes a protrusion 860P3 protruding toward the air gap AG7. The upper wiring structure 860C includes an upper conductive barrier layer 862C, an upper metal liner 864C, and an upper metal layer 866. At least one of the upper conductive barrier layer 862C, the upper metal liner 864C, and the upper metal layer 866 may have a shape protruding from the protrusion 860P3 toward the air gap AG7. For a more detailed configuration of the upper conductive barrier film 862C, the upper metal liner 864C, and the upper metal film 866, referring to FIG. 3, the upper conductive barrier film 162, the upper metal liner 164, and the upper It is substantially the same as described for the metal film 166. In some embodiments, the upper conductive barrier layer 862C may have an approximately constant thickness depending on the location where the upper conductive barrier layer 862C is formed. For example, the thickness of the upper conductive barrier layer 862C at the protrusion 860P3 facing the air gap AG7 and the thickness at the portion facing the second insulating layer 156 may be substantially the same. Parts of the upper conductive barrier layer 862C and the upper metal liner 864C constituting the protrusion 860P3 may protrude outward of the upper wiring structure 860C than portions facing the second insulating layer 156.

도 11은 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자를 설명하기 위한 단면도이다. 11 is a cross-sectional view illustrating an integrated circuit device according to still other embodiments according to the inventive concept.

도 11을 참조하면, 집적회로 소자(900)는 도 4에 예시한 집적회로 소자(400)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(900)에서 하부 금속막(136)의 상면은 캡핑층(950)으로 덮여 있다. 캡핑층(950)은 도전성 합금 캡핑층(950T), 금속을 포함하는 제1 절연성 캡핑층(950A), 및 금속을 포함하지 않는 제2 절연성 캡핑층(250B)이 차례로 적층된 구조를 가진다. Referring to FIG. 11, the integrated circuit device 900 has substantially the same configuration as the integrated circuit device 400 illustrated in FIG. 4. However, in the integrated circuit device 900, the upper surface of the lower metal layer 136 is covered with the capping layer 950. The capping layer 950 has a structure in which a conductive alloy capping layer 950T, a first insulating capping layer 950A including metal, and a second insulating capping layer 250B not including metal are sequentially stacked.

도전성 합금 캡핑층(950T)은 Co, Ni, Ta, Ru, W, Mn 및 이들의 조합으로부터 선택되는 금속 또는 합금과, Si 및 Ge 중에서 선택되는 반도체 원소와의 합금으로 이루어질 수 있다. 일부 실시예들에서, 도전성 합금 캡핑층(950T)은 MxAy (여기서, M은 금속, A는 Si 또는 Ge, x는 1 내지 6의 정수, y는 1 내지 10의 정수)로 표시되는 물질로 이루어질 수 있다. 예를 들면, 도전성 합금 캡핑층(950T)은 CoSi, CoSi2, Co2Si, Co3Si, CoGe, CoGe2, Co5Ge3, Co5Ge7, Co4Ge 등으로 이루어질 수 있다. 제1 절연성 캡핑층(950A)은 AlN, AlON, AlO, 또는 AlOC으로 이루어질 수 있다. 제2 절연성 캡핑층(250B)은 실리콘 탄화물(SiC), 실리콘 질화물(SiN), 질소-도핑된 실리콘 탄화물(SiC:N), 또는 SiOC로 이루어질 수 있다. 캡핑층(950)은 제2 절연막(156)으로 덮여 있다. 상부 배선 구조(160)가 하부 금속막(136)에 전기적으로 연결 가능하도록 제2 절연막(156) 및 캡핑층(950)을 관통하여 연장되어 있다. The conductive alloy capping layer 950T may be formed of an alloy of a metal or alloy selected from Co, Ni, Ta, Ru, W, Mn, and combinations thereof, and a semiconductor element selected from Si and Ge. In some embodiments, the conductive alloy capping layer 950T is represented by M x A y (where M is a metal, A is Si or Ge, x is an integer from 1 to 6, and y is an integer from 1 to 10). It can be made of a material. For example, the conductive alloy capping layer 950T may be formed of CoSi, CoSi 2 , Co 2 Si, Co 3 Si, CoGe, CoGe 2 , Co 5 Ge 3 , Co 5 Ge 7 , Co 4 Ge, and the like. The first insulating capping layer 950A may be formed of AlN, AlON, AlO, or AlOC. The second insulating capping layer 250B may be made of silicon carbide (SiC), silicon nitride (SiN), nitrogen-doped silicon carbide (SiC:N), or SiOC. The capping layer 950 is covered with the second insulating layer 156. The upper wiring structure 160 extends through the second insulating layer 156 and the capping layer 950 to be electrically connected to the lower metal layer 136.

하부 금속막(136)과 제2 절연막(156)과의 사이에는 에어 갭(AG9)이 배치된다. 특히, 에어 갭(AG9)은 도전성 합금 캡핑층(950T)과 제2 절연성 캡핑층(250B)과의 사이에 배치되고, 제1 절연성 캡핑층(950A)과 상부 배선 구조(160)에 의해 에어 갭(AG9)의 폭(W9)이 한정될 수 있다. 에어 갭(AG9)의 폭(W9)은 약 2 ∼ 10 nm 일 수 있다. 에어 갭(AG9)의 높이는 도전성 합금 캡핑층(950T)과 제2 절연성 캡핑층(250B)에 의해 에어 한정될 수 있다. 에어 갭(AG9)의 높이는 제1 절연성 캡핑층(950A)의 두께(D9)에 대응할 수 있다. An air gap AG9 is disposed between the lower metal layer 136 and the second insulating layer 156. In particular, the air gap AG9 is disposed between the conductive alloy capping layer 950T and the second insulating capping layer 250B, and the air gap is formed by the first insulating capping layer 950A and the upper wiring structure 160. The width W9 of (AG9) may be limited. The width W9 of the air gap AG9 may be about 2 to 10 nm. The height of the air gap AG9 may be air-limited by the conductive alloy capping layer 950T and the second insulating capping layer 250B. The height of the air gap AG9 may correspond to the thickness D9 of the first insulating capping layer 950A.

에어 갭(AG9)은 하부 금속막(136)의 상면과 상부 배선 구조(160)의 측벽에 의해 한정되는 코너 영역에 배치될 수 있다. 에어 갭(AG9)은 도 1b 내지 도 1e에 예시한 에어 갭(AG1)의 평면 형상들 중 어느 하나, 또는 이들로부터 본 발명의 기술적 사상의 범위 내에서 변경 및 변경된 평면 형상을 가질 수 있다. The air gap AG9 may be disposed in a corner region defined by an upper surface of the lower metal layer 136 and a sidewall of the upper wiring structure 160. The air gap AG9 may have any one of the planar shapes of the air gap AG1 illustrated in FIGS. 1B to 1E, or may have a modified and changed planar shape within the scope of the technical idea of the present invention from these.

캡핑층(950) 중 도전성 합금 캡핑층(950T)과 제2 절연성 캡핑층(250B)은 상부 배선 구조(160)의 측벽에 접할 수 있다. 제1 절연성 캡핑층(950A)은 상부 배선 구조(160)의 저면의 폭(160W)보다 더 큰 폭(950AW)을 가지는 컷아웃 영역(950AC)을 포함하고, 에어 갭(AG9)은 컷아웃 영역(950AC) 중 상부 배선 구조(160)가 차지하는 부분을 제외한 체적을 가질 수 있다. Among the capping layers 950, the conductive alloy capping layer 950T and the second insulating capping layer 250B may contact sidewalls of the upper wiring structure 160. The first insulating capping layer 950A includes a cutout region 950AC having a width 950AW greater than the width 160W of the bottom surface of the upper wiring structure 160, and the air gap AG9 is a cutout region. It may have a volume excluding a portion occupied by the upper wiring structure 160 of the 950AC.

도 12 내지 도 16은 각각 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자를 설명하기 위한 단면도로서, 도 11의 "X2"로 표시한 부분에 대응하는 부분의 다른 구성을 도시한 단면도이다. 12 to 16 are cross-sectional views for explaining an integrated circuit device according to still another embodiment according to the technical idea of the present invention, respectively, showing another configuration of a portion corresponding to a portion indicated by “X2” in FIG. 11 It is a cross-sectional view.

도 12를 참조하면, 집적회로 소자(900A)는 도 11에 예시한 집적회로 소자(900)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(900A)의 상부 배선 구조(960A)는 상부 도전성 배리어막(962A)과, 상부 금속 라이너(964A)와, 상부 금속막(966)을 포함하고, 상부 도전성 배리어막(962A)은 에어 갭(AG9)에 대면하는 국부 영역(962F)에서 감소된 두께를 가진다. 상부 금속 라이너(964A) 및 상부 금속막(966)는 각각 에어 갭(AG9)을 향해 돌출된 돌출부(964P1, 966P1)를 포함한다. Referring to FIG. 12, the integrated circuit device 900A has substantially the same configuration as the integrated circuit device 900 illustrated in FIG. 11. However, the upper wiring structure 960A of the integrated circuit device 900A includes an upper conductive barrier film 962A, an upper metal liner 964A, and an upper metal film 966, and the upper conductive barrier film 962A Has a reduced thickness in the localized region 962F facing the air gap AG9. The upper metal liner 964A and the upper metal film 966 include protrusions 964P1 and 966P1 protruding toward the air gap AG9, respectively.

도 13을 참조하면, 집적회로 소자(900B)는 도 11에 예시한 집적회로 소자(900)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(900B)의 상부 배선 구조(960B)는 상부 도전성 배리어막(962B), 상부 금속 라이너(964B), 및 상부 금속막(966)을 포함하고, 상부 도전성 배리어막(962B) 및 상부 금속 라이너(964B)에는 각각 에어 갭(AG9)과 연통하는 개구(962H, 964H)가 형성되어 있다. 상부 금속막(966)은 에어 갭(AG9)을 향해 돌출된 돌출부(966P1)를 포함하고, 돌출부(966P1)는 에어 갭(AG9) 내부로 노출될 수 있다. Referring to FIG. 13, the integrated circuit device 900B has substantially the same configuration as the integrated circuit device 900 illustrated in FIG. 11. However, the upper wiring structure 960B of the integrated circuit device 900B includes an upper conductive barrier film 962B, an upper metal liner 964B, and an upper metal film 966, and the upper conductive barrier film 962B and Openings 962H and 964H communicating with the air gap AG9 are formed in the upper metal liner 964B, respectively. The upper metal layer 966 may include a protrusion 966P1 protruding toward the air gap AG9, and the protrusion 966P1 may be exposed into the air gap AG9.

도 14를 참조하면, 집적회로 소자(900C)는 도 11에 예시한 집적회로 소자(900)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(900C)의 상부 배선 구조(960C)는 상부 도전성 배리어막(962C), 상부 금속 라이너(964C), 및 상부 금속막(966)을 포함하고, 상부 도전성 배리어막(962C)에는 에어 갭(AG9)과 연통하는 개구(962H)가 형성되어 있다. 상부 금속 라이너(964C) 및 상부 금속막(966)은 각각 에어 갭(AG9)을 향해 돌출된 돌출부(964P3, 966P1)를 포함한다. 상부 금속 라이너(964C)의 돌출부(964P3)는 에어 갭(AG9) 내부로 노출될 수 있다. Referring to FIG. 14, the integrated circuit device 900C has substantially the same configuration as the integrated circuit device 900 illustrated in FIG. 11. However, the upper wiring structure 960C of the integrated circuit device 900C includes an upper conductive barrier film 962C, an upper metal liner 964C, and an upper metal film 966, and the upper conductive barrier film 962C An opening 962H communicating with the air gap AG9 is formed. The upper metal liner 964C and the upper metal film 966 include protrusions 964P3 and 966P1 protruding toward the air gap AG9, respectively. The protrusion 964P3 of the upper metal liner 964C may be exposed into the air gap AG9.

도 15를 참조하면, 집적회로 소자(900D)는 도 11에 예시한 집적회로 소자(900)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(900D)의 상부 배선 구조(960D)는 상부 도전성 배리어막(962D), 상부 금속 라이너(964D), 및 상부 금속막(966)을 포함한다. 상부 도전성 배리어막(962D)은 에어 갭(AG9)에 대면하는 국부 영역(962F)을 포함하며, 국부 영역(962F) 중 적어도 일부는 상부 도전성 배리어막(962D) 중 제2 절연막(156)에 대면하는 부분보다 작은 두께를 가진다. 상부 금속 라이너(964D) 및 상부 금속막(966)은 각각 에어 갭(AG9)을 향해 돌출된 돌출부(964P3, 966P1)를 포함한다. Referring to FIG. 15, the integrated circuit device 900D has substantially the same configuration as the integrated circuit device 900 illustrated in FIG. 11. However, the upper wiring structure 960D of the integrated circuit device 900D includes an upper conductive barrier layer 962D, an upper metal liner 964D, and an upper metal layer 966. The upper conductive barrier layer 962D includes a local region 962F facing the air gap AG9, and at least some of the local regions 962F face the second insulating layer 156 of the upper conductive barrier layer 962D. It has a smaller thickness than that of the part. The upper metal liner 964D and the upper metal film 966 include protrusions 964P3 and 966P1 protruding toward the air gap AG9, respectively.

도 16을 참조하면, 집적회로 소자(900E)는 도 11에 예시한 집적회로 소자(900)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(900E)의 상부 배선 구조(960E)는 에어 갭(AG9)을 향해 돌출된 돌출부(960P)를 포함한다. 상부 배선 구조(960E)는 상부 도전성 배리어막(962E), 상부 금속 라이너(964E), 및 상부 금속막(966)을 포함한다. 상부 도전성 배리어막(962E), 상부 금속 라이너(964E), 및 상부 금속막(966) 중 적어도 일부는 돌출부(960P)에서 에어 갭(AG9)을 향해 돌출된 형상을 가진다. 상부 도전성 배리어막(962E)은 에어 갭(AG9)에 대면하는 돌출부(960P)에서의 두께와 제2 절연막(156)에 대면하는 부분에서의 두께가 대략 동일할 수 있다. Referring to FIG. 16, the integrated circuit device 900E has substantially the same configuration as the integrated circuit device 900 illustrated in FIG. 11. However, the upper wiring structure 960E of the integrated circuit device 900E includes a protrusion 960P protruding toward the air gap AG9. The upper wiring structure 960E includes an upper conductive barrier film 962E, an upper metal liner 964E, and an upper metal film 966. At least a portion of the upper conductive barrier layer 962E, the upper metal liner 964E, and the upper metal layer 966 has a shape protruding from the protrusion 960P toward the air gap AG9. The upper conductive barrier layer 962E may have substantially the same thickness at the protrusion 960P facing the air gap AG9 and at the portion facing the second insulating layer 156.

도 12 내지 도 16에 예시한 상부 도전성 배리어막(962A, 962B, 962C, 962D, 962E), 상부 금속 라이너(964A, 964B, 964C, 964D, 964E), 및 상부 금속막(966)에 대한 보다 상세한 구성은 도 3을 참조하여 상부 도전성 배리어막(162), 상부 금속 라이너(164), 및 상부 금속막(166)에 대하여 설명한 바와 대체로 동일하다. 12 to 16, the upper conductive barrier films 962A, 962B, 962C, 962D, 962E, upper metal liners 964A, 964B, 964C, 964D, 964E, and the upper metal film 966 are detailed. The configuration is substantially the same as described for the upper conductive barrier layer 162, the upper metal liner 164, and the upper metal layer 166 with reference to FIG. 3.

도 17 및 도 18은 각각 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자를 설명하기 위한 단면도이다. 17 and 18 are cross-sectional views each illustrating an integrated circuit device according to still other embodiments according to the inventive concept.

도 17 및 도 18을 참조하면, 집적회로 소자(1000A, 1000B)는 도 11에 예시한 집적회로 소자(900)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(1000A)에서 하부 금속막(136)은 제1 도전성 합금 캡핑층(T1) 및 제2 도전성 합금 캡핑층(T2)을 포함하는 캡핑층(1050)으로 덮여 있다. 캡핑층(1050)은 하부 금속막(136) 위에 차례로 적층된 제2 도전성 합금 캡핑층(T2), 제1 도전성 합금 캡핑층(T1), 제1 절연성 캡핑층(950A), 및 제2 절연성 캡핑층(250B)을 포함한다. 제1 도전성 합금 캡핑층(T1)은 도 11을 참조하여 도전성 합금 캡핑층(950T)에 대하여 설명한 바와 대체로 동일한 구성을 가진다. 제2 도전성 합금 캡핑층(T2)은 제1 도전성 합금 캡핑층(T1)을 구성하는 금속과는 다른 종류의 금속과, Si 및 Ge 중에서 선택되는 반도체 원소와의 합금으로 이루어진다. 일부 실시예들에서, 제2 도전성 합금 캡핑층(T2)은 CuSi, Cu3Si, CuGe, 또는 Cu3Ge로 이루어질 수 있다. 캡핑층(1050)은 제2 절연막(156)으로 덮여 있다. 17 and 18, the integrated circuit devices 1000A and 1000B have substantially the same configuration as the integrated circuit device 900 illustrated in FIG. 11. However, in the integrated circuit device 1000A, the lower metal layer 136 is covered with a capping layer 1050 including a first conductive alloy capping layer T1 and a second conductive alloy capping layer T2. The capping layer 1050 includes a second conductive alloy capping layer T2, a first conductive alloy capping layer T1, a first insulating capping layer 950A, and a second insulating cap sequentially stacked on the lower metal layer 136. It includes a ping layer (250B). The first conductive alloy capping layer T1 has substantially the same configuration as described for the conductive alloy capping layer 950T with reference to FIG. 11. The second conductive alloy capping layer T2 is made of an alloy of a metal different from the metal constituting the first conductive alloy capping layer T1 and a semiconductor element selected from Si and Ge. In some embodiments, the second conductive alloy capping layer T2 may be formed of CuSi, Cu 3 Si, CuGe, or Cu 3 Ge. The capping layer 1050 is covered with the second insulating layer 156.

도 17에 예시한 집적회로 소자(1000A)에서, 상부 배선 구조(160)가 제2 도전성 합금 캡핑층(T2)을 통해 하부 금속막(136)에 전기적으로 연결 가능하도록 하부 금속막(136)과 상부 배선 구조(160)와의 사이에 제2 도전성 합금 캡핑층(T2)이 개재되어 있다. 상부 배선 구조(160)는 제2 절연막(156), 제2 절연성 캡핑층(250B), 제1 절연성 캡핑층(950A), 및 제1 도전성 합금 캡핑층(T1)을 관통하여 제2 도전성 합금 캡핑층(T2)의 상면까지 연장되어 있다. In the integrated circuit device 1000A illustrated in FIG. 17, the upper wiring structure 160 is electrically connected to the lower metal layer 136 through the second conductive alloy capping layer T2. The second conductive alloy capping layer T2 is interposed between the upper wiring structure 160 and the upper wiring structure 160. The upper wiring structure 160 penetrates through the second insulating layer 156, the second insulating capping layer 250B, the first insulating capping layer 950A, and the first conductive alloy capping layer T1 to form a second conductive alloy cap. It extends to the upper surface of the ping layer T2.

도 18에 예시한 집적회로 소자(1000B)에서, 상부 배선 구조(160)는 하부 금속막(136)에 직접 접해 있다. 상부 배선 구조(160)는 제2 절연막(156), 제2 절연성 캡핑층(250B), 제1 절연성 캡핑층(950A), 제1 도전성 합금 캡핑층(T1), 및 제2 도전성 합금 캡핑층(T2)을 관통하여 하부 금속막(136)의 상면까지 연장되어 있다. In the integrated circuit device 1000B illustrated in FIG. 18, the upper wiring structure 160 is in direct contact with the lower metal layer 136. The upper wiring structure 160 includes a second insulating layer 156, a second insulating capping layer 250B, a first insulating capping layer 950A, a first conductive alloy capping layer T1, and a second conductive alloy capping layer ( It penetrates through T2) and extends to the upper surface of the lower metal layer 136.

일반적으로, 기판 상에 다층 배선 구조가 형성된 경우, 다층 배선 구조 측과 기판 측과의 응력 차이, 또는 다층 배선 구조 내에서 이웃하는 서로 다른 막질들 사이의 비교적 약한 접착력으로 인해, 집적회로 소자의 다층 배선 구조 내에서 박리 또는 크랙이 발생할 수 있다. 다층 배선 구조 내에서 발생되는 박리 또는 크랙은 배선 구조들과 이들을 상호 절연하기 위한 절연막들과의 사이의 계면을 따라 전파될 수 있다. 다층 배선 구조에서 박리 또는 크랙이 발생되어 그 발생 지점으로부터 주변으로 전파되면 집적회로 소자의 동작 불량, 수명 저하 등을 초래하여 집적회로 소자의 신뢰성이 저하될 수 있다. In general, when a multilayer wiring structure is formed on a substrate, due to a difference in stress between the multilayer wiring structure side and the substrate side, or relatively weak adhesion between different film qualities adjacent to each other in the multilayer wiring structure, the multilayer of the integrated circuit device Peeling or cracking may occur within the wiring structure. Peeling or cracking generated in the multilayer wiring structure may propagate along the interface between the wiring structures and insulating films for insulating them from each other. If peeling or cracking occurs in the multilayer wiring structure and propagating from the point of occurrence to the periphery, the reliability of the integrated circuit device may deteriorate due to poor operation of the integrated circuit device and a reduction in lifespan.

도 1a 내지 도 18을 참조하여 설명한 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자들은 하부 배선 구조를 구성하는 하부 금속막의 상면과 상부 배선 구조의 측벽에 의해 한정되는 코너 영역 내에 형성된 에어 갭을 포함하며, 상기 에어 갭은 상기 하부 금속막의 상면을 덮는 캡핑층과 상기 상부 배선 구조에 의해 폭이 한정되는 크기를 가진다. 따라서, 하부 배선 구조, 상부 배선 구조, 이들을 감싸는 절연막들, 또는 이들 각각의 사이의 계면에서 박리 또는 크랙이 발생하는 경우에도 상기 박리 또는 크랙이 상기 에어 갭에 이르게 되면 박리 또는 크랙의 전파가 상기 에어 갭에서 정지될 수 있다. 따라서, 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자들에서는 다층 배선 구조에서 박리 또는 크랙이 발생되어도 이들의 전파를 억제하여 집적회로 소자의 동작 불량, 수명 저하 등이 초래되는 것을 방지할 수 있고 집적회로 소자의 신뢰성을 향상시킬 수 있다. The integrated circuit devices according to embodiments of the present invention described with reference to FIGS. 1A to 18 are air formed in a corner region defined by the upper surface of the lower metal film constituting the lower wiring structure and the sidewall of the upper wiring structure. A gap is included, and the air gap has a size defined by a capping layer covering an upper surface of the lower metal layer and the upper wiring structure. Therefore, even when peeling or cracking occurs at the lower wiring structure, the upper wiring structure, the insulating films surrounding them, or the interface between each of them, when the peeling or crack reaches the air gap, the peeling or crack propagation is caused by the air. It can be stopped in the gap. Therefore, in the integrated circuit devices according to the embodiments according to the technical idea of the present invention, even if peeling or cracking occurs in the multilayer wiring structure, the propagation of these devices is suppressed, thereby preventing the operation failure and lifespan of the integrated circuit device from occurring. And improve the reliability of the integrated circuit device.

도 19a 내지 도 19f는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 예시적인 제조 방법들을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 도 19a 내지 도 19f를 참조하여 도 5에 예시한 집적회로 소자(500)의 예시적인 제조 방법을 설명한다. 도 19a 내지 도 19f에 있어서, 도 1 내지 도 5에서와 동일한 참조 부호는 동일 부재를 나타내며, 여기서는 이들에 대한 상세한 설명을 생략한다. 19A to 19F are cross-sectional views illustrating exemplary methods of manufacturing an integrated circuit device according to embodiments of the inventive concept according to a process sequence. An exemplary method of manufacturing the integrated circuit device 500 illustrated in FIG. 5 will be described with reference to FIGS. 19A to 19F. In Figs. 19A to 19F, the same reference numerals as in Figs. 1 to 5 denote the same members, and detailed descriptions thereof are omitted here.

도 19a를 참조하면, 기판(110) 상에 제1 식각 저지막(112) 및 하부 절연막(114)을 형성하고, 하부 절연막(114) 및 제1 식각 저지막(112)을 관통하여 기판(110)의 도전 영역(도시 생략)에 전기적으로 연결 가능한 하부 도전막(120)을 형성한다. Referring to FIG. 19A, a first etch stop layer 112 and a lower insulating layer 114 are formed on a substrate 110, and the substrate 110 passes through the lower insulating layer 114 and the first etch stop layer 112. ) To form a lower conductive layer 120 that can be electrically connected to the conductive region (not shown).

하부 절연막(114) 상에 제2 식각 저지막(122) 및 제1 절연막(124)을 형성하고, 제1 절연막(124) 및 제2 식각 저지막(122)을 관통하여 하부 도전막(120)을 노출시키는 제1 홀(H1)을 형성한다. 제1 홀(H1)은 상호 연통되는 제1 비아홀(VH1) 및 제1 라인 홀(LH1)을 포함할 수 있다. 제1 홀(H1)을 형성하기 위하여 서로 다른 식각 선택비를 가지는 복수의 식각 마스크 패턴을 이용하여 제1 절연막(124) 및 제2 식각 저지막(122)을 건식 식각할 수 있다. 도 19a에는 제1 라인 홀(LH1)에 대응하는 영역이 점선으로 표시되어 있다. A second etch stop layer 122 and a first insulating layer 124 are formed on the lower insulating layer 114, and the lower conductive layer 120 passes through the first insulating layer 124 and the second etch stop layer 122. A first hole H1 exposing is formed. The first hole H1 may include a first via hole VH1 and a first line hole LH1 communicating with each other. In order to form the first hole H1, the first insulating layer 124 and the second etch stop layer 122 may be dry etched using a plurality of etch mask patterns having different etch selectivity. In FIG. 19A, an area corresponding to the first line hole LH1 is indicated by a dotted line.

도 19b를 참조하면, 제1 홀(H1)(도 19a 참조) 내에 하부 도전성 배리어막(132), 하부 금속 라이너(134) 및 하부 금속막(136)을 차례로 형성하여 제1 홀(H1)을 채우는 하부 배선 구조(130)를 형성한다. Referring to FIG. 19B, a lower conductive barrier layer 132, a lower metal liner 134, and a lower metal layer 136 are sequentially formed in the first hole H1 (see FIG. 19A) to form a first hole H1. A filling lower wiring structure 130 is formed.

일부 실시예들에서, 하부 금속막(136)을 형성하기 위하여, 하부 도전성 배리어막(132) 위에 하부 금속 라이너(134)를 형성한 후, 하부 금속 라이너(134) 상에 금속 씨드층(도시 생략)을 형성하고 도금 공정을 수행할 수 있다. 하부 도전성 배리어막(132), 하부 금속 라이너(134), 및 금속 씨드층을 형성하기 위하여 PVD (physical vapor deposition), CVD (chemical vapor deposition), ALD (atomic layer deposition), 또는 이들의 조합으로 이루어지는 공정을 이용할 수 있다. 다른 일부 실시예들에서, 하부 금속막(136)을 형성하기 위하여 도금 공정 대신 PVD, CVD, 또는 ALD 공정을 이용할 수도 있다. 그 후, CMP (chemical mechanical polishing) 공정 및/또는 에치백 공정을 이용하여 하부 금속막(136), 하부 금속 라이너(134), 및 하부 도전성 배리어막(132)을 식각 및 평탄화하여, 제1 홀(H1)(도 11a 참조)의 주변에서 제1 절연막(124)의 상면이 노출되고, 제1 홀(H1) 내에 하부 도전성 배리어막(132), 하부 금속 라이너(134), 및 하부 금속막(136)이 남도록 할 수 있다. In some embodiments, to form the lower metal layer 136, after forming the lower metal liner 134 on the lower conductive barrier layer 132, a metal seed layer (not shown) on the lower metal liner 134 ) Can be formed and the plating process can be performed. In order to form the lower conductive barrier layer 132, the lower metal liner 134, and the metal seed layer, it is composed of physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), or a combination thereof. Process can be used. In some other embodiments, a PVD, CVD, or ALD process may be used instead of a plating process to form the lower metal layer 136. Thereafter, the lower metal layer 136, the lower metal liner 134, and the lower conductive barrier layer 132 are etched and planarized using a chemical mechanical polishing (CMP) process and/or an etch-back process, thereby forming a first hole. The upper surface of the first insulating layer 124 is exposed around (H1) (see FIG. 11A), and the lower conductive barrier layer 132, the lower metal liner 134, and the lower metal layer ( 136) can be left.

도 19c를 참조하면, 하부 금속막(136) 위에 예비 캡핑층(50)을 형성한다. 예비 캡핑층(50)을 형성하기 위하여 하부 배선 구조(130) 위에 제1 예비 캡핑층(50A), 제2 예비 캡핑층(50B), 및 제3 예비 캡핑층(50C)을 차례로 형성할 수 있다. 도 19c에는 나타나 있지 않지만, 제2 예비 캡핑층(50B) 및 제3 예비 캡핑층(50C)은 하부 배선 구조(130)의 상면뿐만 아니라 하부 배선 구조(130) 주위에 있는 제1 절연막(124)의 상면을 함께 덮도록 형성될 수 있다. Referring to FIG. 19C, a preliminary capping layer 50 is formed on the lower metal layer 136. To form the preliminary capping layer 50, a first preliminary capping layer 50A, a second preliminary capping layer 50B, and a third preliminary capping layer 50C may be sequentially formed on the lower wiring structure 130. . Although not shown in FIG. 19C, the second preliminary capping layer 50B and the third preliminary capping layer 50C are the first insulating layer 124 surrounding the lower wiring structure 130 as well as the upper surface of the lower wiring structure 130. It may be formed to cover the upper surface of the together.

제1 예비 캡핑층(50A)은 도전층으로 이루어질 수 있다. 제1 예비 캡핑층(50A)은 제1 절연막(124) 및 하부 도전성 배리어막(132)의 노출 표면들 위에는 형성되지 않고, 하부 금속막(136) 및 하부 금속 라이너(134) 각각의 노출 표면 위에만 선택적으로 형성될 수 있다. 제1 예비 캡핑층(50A)을 형성하기 위하여 선택적 CVD 공정을 이용할 수 있다. 일부 실시예들에 있어서, 제1 예비 캡핑층(50A) 형성을 위한 증착 공정 시, 제1 예비 캡핑층(50A)을 구성하는 금속은 하부 금속막(136) 및 하부 금속 라이너(134)를 구성하는 금속에 대한 친화도에 의해 하부 금속막(136) 및 하부 금속 라이너(134)의 노출 표면에만 자기조립 방식으로 퇴적되어, 하부 금속막(136) 및 하부 금속 라이너(134) 각각의 노출 표면 위에만 제1 예비 캡핑층(50A)이 선택적으로 형성될 수 있다. 제1 예비 캡핑층(50A)은 Co, Ni, Ta, Ru, W, Mn, 및 이들의 조합으로부터 선택되는 금속 또는 합금으로 이루어질 수 있다. The first preliminary capping layer 50A may be formed of a conductive layer. The first preliminary capping layer 50A is not formed on the exposed surfaces of the first insulating layer 124 and the lower conductive barrier layer 132, but on the exposed surfaces of the lower metal layer 136 and the lower metal liner 134. Can be formed selectively. A selective CVD process may be used to form the first preliminary capping layer 50A. In some embodiments, in the deposition process for forming the first preliminary capping layer 50A, the metal constituting the first preliminary capping layer 50A constitutes the lower metal layer 136 and the lower metal liner 134 It is deposited in a self-assembled manner only on the exposed surfaces of the lower metal film 136 and the lower metal liner 134 due to the affinity for the metal, and is thus deposited on the exposed surfaces of the lower metal film 136 and the lower metal liner 134, respectively. Only the first preliminary capping layer 50A may be selectively formed. The first preliminary capping layer 50A may be made of a metal or alloy selected from Co, Ni, Ta, Ru, W, Mn, and combinations thereof.

제2 예비 캡핑층(50B)은 금속을 포함하는 절연층으로 이루어질 수 있다. 예를 들면, 제2 예비 캡핑층(50B)은 AlN, AlON, AlO, 또는 AlOC로 이루어질 수 있다. 제2 예비 캡핑층(50B)을 형성하기 위하여 CVD, ALD, 또는 PVD 공정을 이용할 수 있다. 일부 실시예들에서, 제2 예비 캡핑층(50B)을 형성한 후 후처리 어닐링 공정을 거칠 수 있다. 상기 후처리 어닐링 공정을 수행하기 위한 일 예에서, 제2 예비 캡핑층(50B)이 형성된 결과물을 N2 가스 분위기 하에서 어닐링하고, 이어서 H2 가스 분위기 하에서 어닐링할 수 있다. 상기 후처리 어닐링 공정을 수행하기 위한 다른 예에서, 제2 예비 캡핑층(50B)이 형성된 결과물을 NH3 및 N2 혼합 가스 분위기 하에서 어닐링할 수 있다. 상기 후처리 어닐링 공정을 수행하는 동안 RF 파워를 인가하여 플라즈마 분위기를 조성할 수 있다. 상기 후처리 어닐링 공정은 약 360 ℃의 온도 및 약 2.4 torr의 압력 하에서 약 30 초 동안 수행될 수 있다. 그러나, 이들 조건은 예시에 불과한 것으로, 본 발명의 기술적 사상이 상기 예시한 바에 한정되는 것은 아니다. 제2 예비 캡핑층(50B)에 대하여 상기한 바와 같은 후처리 어닐링 공정을 수행함으로써, 후속 공정에서 제2 예비 캡핑층(50B)에 접촉될 수 있는 식각액 또는 세정액에 대한 제2 예비 캡핑층(50B)의 식각 내성을 향상시킬 수 있다. The second preliminary capping layer 50B may be formed of an insulating layer containing a metal. For example, the second preliminary capping layer 50B may be made of AlN, AlON, AlO, or AlOC. A CVD, ALD, or PVD process may be used to form the second preliminary capping layer 50B. In some embodiments, after forming the second preliminary capping layer 50B, a post-treatment annealing process may be performed. In an example for performing the post-treatment annealing process, the resultant product on which the second preliminary capping layer 50B is formed may be annealed in an N 2 gas atmosphere and then annealed in an H 2 gas atmosphere. In another example for performing the post-treatment annealing process, the resulting product on which the second preliminary capping layer 50B is formed may be annealed in an NH 3 and N 2 mixed gas atmosphere. During the post-treatment annealing process, a plasma atmosphere may be created by applying RF power. The post-treatment annealing process may be performed for about 30 seconds under a temperature of about 360° C. and a pressure of about 2.4 torr. However, these conditions are only examples, and the technical idea of the present invention is not limited to those illustrated above. By performing the post-treatment annealing process as described above with respect to the second preliminary capping layer 50B, the second preliminary capping layer 50B for an etchant or cleaning solution that may contact the second preliminary capping layer 50B in a subsequent process ) Can improve the etch resistance.

제3 예비 캡핑층(50C)은 금속을 포함하지 않는 절연층으로 이루어질 수 있다. 예를 들면, 제3 예비 캡핑층(50C)은 실리콘 탄화물(SiC), 실리콘 질화물(SiN), 질소-도핑된 실리콘 탄화물(SiC:N), 또는 SiOC로 이루어질 수 있다. 제3 예비 캡핑층(50C)을 형성하기 위하여 CVD, ALD, 또는 PVD 공정을 이용할 수 있다. The third preliminary capping layer 50C may be formed of an insulating layer that does not contain metal. For example, the third preliminary capping layer 50C may be made of silicon carbide (SiC), silicon nitride (SiN), nitrogen-doped silicon carbide (SiC:N), or SiOC. A CVD, ALD, or PVD process may be used to form the third preliminary capping layer 50C.

도 19d를 참조하면, 예비 캡핑층(50) 위에 제2 절연막(156)을 형성한다. 제2 절연막(156)은 예비 캡핑층(50)을 사이에 두고 하부 배선 구조(130)의 상면과 하부 배선 구조(130)의 주위에 있는 제2 절연막(156)의 상면을 함께 덮도록 형성될 수 있다. Referring to FIG. 19D, a second insulating layer 156 is formed on the preliminary capping layer 50. The second insulating layer 156 is formed to cover the upper surface of the lower wiring structure 130 and the upper surface of the second insulating layer 156 around the lower wiring structure 130 with the preliminary capping layer 50 therebetween. I can.

도 19d 및 도 19e를 함께 참조하면, 예비 캡핑층(50)을 식각 저지막으로 이용하여 제2 절연막(156)을 식각하고, 이어서 예비 캡핑층(50)의 일부를 식각하여 하부 배선 구조(130)의 하부 금속막(136)을 노출시키는 제2 홀(H2)과, 제2 홀(H2)과 연통하는 에어 갭(AG5)을 형성한다. 그 결과, 예비 캡핑층(50) 중 남아 있는 부분들로 이루어지는 캡핑층(550)이 얻어질 수 있다. 19D and 19E, the second insulating layer 156 is etched using the preliminary capping layer 50 as an etch stop layer, and then a part of the preliminary capping layer 50 is etched to form the lower wiring structure 130. A second hole H2 exposing the lower metal layer 136 of) and an air gap AG5 communicating with the second hole H2 are formed. As a result, a capping layer 550 composed of remaining portions of the preliminary capping layer 50 may be obtained.

제2 홀(H2)은 제2 절연막(156) 및 캡핑층(550)을 수직 방향 (Z 방향)으로 관통하도록 형성될 수 있다. 에어 갭(AG5)을 형성하기 위하여, 제2 홀(H2)을 통해 노출되는 예비 캡핑층(50) 중 일부를 수평 방향 (X 방향 및/또는 Y 방향)을 따라 제거하여 제2 홀(H2)과 연통하는 컷아웃 영역(550TC)을 형성할 수 있다. 컷 아웃 영역(550TC)의 일부가 에어 갭(AG5)으로 될 수 있다. The second hole H2 may be formed to penetrate the second insulating layer 156 and the capping layer 550 in a vertical direction (Z direction). In order to form the air gap AG5, a part of the preliminary capping layer 50 exposed through the second hole H2 is removed along the horizontal direction (X direction and/or Y direction) to form the second hole H2. A cut-out area 550TC communicating with may be formed. A part of the cut-out area 550TC may become the air gap AG5.

제2 홀(H2) 및 에어 갭(AG5)을 형성하기 위한 일 예에서, 제2 절연막(156) 및 제3 예비 캡핑층(50C)을 플라즈마 식각 또는 RIE (reactive ion etching) 공정을 이용하여 건식 식각할 수 있다. 이 때, 제3 예비 캡핑층(50C)에 의해 식각 속도가 감소될 수 있으며, 제3 예비 캡핑층(50C)과는 다른 물질을 포함하는 제2 예비 캡핑층(50B)은 식각 종말점으로 이용될 수 있다. 그 후, 노출된 제2 예비 캡핑층(50B)을 습식 식각하여 제1 예비 캡핑층(50A)을 노출시킨 후, 노출된 제1 예비 캡핑층(50A)과 제2 홀(H2)의 내부 및 외부에서 노출되는 다른 막질들과의 식각 선택비 차이를 이용하여, 제2 홀(H2)을 통해 제1 예비 캡핑층(50A)의 일부를 선택적으로 식각하여 제1 예비 캡핑층(50A)에 컷아웃 영역(550TC)을 형성할 수 있다. 컷아웃 영역(550TC)을 형성하기 위하여 습식 식각 공정을 이용할 수 있다. 일부 실시예들에서, 제2 예비 캡핑층(50B)이 AlN 막으로 이루어진 경우, 제2 홀(H2) 형성을 위한 제2 예비 캡핑층(50B)의 식각 공정과 컷아웃 영역(550TC) 형성을 위한 제1 예비 캡핑층(50A)의 식각 공정은 동일한 식각액을 사용하여 수행될 수 있다. 이 때, 상기 식각액에 대하여 제2 예비 캡핑층(50B)의 식각 선택비보다 제1 예비 캡핑층(50A)의 식각 선택비가 더 클 수 있다. 이에 따라 동일한 식각액을 사용하여 제2 예비 캡핑층(50B) 및 그 하부의 제1 예비 캡핑층(50A)을 식각할 때 제2 예비 캡핑층(50B)의 식각량보다 제1 예비 캡핑층(50A)의 식각량이 더 클 수 있다. 이로 인해, 제2 홀(H2)이 형성된 후 제1 예비 캡핑층(50A)에 컷아웃 영역(550TC)이 형성될 수 있으며, 컷아웃 영역(550TC)은 에어 갭(AG5)으로 남게 될 수 있다. 제2 예비 캡핑층(50B) 및 그 하부의 제1 예비 캡핑층(50A)을 식각하기 위한 식각액으로서, 예를 들면 황산, 염산 등과 같은 산 용액을 이용할 수 있다. 다른 일부 실시예들에서, 제2 예비 캡핑층(50B)이 AlON 막으로 이루어진 경우, 제2 홀(H2)을 형성하기 위하여 제2 예비 캡핑층(50B)을 건식 식각하여 제1 예비 캡핑층(50A)을 노출시키고, 이어서 노출된 제1 예비 캡핑층(50A)을 황산, 염산 등과 같은 산 용액을 이용하여 습식 식각하여 제2 홀(H2) 및 컷아웃 영역(550TC)을 형성할 수 있다. In an example for forming the second hole H2 and the air gap AG5, the second insulating layer 156 and the third preliminary capping layer 50C are dry-etched using plasma etching or a reactive ion etching (RIE) process. Can be etched. In this case, the etching rate may be reduced by the third preliminary capping layer 50C, and the second preliminary capping layer 50B including a material different from the third preliminary capping layer 50C is used as an etch end point. I can. Thereafter, after wet etching the exposed second preliminary capping layer 50B to expose the first preliminary capping layer 50A, the exposed first preliminary capping layer 50A and the inside of the second hole H2 and A part of the first preliminary capping layer 50A is selectively etched through the second hole H2 by using the difference in the etching selectivity from other films exposed from the outside, and cut into the first preliminary capping layer 50A. The out area 550TC may be formed. A wet etching process may be used to form the cutout area 550TC. In some embodiments, when the second preliminary capping layer 50B is made of an AlN film, the etching process of the second preliminary capping layer 50B for forming the second hole H2 and the formation of the cutout region 550TC are performed. The etching process of the first preliminary capping layer 50A for may be performed using the same etching solution. In this case, the etch selectivity of the first preliminary capping layer 50A may be greater than that of the second preliminary capping layer 50B with respect to the etchant. Accordingly, when etching the second preliminary capping layer 50B and the first preliminary capping layer 50A below the second preliminary capping layer 50A using the same etching solution, the first preliminary capping layer 50A is less than the etching amount of the second preliminary capping layer 50B. ) May be larger. Accordingly, after the second hole H2 is formed, the cutout region 550TC may be formed in the first preliminary capping layer 50A, and the cutout region 550TC may remain as the air gap AG5. . As an etching solution for etching the second preliminary capping layer 50B and the first preliminary capping layer 50A below the second preliminary capping layer 50B, for example, an acid solution such as sulfuric acid or hydrochloric acid may be used. In some other embodiments, when the second preliminary capping layer 50B is formed of an AlON layer, the second preliminary capping layer 50B is dry-etched to form the second hole H2 to form the first preliminary capping layer ( 50A) may be exposed, and then the exposed first preliminary capping layer 50A may be wet-etched using an acid solution such as sulfuric acid or hydrochloric acid to form the second hole H2 and the cut-out region 550TC.

도 19f를 참조하면, 제2 홀(H2)(도 19e 참조) 내에 상부 도전성 배리어막(162), 상부 금속 라이너(164), 및 상부 금속막(166)을 차례로 형성하여 제2 홀(H2)을 채우는 상부 배선 구조(160)를 형성한다. 일부 실시예들에서, 상부 금속막(166)을 형성하기 위하여 도금 공정을 수행할 수 있다. Referring to FIG. 19F, an upper conductive barrier layer 162, an upper metal liner 164, and an upper metal layer 166 are sequentially formed in the second hole H2 (see FIG. 19E) to form a second hole H2. An upper wiring structure 160 filling in is formed. In some embodiments, a plating process may be performed to form the upper metal layer 166.

도 19a 내지 도 19f를 참조하여 도 5에 예시한 집적회로 소자(500)의 예시적인 제조 방법에 대하여 설명하였으나, 이로부터 다양한 변형 및 변경을 가하여 도 1a 내지 도 4와, 도 6 내지 도 18에 예시한 집적회로 소자들을 제조할 수 있다. An exemplary method of manufacturing the integrated circuit device 500 illustrated in FIG. 5 has been described with reference to FIGS. 19A to 19F, but various modifications and changes are made therefrom to FIGS. 1A to 4 and FIGS. 6 to 18. The illustrated integrated circuit devices can be manufactured.

예를 들면, 도 1a 및 도 3에 예시한 집적회로 소자(100, 300)를 제조하기 위하여, 도 19c를 참조하여 설명한 공정에서 예비 캡핑층(50) 대신 캡핑층(150)을 형성할 수 있다. 그리고, 도 19e를 참조하여 설명한 방법에서와 같이 제2 홀(H2)을 형성한 후, 에어 갭(AG5)을 형성하는 대신 제2 홀(H2)을 통해 노출되는 캡핑층(150)을 일부 제거하여 컷아웃 영역(150C)을 형성함으로써 에어 갭(AG1)을 형성할 수 있다. 이 때, 필요에 따라 캡핑층(150)의 식각 분위기를 조성하는 다양한 공정 조건들을 제어함으로써 도 1b 내지 도 1e에 예시한 다양한 평면 형상을 가지는 에어 갭(AG1)을 형성할 수 있다. 그 후, 도 19f를 참조하여 설명한 바를 참조하여 도 1a 및 도 3에 예시한 상부 배선 구조(160)를 형성할 수 있다. For example, in order to manufacture the integrated circuit devices 100 and 300 illustrated in FIGS. 1A and 3, the capping layer 150 may be formed instead of the preliminary capping layer 50 in the process described with reference to FIG. 19C. . In addition, after forming the second hole H2 as in the method described with reference to FIG. 19E, a portion of the capping layer 150 exposed through the second hole H2 is removed instead of forming the air gap AG5. Thus, the air gap AG1 may be formed by forming the cut-out region 150C. In this case, the air gap AG1 having various planar shapes illustrated in FIGS. 1B to 1E may be formed by controlling various process conditions for creating an etching atmosphere of the capping layer 150 as necessary. After that, the upper wiring structure 160 illustrated in FIGS. 1A and 3 may be formed with reference to what has been described with reference to FIG. 19F.

도 2 및 도 4에 예시한 집적회로 소자(200, 400)를 제조하기 위하여, 도 19c를 참조하여 설명한 공정에서 예비 캡핑층(50) 대신 캡핑층(250)을 형성할 수 있다. 그리고, 도 19e를 참조하여 설명한 방법에서와 같이 제2 홀(H2)을 형성한 후, 에어 갭(AG5)을 형성하는 대신 제2 홀(H2)을 통해 노출되는 캡핑층(250) 중 제1 절연성 캡핑층(250A)을 선택적으로 일부 식각하여 컷아웃 영역(250AC)을 형성함으로써 에어 갭(AG2)을 형성할 수 있다. 그 후, 도 19f를 참조하여 설명한 바를 참조하여 도 2 및 도 4에 예시한 상부 배선 구조(160)를 형성할 수 있다. In order to manufacture the integrated circuit devices 200 and 400 illustrated in FIGS. 2 and 4, the capping layer 250 may be formed instead of the preliminary capping layer 50 in the process described with reference to FIG. 19C. In addition, after forming the second hole H2 as in the method described with reference to FIG. 19E, the first of the capping layers 250 exposed through the second hole H2 instead of forming the air gap AG5 The air gap AG2 may be formed by forming the cutout region 250AC by selectively partially etching the insulating capping layer 250A. After that, the upper wiring structure 160 illustrated in FIGS. 2 and 4 may be formed with reference to what has been described with reference to FIG. 19F.

도 6 및 도 7에 예시한 집적회로 소자(600, 700)를 제조하기 위하여, 도 19a 내지 도 19f를 참조하여 설명한 바와 같은 공정들을 수행할 수 있다. 단, 도 19d 및 도 19e를 참조하여 설명한 공정에서, 제2 홀(H2)을 통해 노출되는 예비 캡핑층(50) 중 제1 예비 캡핑층(50A) 및 제2 예비 캡핑층(50B)을 함께 식각할 수 있다. 이 때, 제1 예비 캡핑층(50A) 및 제2 예비 캡핑층(50B) 각각의 식각 선택비를 조절하여 도 6에 예시한 에어 갭(AG6) 또는 도 7에 예시한 에어 갭(AG7)을 형성할 수 있다. In order to manufacture the integrated circuit devices 600 and 700 illustrated in FIGS. 6 and 7, processes as described with reference to FIGS. 19A to 19F may be performed. However, in the process described with reference to FIGS. 19D and 19E, the first preliminary capping layer 50A and the second preliminary capping layer 50B among the preliminary capping layers 50 exposed through the second hole H2 are added together. Can be etched. At this time, the air gap AG6 illustrated in FIG. 6 or the air gap AG7 illustrated in FIG. 7 is formed by adjusting the etch selectivity of each of the first preliminary capping layer 50A and the second preliminary capping layer 50B. Can be formed.

도 8a 내지 도 10에 예시한 집적회로 소자(800A, 800B, 800C)를 제조하기 위하여, 도 19a 내지 도 19f를 참조하여 설명한 바와 같은 공정들을 수행할 수 있다. 단, 상부 배선 구조(860A, 860B, 860C)를 형성하기 위하여 도 19f를 참조하여 상부 배선 구조(160) 형성 방법에 대하여 설명한 바와 유사한 공정들을 수행하되, 필요에 따라 상부 도전성 배리어막(862A, 862B, 862C), 상부 금속 라이너(864, 864C), 및 상부 금속막(866) 각각의 퇴적 조건들을 제어하여 원하는 형상의 상부 배선 구조(860A, 860B, 860C)를 형성할 수 있다. In order to manufacture the integrated circuit devices 800A, 800B, and 800C illustrated in FIGS. 8A to 10, processes as described with reference to FIGS. 19A to 19F may be performed. However, in order to form the upper wiring structures 860A, 860B, and 860C, processes similar to those described for the method of forming the upper wiring structure 160 are performed with reference to FIG. 19F, but if necessary, the upper conductive barrier layers 862A and 862B , 862C), the upper metal liners 864 and 864C, and the upper metal layer 866, respectively, by controlling the deposition conditions, the upper wiring structures 860A, 860B, and 860C having a desired shape may be formed.

도 11에 예시한 집적회로 소자(900)를 제조하기 위하여, 도 19c를 참조하여 설명한 공정에서 예비 캡핑층(50) 대신 도전성 합금 캡핑층(950T)을 포함하는 캡핑층(950)을 형성할 수 있다. 도전성 합금 캡핑층(950T)을 형성하기 위하여, 도 19c를 참조하여 설명한 바와 같은 방법으로 제1 예비 캡핑층(50A)을 형성한 후, 제1 예비 캡핑층(50A)을 H2 가스 분위기, 또는 H2 가스와, Ar, He, Ne, N2 등의 불활성 가스와의 혼합 가스 분위기 하에서 1차 어닐링하여 제1 예비 캡핑층(50A)의 노출 표면에 원하지 않게 잔류하는 자연산화막을 환원에 의해 제거하고 표면 손상을 치유할 수 있다. 상기 1차 어닐링을 수행하는 동안 RF 파워를 인가하여 플라즈마 분위기를 조성할 수 있다. 상기 1차 어닐링은 약 300 ∼ 400 ℃의 온도 및 약 1 ∼ 20 torr의 압력 하에서 약 1 초 ∼ 약 1 분 동안 수행될 수 있다. 그 후, 1차 어닐링된 제1 예비 캡핑층(50A)을 반도체 원소를 포함하는 가스 분위기 하에서 2차 어닐링하여, 제1 예비 캡핑층(50A)으로부터 반도체 원소를 포함하는 도전성 합금 캡핑층(950T)을 형성할 수 있다. 상기 2차 어닐링을 수행하는 공정은 1차 어닐링 공정 후 진공 파괴 없이 인시튜(in-situ)로 수행될 수 있다. 상기 반도체 원소는 Si 및 Ge 중 적어도 하나일 수 있다. 상기 반도체 원소를 포함하는 가스는 SiH4, Si2H6, Si(CH3)4, SiH2Cl2 등과 같은 실리콘 소스, 및/또는 GeH4, GeCl4 등과 같은 게르마늄 소스를 포함할 수 있다. 일부 실시예들에서, 상기 반도체 원소를 포함하는 가스 분위기는 상기 반도체 원소를 포함하는 가스와 Ar, He, Ne, N2 등의 불활성 가스와의 혼합 가스 분위기일 수 있다. 상기 반도체 원소를 포함하는 가스 분위기 하에서 어닐링은 플라즈마 방식으로 수행될 수 있다. 상기 반도체 원소를 포함하는 가스 분위기 하에서의 어닐링은 약 300 ∼ 400 ℃의 온도 및 약 1 ∼ 20 torr의 압력 하에서 약 1 초 ∼ 약 1 분 동안 수행될 수 있다. 또한, 도 19e를 참조하여 설명한 공정에서 에어 갭(AG5)을 형성하는 대신, 캡핑층(950) 중 제1 절연성 캡핑층(950A)을 선택적으로 일부 제거하여 컷아웃 영역(950AC)을 형성함으로써 에어 갭(AG9)을 형성할 수 있다. 그 후, 도 19f를 참조하여 설명한 바를 참조하여 상부 배선 구조(160)를 형성할 수 있다. In order to manufacture the integrated circuit device 900 illustrated in FIG. 11, a capping layer 950 including a conductive alloy capping layer 950T may be formed instead of the preliminary capping layer 50 in the process described with reference to FIG. 19C. have. In order to form the conductive alloy capping layer 950T, after forming the first preliminary capping layer 50A in the same manner as described with reference to FIG. 19C, the first preliminary capping layer 50A is formed in an H 2 gas atmosphere, or First annealing under a mixed gas atmosphere of H 2 gas and an inert gas such as Ar, He, Ne, and N 2 to remove undesirably remaining natural oxide film on the exposed surface of the first preliminary capping layer 50A by reduction And heal surface damage. During the first annealing, a plasma atmosphere may be created by applying RF power. The primary annealing may be performed for about 1 second to about 1 minute under a temperature of about 300 to 400° C. and a pressure of about 1 to 20 torr. Thereafter, the first pre-annealed first preliminary capping layer 50A is subjected to secondary annealing in a gas atmosphere containing a semiconductor element, and the conductive alloy capping layer 950T containing the semiconductor element from the first preliminary capping layer 50A. Can be formed. The process of performing the secondary annealing may be performed in-situ without breaking vacuum after the primary annealing process. The semiconductor element may be at least one of Si and Ge. The gas containing the semiconductor element may include a silicon source such as SiH 4 , Si 2 H 6 , Si(CH 3 ) 4 , SiH 2 Cl 2 , and/or a germanium source such as GeH 4 and GeCl 4. In some embodiments, the gas atmosphere including the semiconductor element may be a mixed gas atmosphere of a gas including the semiconductor element and an inert gas such as Ar, He, Ne, and N 2. Annealing in a gas atmosphere including the semiconductor element may be performed in a plasma method. The annealing in a gas atmosphere containing the semiconductor element may be performed for about 1 second to about 1 minute under a temperature of about 300 to 400° C. and a pressure of about 1 to 20 torr. In addition, instead of forming the air gap AG5 in the process described with reference to FIG. 19E, the first insulating capping layer 950A of the capping layer 950 is selectively partially removed to form the cut-out area 950AC. A gap AG9 may be formed. Thereafter, the upper wiring structure 160 may be formed with reference to what has been described with reference to FIG. 19F.

도 12 내지 도 16에 예시한 집적회로 소자(900A, 900B, 900C, 900D, 900E)를 제조하기 위하여, 도 19a 내지 도 19f를 참조하여 설명한 바와 같은 공정들을 수행할 수 있다. 단, 상부 배선 구조(960A, 960B, 960C, 960D, 960E)를 형성하기 위하여 도 19f를 참조하여 상부 배선 구조(160) 형성 방법에 대하여 설명한 바와 유사한 공정들을 수행하되, 필요에 따라 상부 도전성 배리어막(962A, 962B, 962C, 962D, 962E), 상부 금속 라이너(964A, 964B, 964C, 964D, 964E), 및 상부 금속막(966) 각각의 퇴적 조건들을 제어하여 원하는 형상의 상부 배선 구조(960A, 960B, 960C, 960D, 960E)를 형성할 수 있다. In order to manufacture the integrated circuit devices 900A, 900B, 900C, 900D, and 900E illustrated in FIGS. 12 to 16, processes as described with reference to FIGS. 19A to 19F may be performed. However, in order to form the upper wiring structures 960A, 960B, 960C, 960D, 960E, processes similar to those described for the method of forming the upper wiring structure 160 are performed with reference to FIG. 19F, but if necessary, the upper conductive barrier layer (962A, 962B, 962C, 962D, 962E), upper metal liners 964A, 964B, 964C, 964D, 964E, and upper metal film 966 by controlling the deposition conditions of each of the upper wiring structure 960A of a desired shape, 960B, 960C, 960D, 960E) can be formed.

도 17에 예시한 집적회로 소자(1000A)를 제조하기 위하여, 도 11에 예시한 집적회로 소자(900)의 제조 방법에 대하여 상술한 바와 유사한 방법을 이용할 수 있다. 단, 도전성 합금 캡핑층(950T)을 포함하는 캡핑층(950)을 형성하는 대신, 제1 도전성 합금 캡핑층(T1) 및 제2 도전성 합금 캡핑층(T2)을 포함하는 캡핑층(1050)을 형성할 수 있다. 이를 위하여, 도전성 합금 캡핑층(950T) 형성 방법과 동일한 방법으로 하부 금속막(136) 위에 제1 도전성 합금 캡핑층(T1)을 형성한 후, 하부 금속막(136) 중 제1 도전성 합금 캡핑층(T1)에 접하는 상면으로부터 일부 두께 부분으로부터 반도체 원소를 포함하는 제2 도전성 합금 캡핑층(T2)을 더 형성할 수 있다. 하부 금속막(136)이 Cu로 이루어지고, 상기 반도체 원소가 Si인 경우, 제2 도전성 합금 캡핑층(T2)은 Cu 및 Si를 포함하는 합금, 예를 들면 CuSi 또는 Cu3Si로 이루어질 수 있다. 하부 금속막(136)이 Cu로 이루어지고, 상기 반도체 원소가 Ge인 경우, 제2 도전성 합금 캡핑층(T2)은 Cu 및 Ge를 포함하는 합금, 예를 들면 CuGe 또는 Cu3Ge로 이루어질 수 있다. 일부 실시예들에서, 제1 도전성 합금 캡핑층(T1) 및 제2 도전성 합금 캡핑층(T2)을 형성하기 위하여, 상기 반도체 원소를 포함하는 가스 분위기 하에서 약 300 ∼ 400 ℃의 온도 및 약 1 ∼ 20 torr의 압력 하에 약 10 초 ∼ 약 2 분 동안 어닐링할 수 있다. 어닐링은 플라즈마 방식으로 수행될 수 있다. In order to manufacture the integrated circuit device 1000A illustrated in FIG. 17, a method similar to that described above may be used for the manufacturing method of the integrated circuit device 900 illustrated in FIG. 11. However, instead of forming the capping layer 950 including the conductive alloy capping layer 950T, a capping layer 1050 including the first conductive alloy capping layer T1 and the second conductive alloy capping layer T2 is formed. Can be formed. To this end, after forming the first conductive alloy capping layer T1 on the lower metal layer 136 by the same method as the method of forming the conductive alloy capping layer 950T, the first conductive alloy capping layer among the lower metal layers 136 A second conductive alloy capping layer T2 including a semiconductor element may be further formed from a partial thickness portion from the upper surface in contact with (T1). When the lower metal layer 136 is made of Cu and the semiconductor element is Si, the second conductive alloy capping layer T2 may be made of an alloy containing Cu and Si, for example, CuSi or Cu 3 Si. . When the lower metal layer 136 is made of Cu and the semiconductor element is Ge, the second conductive alloy capping layer T2 may be made of an alloy containing Cu and Ge, for example, CuGe or Cu 3 Ge. . In some embodiments, in order to form the first conductive alloy capping layer T1 and the second conductive alloy capping layer T2, a temperature of about 300 to 400° C. and a temperature of about 1 to about 400° C. under a gas atmosphere including the semiconductor element It can be annealed for about 10 seconds to about 2 minutes under a pressure of 20 torr. Annealing can be performed in a plasma method.

도 18에 예시한 집적회로 소자(1000B)를 제조하기 위하여, 도 17에 예시한 집적회로 소자(1000A)의 제조 방법에 대하여 상술한 방법을 이용하되, 도 19e를 참조하여 설명한 제2 콘택홀(H2)을 형성하는 데 있어서 제2 도전성 합금 캡핑층(T2)을 식각하여 하부 금속막(136)이 노출되도록 할 수 있다. 그 후, 도 19f를 참조하여 설명한 바와 유사한 방법으로 캡핑층(1050)을 관통하여 하부 금속막(136)에 접하는 상부 배선 구조(160)를 형성할 수 있다. In order to manufacture the integrated circuit device 1000B illustrated in FIG. 18, the method described above for the manufacturing method of the integrated circuit device 1000A illustrated in FIG. 17 is used, but the second contact hole ( In forming H2), the lower metal layer 136 may be exposed by etching the second conductive alloy capping layer T2. Thereafter, the upper wiring structure 160 may be formed in contact with the lower metal layer 136 by penetrating the capping layer 1050 in a similar manner as described with reference to FIG. 19F.

본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법에 의하면, 하부 배선 구조를 구성하는 하부 금속막의 상면과 상부 배선 구조의 측벽에 의해 한정되는 코너 영역 내에서, 상기 하부 금속막의 상면을 덮는 캡핑층과 상기 상부 배선 구조에 의해 폭이 한정되는 에어 갭을 형성함으로써, 하부 배선 구조, 상부 배선 구조, 이들을 감싸는 절연막들, 또는 이들 각각의 사이의 계면에서 박리 또는 크랙이 발생하는 경우에도 박리 또는 크랙의 전파가 상기 에어 갭에 의해 정지될 수 있는 구조를 구현할 수 있다. 따라서, 본 발명의 기술적 사상에 따른 집적회로 소자의 제조 방법에 의하면, 다층 배선 구조에서 박리 또는 크랙의 전파에 따른 집적회로 소자의 동작 불량, 수명 저하 등을 억제할 수 있는 집적회로 소자를 제조할 수 있다. According to a method of manufacturing an integrated circuit device according to embodiments of the inventive concept, in a corner region defined by a top surface of a lower metal layer constituting a lower wiring structure and a sidewall of the upper wiring structure, the lower metal layer is When the capping layer covering the upper surface and the air gap whose width is limited by the upper wiring structure are formed, peeling or cracking occurs at the lower wiring structure, the upper wiring structure, the insulating films surrounding them, or the interface between each of them Edo may implement a structure in which peeling or propagation of cracks can be stopped by the air gap. Therefore, according to the method of manufacturing an integrated circuit device according to the technical idea of the present invention, an integrated circuit device capable of suppressing operation failure and a reduction in lifespan of the integrated circuit device due to peeling or propagation of cracks in a multilayer wiring structure I can.

이상, 도 1a 내지 도 19f를 참조하여 발명의 기술적 사상에 의한 집적회로 소자의 예시적인 구조들과 이들의 제조 방법들에 대하여 설명하였으나, 본 발명의 기술적 사상은 상기 예시된 바에 한정되는 것은 아니며, 이들로부터 다양한 변형 및 변경이 가능하다. 예를 들면, 도 1a 내지 도 18을 참조하여 설명한 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자들에서는 하부 금속막(136)의 상면이, 예를 들면 도 2에 예시된 바와 같이, 제1 절연성 캡핑층(250A) 및 제2 절연성 캡핑층(250B)으로 이루어지는 2 중층 구조의 절연성 캡핑층, 또는 이와 유사한 2 중층 구조의 절연성 캡핑층을 포함하는 캡핑층으로 덮이는 구조를 가지는 집적회로 소자들에 대하여 설명하였으나, 본 발명의 기술적 사상은 이들에 한정되는 것은 아니며, 하부 금속막(136)의 상면이 적어도 3 중층 구조의 절연성 캡핑층을 포함하는 캡핑층으로 덮이는 구조를 가질 수도 있다. In the above, exemplary structures of an integrated circuit device and methods of manufacturing them according to the technical idea of the present invention have been described with reference to FIGS. 1A to 19F, but the technical idea of the present invention is not limited to the above exemplified, Various modifications and changes are possible from these. For example, in the integrated circuit devices according to embodiments according to the inventive concept described with reference to FIGS. 1A to 18, the upper surface of the lower metal layer 136 is, for example, as illustrated in FIG. 2. , Having a structure covered with a capping layer including a two-layered insulating capping layer composed of a first insulating capping layer 250A and a second insulating capping layer 250B, or a similar two-layered insulating capping layer. Although the integrated circuit devices have been described, the technical idea of the present invention is not limited thereto, and a structure in which the upper surface of the lower metal layer 136 is covered with a capping layer including an insulating capping layer having at least a three-layer structure is described. You can have it.

도 20 내지 도 24는 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자들을 설명하기 위한 도면들이다. 도 20 내지 도 24를 참조하여 3 중층 구조의 절연성 캡핑층을 포함하는 집적회로 소자(1100, 1200, 1300, 1400, 1500)에 대하여 설명한다. 20 to 24 are diagrams for describing integrated circuit devices according to still other embodiments according to the inventive concept. The integrated circuit devices 1100, 1200, 1300, 1400, and 1500 including an insulating capping layer having a three-layer structure will be described with reference to FIGS. 20 to 24.

도 20을 참조하면, 집적회로 소자(1100)는 도 5에 예시한 집적회로 소자(500)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(1100)의 캡핑층(1150)은 집적회로 소자(500)의 캡핑층(550)과 달리 제2 절연성 캡핑층(250B)을 덮는 제3 절연성 캡핑층(1150A)을 더 포함한다. 즉, 캡핑층(1150)은 도전성 캡핑층(550T)과, 도전성 캡핑층(550T) 위에 차례로 적층된 제1 절연성 캡핑층(550A), 제2 절연성 캡핑층(250B), 및 제3 절연성 캡핑층(1150A)으로 이루어지는 3 중 절연성 캡핑층 구조를 포함한다. Referring to FIG. 20, the integrated circuit device 1100 has substantially the same configuration as the integrated circuit device 500 illustrated in FIG. 5. However, the capping layer 1150 of the integrated circuit device 1100 further includes a third insulating capping layer 1150A covering the second insulating capping layer 250B, unlike the capping layer 550 of the integrated circuit device 500 do. That is, the capping layer 1150 includes a conductive capping layer 550T, a first insulating capping layer 550A, a second insulating capping layer 250B, and a third insulating capping layer sequentially stacked on the conductive capping layer 550T. It includes a triple insulating capping layer structure made of (1150A).

제3 절연성 캡핑층(1150A)의 측벽은 상부 배선 구조(160)에 접할 수 있다. The sidewall of the third insulating capping layer 1150A may contact the upper wiring structure 160.

제3 절연성 캡핑층(1150A)은 제1 절연성 캡핑층(550A)과 유사하게 AlN, AlON, AlO, 또는 AlOC으로 이루어질 수 있다. 제1 절연성 캡핑층(550A) 및 제3 절연성 캡핑층(1150A)은 각각 AlN, AlON, AlO, 및 AlOC 중에서 선택되는 서로 동일한 물질 또는 서로 다른 물질로 이루어질 수 있다. Similar to the first insulating capping layer 550A, the third insulating capping layer 1150A may be formed of AlN, AlON, AlO, or AlOC. The first insulating capping layer 550A and the third insulating capping layer 1150A may be formed of the same material or different materials selected from AlN, AlON, AlO, and AlOC, respectively.

도 21을 참조하면, 집적회로 소자(1200)는 도 6에 예시한 집적회로 소자(600)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(1200)의 캡핑층(1250)은 집적회로 소자(600)의 캡핑층(650)과 달리 제2 절연성 캡핑층(250B)을 덮는 제3 절연성 캡핑층(1250A)을 더 포함한다. 즉, 캡핑층(1250)은 도전성 캡핑층(550T)과, 도전성 캡핑층(550T) 위에 차례로 적층된 제1 절연성 캡핑층(650A), 제2 절연성 캡핑층(250B), 및 제3 절연성 캡핑층(1250A)으로 이루어지는 3 중 절연성 캡핑층 구조를 포함한다. Referring to FIG. 21, the integrated circuit device 1200 has substantially the same configuration as the integrated circuit device 600 illustrated in FIG. 6. However, the capping layer 1250 of the integrated circuit device 1200 further includes a third insulating capping layer 1250A covering the second insulating capping layer 250B unlike the capping layer 650 of the integrated circuit device 600 do. That is, the capping layer 1250 includes a conductive capping layer 550T, a first insulating capping layer 650A, a second insulating capping layer 250B, and a third insulating capping layer sequentially stacked on the conductive capping layer 550T. It includes a triple insulating capping layer structure made of (1250A).

제3 절연성 캡핑층(1250A)의 측벽은 상부 배선 구조(160)로부터 이격되어 있고, 제3 절연성 캡핑층(1250A) 중 상부 배선 구조(160)에 대면하는 측벽과 상부 배선 구조(160)와의 사이에 에어 갭(AG12)이 한정될 수 있다. 에어 갭(AG12)은 제2 절연성 캡핑층(250B)을 사이에 두고 에어 갭(AG6)과 이격될 수 있으며, 이에 따라 에어 갭(AG12) 및 에어 갭(AG6)은 상오 연통되지 않는 구조를 가질 수 있다. 에어 갭(AG12)의 X 방향을 따르는 폭은 에어 갭(AG6)의 상부 폭(W6B)(도 6 참조)과 같거나 더 작을 수 있다. The sidewall of the third insulating capping layer 1250A is spaced apart from the upper wiring structure 160, and between the sidewall of the third insulating capping layer 1250A facing the upper wiring structure 160 and the upper wiring structure 160 The air gap AG12 may be defined. The air gap AG12 may be spaced apart from the air gap AG6 with the second insulating capping layer 250B interposed therebetween, and thus the air gap AG12 and the air gap AG6 have a structure that does not communicate with each other. I can. The width of the air gap AG12 along the X direction may be equal to or smaller than the upper width W6B of the air gap AG6 (see FIG. 6 ).

제3 절연성 캡핑층(1250A)은 제1 절연성 캡핑층(650A)과 유사하게 AlN, AlON, AlO, 또는 AlOC으로 이루어질 수 있다. 제1 절연성 캡핑층(650A) 및 제3 절연성 캡핑층(1250A)은 각각 AlN, AlON, AlO, 및 AlOC 중에서 선택되는 서로 동일한 물질 또는 서로 다른 물질로 이루어질 수 있다. 일부 실시예들에서, 제1 절연성 캡핑층(650A)은 AlN으로 이루어지고, 제3 절연성 캡핑층(1250A)은 AlON, AlO, 및 AlOC 중 어느 하나로 이루어질 수 있다. 이 경우, 에어 갭(AG12)의 X 방향을 따르는 폭은 에어 갭(AG6)의 상부 폭(W6B)(도 6 참조)보다 더 작을 수 있다. 다른 일부 실시예들에서, 제1 절연성 캡핑층(650A) 및 제3 절연성 캡핑층(1250A)이 서로 동일한 물질로 이루어지는 경우, 에어 갭(AG12)의 X 방향을 따르는 폭은 에어 갭(AG6)의 상부 폭(W6B)(도 6 참조)과 대략 동일할 수 있다. Similar to the first insulating capping layer 650A, the third insulating capping layer 1250A may be formed of AlN, AlON, AlO, or AlOC. The first insulating capping layer 650A and the third insulating capping layer 1250A may be formed of the same material or different materials selected from AlN, AlON, AlO, and AlOC, respectively. In some embodiments, the first insulating capping layer 650A may be formed of AlN, and the third insulating capping layer 1250A may be formed of any one of AlON, AlO, and AlOC. In this case, the width of the air gap AG12 along the X direction may be smaller than the upper width W6B of the air gap AG6 (see FIG. 6 ). In some other embodiments, when the first insulating capping layer 650A and the third insulating capping layer 1250A are made of the same material, the width of the air gap AG12 along the X direction is It may be approximately the same as the upper width W6B (see Fig. 6).

도 22를 참조하면, 집적회로 소자(1300)는 도 11에 예시한 집적회로 소자(900)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(1300)의 캡핑층(1350)은 집적회로 소자(900)의 캡핑층(950)과 달리 제2 절연성 캡핑층(250B)을 덮는 제3 절연성 캡핑층(1250A)을 더 포함한다. 즉, 캡핑층(1350)은 도전성 합금 캡핑층(950T)과, 도전성 합금 캡핑층(950T) 위에 차례로 적층된 제1 절연성 캡핑층(950A), 제2 절연성 캡핑층(250B), 및 제3 절연성 캡핑층(1250A)으로 이루어지는 3 중 절연성 캡핑층 구조를 포함한다. Referring to FIG. 22, the integrated circuit device 1300 has substantially the same configuration as the integrated circuit device 900 illustrated in FIG. 11. However, the capping layer 1350 of the integrated circuit device 1300 further includes a third insulating capping layer 1250A covering the second insulating capping layer 250B, unlike the capping layer 950 of the integrated circuit device 900 do. That is, the capping layer 1350 includes a conductive alloy capping layer 950T, a first insulating capping layer 950A sequentially stacked on the conductive alloy capping layer 950T, a second insulating capping layer 250B, and a third insulating property. It includes a triple insulating capping layer structure made of the capping layer 1250A.

제3 절연성 캡핑층(1250A)의 측벽은 상부 배선 구조(160)로부터 이격되어 있고, 제3 절연성 캡핑층(1250A) 중 상부 배선 구조(160)에 대면하는 측벽과 상부 배선 구조(160)와의 사이에 에어 갭(AG12)이 한정될 수 있다. 제3 절연성 캡핑층(1250A)에 대한 보다 상세한 설명은 도 21을 참조하여 설명한 바와 대체로 동일하다. The sidewall of the third insulating capping layer 1250A is spaced apart from the upper wiring structure 160, and between the sidewall of the third insulating capping layer 1250A facing the upper wiring structure 160 and the upper wiring structure 160 The air gap AG12 may be defined. A more detailed description of the third insulating capping layer 1250A is substantially the same as described with reference to FIG. 21.

도 20 내지 도 22를 참조하여 제3 절연성 캡핑층(1150A, 1250A)을 더 포함하는 캡핑층(1150, 1250, 1350)을 구비하는 집적회로 소자(1100, 1200, 1300)에 대하여 설명하였으나, 본 발명의 기술적 사상은 도 20 내지 도 22에 예시된 바에 한정되는 것은 아니며 다양한 변형 및 변경이 가능하다. 예를 들면, 도 1a에 예시한 캡핑층(150), 도 2에 예시한 캡핑층(250), 도 4에 예시한 캡핑층(250), 도 7에 예시한 캡핑층(750), 및 도 17에 예시한 캡핑층(1050)은 각각 제3 절연성 캡핑층(1150A), 제3 절연성 캡핑층(1250A), 또는 이들로부터 본 발명의 기술적 사상의 범위 내에서 변형된 다양한 구조의 제3 절연성 캡핑층을 더 포함할 수 있다. The integrated circuit devices 1100, 1200, and 1300 including the capping layers 1150, 1250, and 1350 further including the third insulating capping layers 1150A and 1250A have been described with reference to FIGS. 20 to 22. The technical idea of the invention is not limited to those illustrated in FIGS. 20 to 22, and various modifications and changes are possible. For example, the capping layer 150 illustrated in FIG. 1A, the capping layer 250 illustrated in FIG. 2, the capping layer 250 illustrated in FIG. 4, the capping layer 750 illustrated in FIG. 7, and FIG. The capping layer 1050 illustrated in FIG. 17 is a third insulating capping layer 1150A, a third insulating capping layer 1250A, or a third insulating cap of various structures modified from these within the scope of the technical idea of the present invention. It may further include a ping layer.

도 23을 참조하면, 집적회로 소자(1400)는 도 6에 예시한 집적회로 소자(600)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(1400)의 캡핑층(1450)은 집적회로 소자(600)의 캡핑층(650)과 달리 제2 절연성 캡핑층(250B)을 덮는 제3 절연성 캡핑층(1450A)을 더 포함하며, 도전성 캡핑층(550T)을 포함하지 않는다. 즉, 캡핑층(1450)은 하부 금속막(136) 위에 차례로 적층된 제1 절연성 캡핑층(650A), 제2 절연성 캡핑층(250B), 및 제3 절연성 캡핑층(1450A)으로 이루어지는 3 중 절연성 캡핑층 구조를 포함한다. 하부 금속막(136) 및 제1 절연성 캡핑층(650A)은 직접 접할 수 있으며, 이 경우 하부 금속막(136)은 Co로 이루어질 수 있다. Referring to FIG. 23, the integrated circuit device 1400 has substantially the same configuration as the integrated circuit device 600 illustrated in FIG. 6. However, the capping layer 1450 of the integrated circuit device 1400 further includes a third insulating capping layer 1450A covering the second insulating capping layer 250B, unlike the capping layer 650 of the integrated circuit device 600 And does not include the conductive capping layer 550T. That is, the capping layer 1450 is a triple insulating consisting of a first insulating capping layer 650A, a second insulating capping layer 250B, and a third insulating capping layer 1450A sequentially stacked on the lower metal layer 136. It includes a capping layer structure. The lower metal layer 136 and the first insulating capping layer 650A may be in direct contact, and in this case, the lower metal layer 136 may be formed of Co.

제1 절연성 캡핑층(650A) 중 상부 배선 구조(160)에 대면하는 측벽과 상부 배선 구조(160)와의 사이에 에어 갭(AG14)이 배치되고, 에어 갭(AG14)의 Z 방향 높이는 하부 금속막(136)의 상면과 제2 절연성 캡핑층(250B)의 저면에 의해 한정될 수 있다. Among the first insulating capping layers 650A, an air gap AG14 is disposed between the sidewall facing the upper wiring structure 160 and the upper wiring structure 160, and the height of the air gap AG14 in the Z direction is a lower metal layer. It may be defined by an upper surface of 136 and a lower surface of the second insulating capping layer 250B.

제3 절연성 캡핑층(1450A)의 측벽은 상부 배선 구조(160)에 접할 수 있다. The sidewall of the third insulating capping layer 1450A may contact the upper wiring structure 160.

제3 절연성 캡핑층(1450A)에 대한 보다 상세한 구성은 도 20을 참조하여 제3 절연성 캡핑층(1150A)에 대하여 설명한 바와 대체로 동일하다. A more detailed configuration of the third insulating capping layer 1450A is substantially the same as described for the third insulating capping layer 1150A with reference to FIG. 20.

도 24를 참조하면, 집적회로 소자(1500)는 도 11에 예시한 집적회로 소자(900)와 대체로 동일한 구성을 가진다. 단, 집적회로 소자(1500)의 캡핑층(1550)은 집적회로 소자(900)의 캡핑층(950)과 달리 제2 절연성 캡핑층(250B)을 덮는 제3 절연성 캡핑층(1550A)을 더 포함하며, 도전성 합금 캡핑층(950T)을 포함하지 않는다. 즉, 캡핑층(1550)은 하부 금속막(136) 위에 차례로 적층된 제1 절연성 캡핑층(950A), 제2 절연성 캡핑층(250B), 및 제3 절연성 캡핑층(1550A)으로 이루어지는 3 중 절연성 캡핑층 구조를 포함한다. 하부 금속막(136) 및 제1 절연성 캡핑층(950A)은 직접 접할 수 있으며, 이 경우 하부 금속막(136)은 Co로 이루어질 수 있다. Referring to FIG. 24, the integrated circuit device 1500 has substantially the same configuration as the integrated circuit device 900 illustrated in FIG. 11. However, the capping layer 1550 of the integrated circuit device 1500 further includes a third insulating capping layer 1550A covering the second insulating capping layer 250B, unlike the capping layer 950 of the integrated circuit device 900 And does not include the conductive alloy capping layer 950T. That is, the capping layer 1550 is a triple insulating consisting of a first insulating capping layer 950A, a second insulating capping layer 250B, and a third insulating capping layer 1550A sequentially stacked on the lower metal layer 136. It includes a capping layer structure. The lower metal layer 136 and the first insulating capping layer 950A may be in direct contact, and in this case, the lower metal layer 136 may be formed of Co.

제1 절연성 캡핑층(950A) 중 상부 배선 구조(160)에 대면하는 측벽과 상부 배선 구조(160)와의 사이에 에어 갭(AG9)이 배치되고, 에어 갭(AG9)의 Z 방향 높이는 하부 금속막(136)의 상면과 제2 절연성 캡핑층(250B)의 저면에 의해 한정될 수 있다. Among the first insulating capping layers 950A, an air gap AG9 is disposed between the sidewall facing the upper wiring structure 160 and the upper wiring structure 160, and the height of the air gap AG9 in the Z direction is a lower metal layer. It may be defined by an upper surface of 136 and a lower surface of the second insulating capping layer 250B.

제3 절연성 캡핑층(1550A)의 측벽은 상부 배선 구조(160)로부터 이격되어 있고, 제3 절연성 캡핑층(1550A) 중 상부 배선 구조(160)에 대면하는 측벽과 상부 배선 구조(160)와의 사이에 에어 갭(AG15)이 한정될 수 있다. 에어 갭(AG15)의 Z 방향 높이는 제2 절연성 캡핑층(250B)의 상면과 제2 절연막(156)의 저면에 의해 한정될 수 있다. 제3 절연성 캡핑층(1550A)에 대한 보다 상세한 구성은 도 20을 참조하여 제3 절연성 캡핑층(1150A)에 대하여 설명한 바와 대체로 동일하다. The sidewall of the third insulating capping layer 1550A is spaced apart from the upper wiring structure 160, and between the sidewall of the third insulating capping layer 1550A facing the upper wiring structure 160 and the upper wiring structure 160 The air gap AG15 may be defined. The height of the air gap AG15 in the Z direction may be limited by the top surface of the second insulating capping layer 250B and the bottom surface of the second insulating layer 156. A more detailed configuration of the third insulating capping layer 1550A is substantially the same as described for the third insulating capping layer 1150A with reference to FIG. 20.

도 23 및 도 24를 참조하여 도전성 캡핑층은 포함하지 않으면서 3 중층 구조의 절연성 캡핑층을 포함하는 캡핑층(1450, 1550)을 구비하는 집적회로 소자(1400, 1500)에 대하여 설명하였으나, 본 발명의 기술적 사상은 도 23 및 도 24에 예시된 바에 한정되는 것은 아니며 다양한 변형 및 변경이 가능하다. 예를 들면, 도 1a에 예시한 캡핑층(150), 도 2에 예시한 캡핑층(250), 도 4에 예시한 캡핑층(250), 도 5에 예시한 캡핑층(550), 도 7에 예시한 캡핑층(750), 및 도 17에 예시한 캡핑층(1050)은 각각 제3 절연성 캡핑층(1450A), 제3 절연성 캡핑층(1550A), 또는 이들로부터 본 발명의 기술적 사상의 범위 내에서 변형된 다양한 구조의 제3 절연성 캡핑층을 더 포함할 수 있다. With reference to FIGS. 23 and 24, the integrated circuit devices 1400 and 1500 including the capping layers 1450 and 1550 including the insulating capping layer having a three-layer structure without including the conductive capping layer have been described. The technical idea of the invention is not limited to those illustrated in FIGS. 23 and 24, and various modifications and changes are possible. For example, the capping layer 150 illustrated in FIG. 1A, the capping layer 250 illustrated in FIG. 2, the capping layer 250 illustrated in FIG. 4, the capping layer 550 illustrated in FIG. 5, and FIG. 7 The capping layer 750 illustrated in and the capping layer 1050 illustrated in FIG. 17 are respectively a third insulating capping layer 1450A, a third insulating capping layer 1550A, or the scope of the technical idea of the present invention from these. A third insulating capping layer having various structures modified within may be further included.

도 20 내지 도 24를 참조하여 설명한 집적회로 소자(1100, 1200, 1300, 1400, 1500)는 각각 하부 배선 구조(130)를 구성하는 하부 금속막(136)의 상면과 상부 배선 구조(160)의 측벽에 의해 한정되는 코너 영역 내에 형성된 에어 갭(AG5, AG9, AG12, AG14, AG15)을 포함한다. 따라서, 하부 배선 구조(130), 상부 배선 구조(160), 이들을 감싸는 절연막들, 또는 이들 각각의 사이의 계면에서 박리 또는 크랙이 발생하는 경우에도 상기 박리 또는 크랙이 에어 갭(AG5, AG9, AG12, AG14, AG15)에 이르게 되면 박리 또는 크랙의 전파가 에어 갭(AG5, AG9, AG12, AG14, AG15)에서 정지될 수 있다. 따라서, 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자(1100, 1200, 1300, 1400, 1500)의 다층 배선 구조에서 박리 또는 크랙이 발생되어도 이들의 전파를 억제하여 집적회로 소자의 동작 불량, 수명 저하 등이 초래되는 것을 방지할 수 있고 집적회로 소자의 신뢰성을 향상시킬 수 있다.The integrated circuit devices 1100, 1200, 1300, 1400, and 1500 described with reference to FIGS. 20 to 24 are each of the upper surface of the lower metal layer 136 and the upper wiring structure 160 constituting the lower wiring structure 130. And air gaps AG5, AG9, AG12, AG14, AG15 formed in the corner regions defined by the side walls. Therefore, even when peeling or cracking occurs at the lower wiring structure 130, the upper wiring structure 160, the insulating films surrounding them, or the interface between each of them, the peeling or cracking may cause air gaps (AG5, AG9, AG12). , AG14, AG15), peeling or crack propagation may be stopped in the air gap (AG5, AG9, AG12, AG14, AG15). Accordingly, even if peeling or cracking occurs in the multilayer wiring structure of the integrated circuit devices 1100, 1200, 1300, 1400, 1500 according to the embodiments of the present invention, the operation of the integrated circuit device by suppressing the propagation It is possible to prevent the occurrence of defects and deterioration of life, and improve the reliability of the integrated circuit device.

당 업자들은 도 19a 내지 도 19f를 참조하여 설명한 집적회로 소자(500)의 예시적인 제조 방법 및 이로부터 본 발명의 기술적 사상의 범위 내에서 다양하게 변형 및 변경된 방법들을 이용하여 도 20 내지 도 24에 예시한 집적회로 소자(1100, 1200, 1300, 1400, 1500)를 제조할 수 있음을 잘 알 수 있을 것이다. Those skilled in the art refer to FIGS. 20 to 24 using an exemplary manufacturing method of the integrated circuit device 500 described with reference to FIGS. 19A to 19F and various modified and modified methods within the scope of the technical idea of the present invention. It will be appreciated that the illustrated integrated circuit devices 1100, 1200, 1300, 1400, and 1500 can be manufactured.

도 25a 및 도 25b는 본 발명의 기술적 사상에 의한 또 다른 실시예들에 따른 집적회로 소자를 설명하기 위한 도면들로서, 도 25a는 집적회로 소자(2000)의 주요 구성을 도시한 레이아웃 다이어그램이고, 도 25b는 도 25a의 B - B' 선 단면도이다. 도 25a 및 도 25b에 예시한 집적회로 소자(2000)는 FinFET (fin field effect transistor) 소자를 포함하는 논리 셀을 구성할 수 있다. 25A and 25B are views for explaining an integrated circuit device according to still other embodiments according to the technical idea of the present invention, and FIG. 25A is a layout diagram showing a main configuration of the integrated circuit device 2000, and FIG. 25B is a cross-sectional view taken along line B-B' of FIG. 25A. The integrated circuit device 2000 illustrated in FIGS. 25A and 25B may constitute a logic cell including a fin field effect transistor (FinFET) device.

도 25a 및 도 25b를 참조하면, 기판(110)의 논리 셀 영역(LC)은 제1 소자 영역(RX1) 및 제2 소자 영역(RX2)을 포함한다. 제1 소자 영역(RX1) 및 제2 소자 영역(RX2)에는 기판(110)으로부터 돌출된 복수의 핀형 (fin-type) 활성 영역(AC)이 형성되어 있다. 복수의 핀형 활성 영역(AC)은 일 방향 (X 방향)을 따라 상호 평행하게 연장될 수 있다. 기판(110)상에서 복수의 핀형 활성 영역(AC) 각각의 사이에 소자분리막(도시 생략)이 형성되고, 복수의 핀형 활성 영역(AC)은 상기 소자분리막 위로 핀(fin) 형상으로 돌출될 수 있다. 기판(110)상에는 복수의 게이트 절연막(1118) 및 복수의 게이트 라인(GL)이 복수의 핀형 활성 영역(AC)과 교차하는 방향 (Y 방향)으로 연장될 수 있다. 복수의 게이트 절연막(1118) 및 복수의 게이트 라인(GL)은 복수의 핀형 활성 영역(AC) 각각의 상면 및 양 측벽과, 상기 소자분리막의 상면을 덮으면서 연장될 수 있다. 복수의 게이트 라인(GL)을 따라 복수의 MOS 트랜지스터가 형성될 수 있다. 상기 복수의 MOS 트랜지스터는 각각 복수의 핀형 활성 영역(AC)의 상면 및 양 측벽에서 채널이 형성되는 3 차원 구조의 MOS 트랜지스터일 수 있다. 복수의 게이트 절연막(1118)은 실리콘 산화막, 고유전막, 또는 이들의 조합으로 이루어질 수 있다. 상기 고유전막은 실리콘 산화막보다 유전 상수가 더 큰 금속 산화물로 이루어질 수 있다. 복수의 게이트 라인(GL)은 각각 일함수 금속 함유층 및 갭필 금속막을 포함할 수 있다. 상기 일함수 금속 함유층은 Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er, 및 Pd 중에서 선택되는 적어도 하나의 금속을 포함할 수 있다. 상기 갭필 금속막은 W 막 또는 Al 막으로 이루어질 수 있다. 일부 실시예들에서, 복수의 게이트 라인(GL)은 각각 TiAlC/TiN/W의 적층 구조, TiN/TaN/TiAlC/TiN/W의 적층 구조, 또는 TiN/TaN/TiN/TiAlC/TiN/W의 적층 구조를 포함할 수 있다. Referring to FIGS. 25A and 25B, the logic cell region LC of the substrate 110 includes a first device region RX1 and a second device region RX2. A plurality of fin-type active regions AC protruding from the substrate 110 are formed in the first device region RX1 and the second device region RX2. The plurality of fin-type active regions AC may extend parallel to each other in one direction (X direction). A device isolation layer (not shown) is formed between each of the plurality of fin-type active regions AC on the substrate 110, and the plurality of fin-type active regions AC may protrude above the device isolation layer in a fin shape. . A plurality of gate insulating layers 1118 and a plurality of gate lines GL may extend on the substrate 110 in a direction (Y direction) crossing the plurality of fin-type active regions AC. The plurality of gate insulating layers 1118 and the plurality of gate lines GL may extend while covering an upper surface and both sidewalls of each of the plurality of fin-type active regions AC and an upper surface of the device isolation layer. A plurality of MOS transistors may be formed along the plurality of gate lines GL. The plurality of MOS transistors may be MOS transistors having a three-dimensional structure in which channels are formed on top and sidewalls of the plurality of fin-type active regions AC, respectively. The plurality of gate insulating layers 1118 may be formed of a silicon oxide layer, a high dielectric layer, or a combination thereof. The high dielectric layer may be formed of a metal oxide having a higher dielectric constant than that of the silicon oxide layer. Each of the plurality of gate lines GL may include a work function metal-containing layer and a gap-fill metal layer. The work function metal-containing layer may include at least one metal selected from Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er, and Pd. The gap-fill metal layer may be formed of a W layer or an Al layer. In some embodiments, each of the plurality of gate lines GL is a stacked structure of TiAlC/TiN/W, a stacked structure of TiN/TaN/TiAlC/TiN/W, or a stacked structure of TiN/TaN/TiN/TiAlC/TiN/W. It may include a laminated structure.

복수의 핀형 활성 영역(AC) 위의 제1 레벨(LV1)에는 복수의 핀형 활성 영역(AC) 중 소스/드레인 영역(1116)에 연결되는 복수의 제1 콘택(CA)과, 복수의 게이트 라인(GL)에 연결되는 복수의 제2 콘택(CB)이 형성되어 있다. 복수의 제1 및 제2 콘택(CA, CB)은 복수의 핀형 활성 영역(AC) 및 게이트 라인(GL)을 덮는 제1 층간절연막(1132)에 의해 상호 절연될 수 있다. The first level LV1 above the plurality of fin-type active regions AC includes a plurality of first contacts CA connected to the source/drain regions 1116 among the plurality of fin-type active regions AC, and a plurality of gate lines. A plurality of second contacts CB connected to GL are formed. The plurality of first and second contacts CA and CB may be insulated from each other by the first interlayer insulating layer 1132 covering the plurality of fin-type active regions AC and the gate line GL.

제1 층간절연막(1132) 위에는 제2 층간절연막(1134)과, 제2 층간절연막(1134)을 관통하는 복수의 하부 비아 콘택(V0)이 형성되어 있다. A second interlayer insulating layer 1134 and a plurality of lower via contacts V0 penetrating the second interlayer insulating layer 1134 are formed on the first interlayer insulating layer 1132.

제2 층간절연막(1134) 위에는 제1 레벨(LV1)보다 높은 제2 레벨(LV2)에서 수평 방향으로 연장되는 복수의 제1 배선층(M1)이 형성될 수 있다. 복수의 제1 배선층(M1)은 제2 층간절연막(1134) 위에서 기판(110)의 주면(110A)의 연장 방향과 평행하게 연장될 수 있다. 복수의 제1 배선층(M1)은 각각 제1 레벨(LV1)과 제2 레벨(LV2)과의 사이에 형성된 복수의 하부 비아 콘택(V0) 중 어느 하나를 통해 복수의 제1 및 제2 콘택(CA, CB) 중에서 선택되는 어느 하나에 연결될 수 있다. 복수의 하부 비아 콘택(V0)은 각각 제2 층간절연막(1134)을 관통하여 복수의 제1 및 제2 콘택(CA, CB) 중 어느 하나에 연결될 수 있다. 제2 층간절연막(1134)에 의해 복수의 하부 비아 콘택(V0)이 상호 절연될 수 있다. A plurality of first wiring layers M1 extending in a horizontal direction at a second level LV2 higher than the first level LV1 may be formed on the second interlayer insulating layer 1134. The plurality of first wiring layers M1 may extend on the second interlayer insulating layer 1134 in parallel with the extending direction of the main surface 110A of the substrate 110. Each of the plurality of first wiring layers M1 is a plurality of first and second contacts through any one of the plurality of lower via contacts V0 formed between the first level LV1 and the second level LV2, respectively. CA, CB) can be connected to any one selected from. Each of the plurality of lower via contacts V0 may pass through the second interlayer insulating layer 1134 and may be connected to any one of the plurality of first and second contacts CA and CB. The plurality of lower via contacts V0 may be insulated from each other by the second interlayer insulating layer 1134.

논리 셀 영역(LC)에서 제1 소자 영역(RX1)에 있는 활성 영역(AC)에 전원선(VDD)이 연결되고, 제2 소자 영역(RX2)에 있는 활성 영역(AC)에 접지선(VSS)이 연결될 수 있다. 전원선(VDD) 및 접지선(VSS)은 복수의 하부 비아 콘택(V0) 중 어느 하나를 통해 제1 소자 영역(RX1)의 활성 영역(AC) 및 제2 소자 영역(RX2)의 활성 영역(AC)에 각각 연결될 수 있다. 제3 층간절연막(1136)에 의해 복수의 제1 배선층(M1), 전원선(VDD), 및 접지선(VSS)이 상호 절연될 수 있다. In the logic cell area LC, the power line VDD is connected to the active area AC in the first device area RX1, and the ground line VSS is connected to the active area AC in the second device area RX2. Can be connected. The power line VDD and the ground line VSS are connected to the active area AC of the first device area RX1 and the active area AC of the second device area RX2 through any one of the plurality of lower via contacts V0. ) Can be connected to each. The plurality of first wiring layers M1, the power line VDD, and the ground line VSS may be insulated from each other by the third interlayer insulating layer 1136.

복수의 하부 비아 콘택(V0), 복수의 제1 배선층(M1), 전원선(VDD), 및 접지선(VSS)은 각각 도전성 배리어막 및 금속막의 적층 구조를 가질 수 있다. 상기 도전성 배리어막 및 금속막은 도 1a를 참조하여 하부 배선 구조(130)를 구성하는 하부 도전성 배리어막(132) 및 하부 금속막(136)에 대하여 설명한 바와 같은 구성을 가질 수 있다. The plurality of lower via contacts V0, the plurality of first wiring layers M1, the power line VDD, and the ground line VSS may each have a stacked structure of a conductive barrier layer and a metal layer. The conductive barrier layer and the metal layer may have the same configuration as described for the lower conductive barrier layer 132 and the lower metal layer 136 constituting the lower wiring structure 130 with reference to FIG. 1A.

제3 층간절연막(1136) 및 복수의 제1 배선층(M1) 위에는 이들을 덮는 제4 층간절연막(1138)이 형성될 수 있다. 일부 실시예들에서, 제1 내지 제4 층간절연막(1132, 1134, 1136, 1138)은 서로 동일한 물질로 이루어질 수도 있고, 이들 중 적어도 일부가 서로 다른 물질로 이루어질 수도 있다. 제1 내지 제4 층간절연막(1132, 1134, 1136, 1138)의 구성 물질에 대한 보다 상세한 사항은 도 1a를 참조하여 하부 절연막(114)에 대하여 설명한 바와 같다. A fourth interlayer insulating layer 1138 covering the third interlayer insulating layer 1136 and the plurality of first wiring layers M1 may be formed. In some embodiments, the first to fourth interlayer insulating layers 1132, 1134, 1136, and 1138 may be made of the same material, or at least some of them may be made of different materials. Details of the constituent materials of the first to fourth interlayer insulating layers 1132, 1134, 1136, and 1138 are as described for the lower insulating layer 114 with reference to FIG. 1A.

제4 층간절연막(1138)을 관통하는 복수의 상부 비아 콘택(V1)이 복수의 제1 배선층(M1) 위에 형성될 수 있다. 상부 비아 콘택(V1) 위에는 제2 레벨(LV2)보다 높은 제3 레벨(LV3)에서 복수의 제1 배선층(M1)과 교차하는 방향으로 연장되는 복수의 제2 배선층(M2)이 형성될 수 있다. 복수의 제2 배선층(M2)은 각각 제2 레벨(LV2)과 제3 레벨(LV3)과의 사이에 형성된 복수의 상부 비아 콘택(V1) 중 어느 하나의 상부 비아 콘택(V1)을 통해 복수의 제1 배선층(M1) 중 어느 하나에 연결될 수 있다. 복수의 상부 비아 콘택(V1)은 제4 층간절연막(1138)을 관통하여 복수의 제1 배선층(M1) 중 어느 하나의 제1 배선층(M1)까지 연장될 수 있다. 복수의 상부 비아 콘택(V1) 및 복수의 제2 배선층(M2)은 각각 도전성 배리어막 및 금속막의 적층 구조를 가질 수 있다. 상기 도전성 배리어막 및 금속막은 도 1a를 참조하여 상부 배선 구조(160)를 구성하는 상부 도전성 배리어막(162)과 상부 금속막(166)에 대하여 설명한 바와 같은 구성을 가질 수 있다. A plurality of upper via contacts V1 penetrating the fourth interlayer insulating layer 1138 may be formed on the plurality of first wiring layers M1. A plurality of second wiring layers M2 extending in a direction crossing the plurality of first wiring layers M1 at a third level LV3 higher than the second level LV2 may be formed on the upper via contact V1. . The plurality of second wiring layers M2 are formed between the second level LV2 and the third level LV3, respectively, through one of the plurality of upper via contacts V1. It may be connected to any one of the first wiring layers M1. The plurality of upper via contacts V1 may penetrate through the fourth interlayer insulating layer 1138 and extend to any one first wiring layer M1 among the plurality of first wiring layers M1. The plurality of upper via contacts V1 and the plurality of second wiring layers M2 may each have a stacked structure of a conductive barrier layer and a metal layer. The conductive barrier layer and the metal layer may have the same configuration as described for the upper conductive barrier layer 162 and the upper metal layer 166 constituting the upper wiring structure 160 with reference to FIG. 1A.

제1 배선층(M1)과 제4 층간절연막(1138)과의 사이에는 제1 배선층(M1)의 상면과 제3 층간절연막(1136)의 상면을 덮도록 연장되는 캡핑층(150)이 개재되어 있다. 제1 배선층(M1)과 제4 층간절연막(1138)과의 사이에는 에어 갭(AG1)이 형성되어 있다. 에어 갭(AG1)은 제1 배선층(M1)의 상면과 상부 비아 콘택(V1)의 측벽에 의해 한정되는 코너 영역 내에 형성될 수 있다. 에어 갭(AG1)의 폭은 캡핑층(150) 및 상부 비아 콘택(V1)에 의해 한정될 수 있다. 캡핑층(150) 및 에어 갭(AG1)에 대한 보다 상세한 구성은 도 1a를 참조하여 설명한 바와 같다. 도 25b에는 제1 콘택(CA)에 연결되는 제1 배선층(M1) 위에 에어 갭(AG1)이 형성된 경우를 예시하였으나, 제2 콘택(CB)에 연결되는 제1 배선층(M1) 위에도 도 25b에 예시한 바와 유사하게 에어 갭(AG1)이 형성될 수 있다. A capping layer 150 extending to cover the top surface of the first wiring layer M1 and the top surface of the third interlayer insulating layer 1136 is interposed between the first wiring layer M1 and the fourth interlayer insulating layer 1138 . An air gap AG1 is formed between the first wiring layer M1 and the fourth interlayer insulating layer 1138. The air gap AG1 may be formed in a corner region defined by an upper surface of the first wiring layer M1 and a sidewall of the upper via contact V1. The width of the air gap AG1 may be limited by the capping layer 150 and the upper via contact V1. A more detailed configuration of the capping layer 150 and the air gap AG1 is as described with reference to FIG. 1A. 25B illustrates a case in which the air gap AG1 is formed on the first wiring layer M1 connected to the first contact CA, but also on the first wiring layer M1 connected to the second contact CB is also shown in FIG. 25B. Similar to the illustrated example, the air gap AG1 may be formed.

도 25a 및 도 25b에는 집적회로 소자(2000)에서, 하부 배선 구조를 구성하는 제1 배선층(M1)의 상면과 상부 배선 구조를 구성하는 상부 비아 콘택(V1)의 측벽에 의해 한정되는 코너 영역 내에 에어 갭(AG1)이 형성되어 있다. 따라서, 복수의 제1 배선층(M1), 복수의 상부 비아 콘택(V1), 복수의 제2 배선층(M2), 이들을 감싸는 절연막들, 또는 이들 각각의 사이의 계면에서 박리 또는 크랙이 발생하는 경우에도 에어 갭(AG1)에 의해 박리 또는 크랙의 전파가 정지될 수 있다. 따라서, 집적회로 소자(2000)에 포함된 다층 배선 구조에서 박리 또는 크랙의 전파에 따른 동작 불량, 수명 저하 등을 억제함으로써 신뢰성을 향상시킬 수 있다. 25A and 25B, in the integrated circuit device 2000, in the corner region defined by the top surface of the first wiring layer M1 constituting the lower wiring structure and the sidewall of the upper via contact V1 constituting the upper wiring structure. An air gap AG1 is formed. Therefore, even when peeling or cracking occurs at a plurality of first wiring layers M1, a plurality of upper via contacts V1, a plurality of second wiring layers M2, insulating films surrounding them, or an interface between each of them. Peeling or propagation of cracks may be stopped by the air gap AG1. Accordingly, reliability can be improved by suppressing operation failure due to the propagation of peeling or cracks in the multilayer wiring structure included in the integrated circuit device 2000 and reduction in lifespan.

도 25a 및 도 25b에 예시한 집적회로 소자(2000)에서 도 1a에 예시한 캡핑층(150) 및 에어 갭(AG1)이 형성된 경우를 예시하였으나, 본 발명의 기술적 사상은 이에 한정되지 않으며, 도 1a 내지 도 18과, 도 20 내지 도 24를 참조하여 설명한 다양한 구성의 캡핑층들 및 에어 갭들을 채용할 수도 있다.The case in which the capping layer 150 and the air gap AG1 illustrated in FIG. 1A are formed in the integrated circuit device 2000 illustrated in FIGS. 25A and 25B is illustrated, but the technical idea of the present invention is not limited thereto, and FIG. Capping layers and air gaps having various configurations described with reference to FIGS. 1A to 18 and FIGS. 20 to 24 may be employed.

이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상 및 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형 및 변경이 가능하다. Above, the present invention has been described in detail with reference to preferred embodiments, but the present invention is not limited to the above embodiments, and various modifications and changes by those of ordinary skill in the art within the spirit and scope of the present invention This is possible.

130: 하부 배선 구조, 136: 하부 금속막, 150, 250, 550, 650, 750, 950, 1050: 캡핑층, 160, 860A, 860B, 860C, 960A, 960B, 960C, 960D, 960E: 상부 배선 구조, 1150A, 1250A, 1450A, 1550A: 제3 절연성 캡핑층, AG1, AG2, AG5, AG6, AG7, AG9, AG12, AG14, AG15: 에어 갭. 130: lower wiring structure, 136: lower metal film, 150, 250, 550, 650, 750, 950, 1050: capping layer, 160, 860A, 860B, 860C, 960A, 960B, 960C, 960D, 960E: upper wiring structure , 1150A, 1250A, 1450A, 1550A: third insulating capping layer, AG1, AG2, AG5, AG6, AG7, AG9, AG12, AG14, AG15: air gap.

Claims (20)

기판 상에 형성된 제1 절연막의 적어도 일부를 관통하는 하부 금속막을 포함하는 하부 배선 구조와,
상기 하부 금속막의 상면을 덮는 캡핑층과,
상기 캡핑층을 덮는 제2 절연막과,
상기 제2 절연막 및 상기 캡핑층을 관통하여 상기 하부 금속막에 연결되는 상부 배선 구조와,
상기 하부 금속막과 상기 제2 절연막과의 사이에 배치되고 상기 캡핑층 및 상기 상부 배선 구조와의 사이의 거리에 의해 한정되는 폭을 가지는 에어 갭(air gap)을 포함하고,
상기 에어 갭은 상기 상부 배선 구조와 상기 캡핑층과의 사이에 개재되고, 상기 상부 배선 구조는 상기 캡핑층에 접하지 않는 집적회로 소자.
A lower wiring structure including a lower metal film penetrating at least a portion of the first insulating film formed on the substrate,
A capping layer covering an upper surface of the lower metal film,
A second insulating layer covering the capping layer,
An upper wiring structure connected to the lower metal layer through the second insulating layer and the capping layer,
An air gap disposed between the lower metal layer and the second insulating layer and having a width defined by a distance between the capping layer and the upper wiring structure,
The air gap is interposed between the upper wiring structure and the capping layer, and the upper wiring structure does not contact the capping layer.
제1항에 있어서,
상기 에어 갭은 상기 하부 금속막의 상면과 상기 상부 배선 구조의 측벽에 의해 한정되는 코너 영역에 배치되는 집적회로 소자.
The method of claim 1,
The air gap is disposed in a corner region defined by an upper surface of the lower metal layer and a sidewall of the upper wiring structure.
제1항에 있어서,
상기 에어 갭은 상기 하부 금속막과 수직으로 오버랩되는 위치에서 상기 상부 배선 구조의 적어도 일부를 포위하는 집적회로 소자.
The method of claim 1,
The air gap surrounds at least a portion of the upper wiring structure at a position vertically overlapping the lower metal layer.
제1항에 있어서,
상기 캡핑층은 도전성 캡핑층, 금속을 포함하는 제1 절연성 캡핑층, 및 상기 금속 및 다른 금속을 포함하지 않는 제2 절연성 캡핑층을 포함하고,
상기 도전성 캡핑층, 상기 제1 절연성 캡핑층, 및 상기 제2 절연성 캡핑층은 차례로 적층되어 있고,
상기 에어 갭은 상기 도전성 캡핑층과 상기 상부 배선 구조와의 사이에 개재되어 있는 집적회로 소자.
The method of claim 1,
The capping layer includes a conductive capping layer, a first insulating capping layer containing a metal, and a second insulating capping layer not containing the metal and other metals,
The conductive capping layer, the first insulating capping layer, and the second insulating capping layer are sequentially stacked,
The air gap is interposed between the conductive capping layer and the upper wiring structure.
제4항에 있어서,
상기 도전성 캡핑층 중 상기 상부 배선 구조에 대면하는 제1 측벽과, 상기 제1 절연성 캡핑층 중 상기 상부 배선 구조에 대면하는 제2 측벽은 각각 상기 상부 배선 구조로부터 이격된 부분을 포함하고,
상기 에어 갭은 상기 도전성 캡핑층의 상기 제1 측벽과 상기 상부 배선 구조와의 사이, 및 상기 제1 절연성 캡핑층의 상기 제2 측벽과 상기 상부 배선 구조와의 사이에 개재되어 있는 집적회로 소자.
The method of claim 4,
A first sidewall of the conductive capping layer facing the upper wiring structure and a second sidewall of the first insulating capping layer facing the upper wiring structure each include a portion spaced apart from the upper wiring structure,
The air gap is interposed between the first sidewall of the conductive capping layer and the upper wiring structure, and between the second sidewall of the first insulating capping layer and the upper wiring structure.
제4항에 있어서,
상기 에어 갭은 상기 도전성 캡핑층과 상기 상부 배선 구조와의 사이에서 제1 방향을 따라 제1 폭을 가지고, 상기 제1 절연성 캡핑층과 상기 상부 배선 구조와의 사이에서 상기 제1 방향을 따라 상기 제1 폭과 다른 제2 폭을 가지는 집적회로 소자.
The method of claim 4,
The air gap has a first width in a first direction between the conductive capping layer and the upper wiring structure, and the air gap has a first width in the first direction between the first insulating capping layer and the upper wiring structure. An integrated circuit device having a second width different from the first width.
제4항에 있어서,
상기 에어 갭은 상기 하부 금속막과 상기 제2 절연성 캡핑층과의 사이에 있는 집적회로 소자.
The method of claim 4,
The air gap is an integrated circuit device between the lower metal layer and the second insulating capping layer.
제1항에 있어서,
상기 캡핑층은 제1 금속과 반도체 원소를 포함하는 제1 도전성 합금 캡핑층, 상기 제1 금속과 다른 제2 금속을 포함하는 제1 절연성 캡핑층, 및 상기 제1 금속, 상기 제2 금속, 및 다른 금속을 포함하지 않는 제2 절연성 캡핑층을 포함하고,
상기 제1 도전성 합금 캡핑층, 상기 제1 절연성 캡핑층, 및 상기 제2 절연성 캡핑층은 차례로 적층되어 있고,
상기 에어 갭은 상기 제1 도전성 합금 캡핑층과 상기 제2 절연성 캡핑층과의 사이에 있는 집적회로 소자.
The method of claim 1,
The capping layer includes a first conductive alloy capping layer including a first metal and a semiconductor element, a first insulating capping layer including a second metal different from the first metal, and the first metal, the second metal, and Including a second insulating capping layer that does not contain other metals,
The first conductive alloy capping layer, the first insulating capping layer, and the second insulating capping layer are sequentially stacked,
The air gap is an integrated circuit device between the first conductive alloy capping layer and the second insulating capping layer.
제8항에 있어서,
상기 캡핑층은 상기 하부 금속막과 상기 제1 도전성 합금 캡핑층과의 사이에 개재된 제2 도전성 합금 캡핑층을 더 포함하고,
상기 제2 도전성 합금 캡핑층은 상기 제1 금속과는 다른 제3 금속과, 상기 반도체 원소를 포함하는 집적회로 소자.
The method of claim 8,
The capping layer further includes a second conductive alloy capping layer interposed between the lower metal film and the first conductive alloy capping layer,
The second conductive alloy capping layer includes a third metal different from the first metal and the semiconductor element.
제1항에 있어서,
상기 캡핑층은 도전성 캡핑층과, 상기 도전성 캡핑층을 덮는 3 중층 구조의 절연성 캡핑층을 포함하고,
상기 3 중층 구조의 절연성 캡핑층은 금속을 포함하는 제1 절연성 캡핑층, 상기 금속 및 다른 금속을 포함하지 않는 제2 절연성 캡핑층, 및 상기 금속 또는 다른 금속을 포함하는 제3 절연성 캡핑층을 포함하고,
상기 제1 절연성 캡핑층, 상기 제2 절연성 캡핑층, 및 상기 제3 절연성 캡핑층은 차례로 적층되어 있는 집적회로 소자.
The method of claim 1,
The capping layer includes a conductive capping layer and an insulating capping layer having a three-layer structure covering the conductive capping layer,
The three-layered insulating capping layer includes a first insulating capping layer containing a metal, a second insulating capping layer not containing the metal and other metals, and a third insulating capping layer containing the metal or other metal. and,
The first insulating capping layer, the second insulating capping layer, and the third insulating capping layer are sequentially stacked.
삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete
KR1020170029610A 2017-03-08 2017-03-08 Integrated circuit device and method of manufacturing the same KR102248788B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020170029610A KR102248788B1 (en) 2017-03-08 2017-03-08 Integrated circuit device and method of manufacturing the same
US15/846,498 US10276505B2 (en) 2017-03-08 2017-12-19 Integrated circuit device and method of manufacturing the same
CN201810076683.7A CN108573949B (en) 2017-03-08 2018-01-26 Integrated circuit device and method of manufacturing the same
CN201810124015.7A CN108573916B (en) 2017-03-08 2018-02-07 Integrated circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170029610A KR102248788B1 (en) 2017-03-08 2017-03-08 Integrated circuit device and method of manufacturing the same

Publications (2)

Publication Number Publication Date
KR20180102888A KR20180102888A (en) 2018-09-18
KR102248788B1 true KR102248788B1 (en) 2021-05-06

Family

ID=63718527

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170029610A KR102248788B1 (en) 2017-03-08 2017-03-08 Integrated circuit device and method of manufacturing the same

Country Status (1)

Country Link
KR (1) KR102248788B1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050087871A1 (en) 2003-10-24 2005-04-28 Kazuhide Abe Wiring structure of semiconductor device and production method of the device
JP2009135172A (en) 2007-11-29 2009-06-18 Dainippon Screen Mfg Co Ltd Method of manufacturing semiconductor device, and semiconductor device
US20120252204A1 (en) 2009-09-29 2012-10-04 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
US20150137312A1 (en) 2012-02-17 2015-05-21 International Business Machines Corporation Metal fuse structure for improved programming capability
US20160111325A1 (en) 2014-10-17 2016-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102289376B1 (en) * 2015-01-19 2021-08-17 에스케이하이닉스 주식회사 Semiconductor device with air gap and method for fabricating the same
KR102235120B1 (en) * 2015-06-30 2021-04-02 삼성전자주식회사 Semiconductor device and method for method for fabricating the same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050087871A1 (en) 2003-10-24 2005-04-28 Kazuhide Abe Wiring structure of semiconductor device and production method of the device
JP2009135172A (en) 2007-11-29 2009-06-18 Dainippon Screen Mfg Co Ltd Method of manufacturing semiconductor device, and semiconductor device
US20120252204A1 (en) 2009-09-29 2012-10-04 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
JP2013502714A (en) 2009-09-29 2013-01-24 インターナショナル・ビジネス・マシーンズ・コーポレーション Patternable low-k dielectric wiring structure with stepped cap layer, air gap inclusion wiring structure, and fabrication method
US20150137312A1 (en) 2012-02-17 2015-05-21 International Business Machines Corporation Metal fuse structure for improved programming capability
US20160111325A1 (en) 2014-10-17 2016-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits

Also Published As

Publication number Publication date
KR20180102888A (en) 2018-09-18

Similar Documents

Publication Publication Date Title
US10276505B2 (en) Integrated circuit device and method of manufacturing the same
US11600569B2 (en) Integrated circuit device and method of manufacturing the same
TWI689043B (en) Transistor and methods of forming the same
CN108538810B (en) Integrated circuit device
TWI625802B (en) Interconnect structure and method of manufacturing the same
KR101476544B1 (en) Novel patterning approach for improved via landing profile
US11270952B2 (en) Seal ring structure for semiconductor device
KR102209949B1 (en) Semiconductor structure cutting process and structures formed thereby
US8633520B2 (en) Semiconductor device
US10777449B2 (en) Methods of manufacturing semiconductor devices
US11456236B2 (en) Vertical semiconductor devices including vertical memory cells and peripheral circuits under the vertical memory cells
US10854608B2 (en) Integrated circuit device
US9048185B2 (en) Profile pre-shaping for replacement poly gate interlayer dielectric
US10509169B2 (en) Semiconductor structure and manufacturing method of the same
US11817351B2 (en) Method for fabricating semiconductor device
US8692359B2 (en) Through silicon via structure having protection ring
CN108573949B (en) Integrated circuit device and method of manufacturing the same
KR102248788B1 (en) Integrated circuit device and method of manufacturing the same
US20220085011A1 (en) Integrated circuit devices
US20240162323A1 (en) Integrated circuit device
US20240170370A1 (en) Integrated circuit device

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant