KR102113245B1 - Semiconductor device with epitaxial source/drain - Google Patents

Semiconductor device with epitaxial source/drain Download PDF

Info

Publication number
KR102113245B1
KR102113245B1 KR1020180011357A KR20180011357A KR102113245B1 KR 102113245 B1 KR102113245 B1 KR 102113245B1 KR 1020180011357 A KR1020180011357 A KR 1020180011357A KR 20180011357 A KR20180011357 A KR 20180011357A KR 102113245 B1 KR102113245 B1 KR 102113245B1
Authority
KR
South Korea
Prior art keywords
sias
layer
source
fin
gate structure
Prior art date
Application number
KR1020180011357A
Other languages
Korean (ko)
Other versions
KR20190055677A (en
Inventor
치아-타 유
쉬엥-첸 왕
웨이-유안 루
치엔-이 쿠오
리-리 수
펭-쳉 양
옌-밍 첸
사이-후이 영
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/814,129 external-priority patent/US10529803B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20190055677A publication Critical patent/KR20190055677A/en
Application granted granted Critical
Publication of KR102113245B1 publication Critical patent/KR102113245B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

반도체 디바이스 및 반도체 디바이스를 제조하는 방법이 제공된다. 몇몇 실시예들에서, 반도체 디바이스는 기판으로부터 연장되는 핀, 및 핀 위에 배치되는 게이트 구조물을 포함한다. 게이트 구조물은 핀 위에 형성되는 게이트 유전체, 게이트 유전체 위에 형성되는 게이트 전극, 및 게이트 전극의 측벽을 따라 형성되는 측벽 스페이서를 포함한다. 몇몇 경우들에서, U자형 리세스가 핀 내에 그리고 게이트 구조물에 인접해 있다. U자형 리세스의 표면에 제 1 소스/드레인층이 컨포멀하게 형성되고, 제 1 소스/드레인층은 인접해 있는 게이트 구조물 아래로 적어도 부분적으로 연장된다. 제 1 소스/드레인층 위에 제 2 소스/드레인층이 형성된다. 제 1 소스/드레인층 및 제 2 소스/드레인층 중 적어도 하나는 실리콘 비소(SiAs)를 포함한다.A semiconductor device and a method of manufacturing the semiconductor device are provided. In some embodiments, the semiconductor device includes a fin extending from the substrate, and a gate structure disposed over the fin. The gate structure includes a gate dielectric formed over the fin, a gate electrode formed over the gate dielectric, and sidewall spacers formed along sidewalls of the gate electrode. In some cases, a U-shaped recess is in the fin and adjacent the gate structure. A first source / drain layer is conformally formed on the surface of the U-shaped recess, and the first source / drain layer extends at least partially below the adjacent gate structure. A second source / drain layer is formed on the first source / drain layer. At least one of the first source / drain layer and the second source / drain layer comprises silicon arsenic (SiAs).

Description

에피택셜 소스/드레인을 갖는 반도체 디바이스{SEMICONDUCTOR DEVICE WITH EPITAXIAL SOURCE/DRAIN}Semiconductor device with epitaxial source / drain {SEMICONDUCTOR DEVICE WITH EPITAXIAL SOURCE / DRAIN}

본 출원은 "에피택셜 소스/드레인을 갖는 반도체 디바이스"라는 명칭으로 2016년 1월 4일에 출원된 미국 특허 출원 제 14/987,509 호의 일부 계속 출원이며, 이 출원의 개시는 그 전체가 참조로서 본원에 포함된다.This application is a continuation of part of U.S. Patent Application No. 14 / 987,509 filed on January 4, 2016 under the name " semiconductor device with epitaxial source / drain, " Is included in.

반도체 산업이 더 높은 디바이스 밀도, 더 높은 성능, 및 더 낮은 비용을 추구하기 위해 나노미터 기술 프로세스 노드들로 진보해옴에 따라, 제조 및 설계 문제들 모두로부터의 도전과제들은 핀 전계 효과 트랜지스터(fin field effect transistor; FinFET)와 같은 삼차원 설계들의 개발을 초래시켜왔다. FinFET 디바이스들은 일반적으로, 반도체 트랜지스터 디바이스들의 채널 및 소스/드레인 영역들이 형성되고 높은 애스팩트비(aspect ratio)들을 갖는 반도체 핀들을 포함한다. 더 빠르고, 더 신뢰할 수 있으며, 더 잘 제어되는 반도체 트랜지스터 디바이스들을 생산하기 위해, 핀 구조물의 측부들을 따라 그리고 핀 구조물 위에 게이트가 형성되어(예를 들어 핀 구조물을 둘러쌈) 채널 및 소스/드레인 영역들의 증가된 표면 면적의 이점을 이용한다. 몇몇 디바이스들에서, 캐리어 이동도를 향상시키기 위해, 예를 들어 실리콘 게르마늄(silicon germanium; SiGe), 실리콘 인(silicon phosphide; SiP) 또는 실리콘 탄화물(silicon carbide; SiC)을 이용하는 FinFET의 소스/드레인(source/drain; S/D) 부분들 내의 스트레이닝된 재료들이 사용될 수 있다.As the semiconductor industry advances to nanometer technology process nodes to pursue higher device densities, higher performance, and lower costs, challenges from both manufacturing and design challenges are pin field effect transistors (fin field). effect transistors (FinFETs). FinFET devices generally include semiconductor fins in which channel and source / drain regions of semiconductor transistor devices are formed and have high aspect ratios. To produce faster, more reliable, and better controlled semiconductor transistor devices, gates are formed along the sides of the fin structure and over the fin structure (eg surrounding the fin structure) to channel and source / drain regions Take advantage of their increased surface area. In some devices, source / drain of a FinFET using, for example, silicon germanium (SiGe), silicon phosphide (SiP) or silicon carbide (SiC) to improve carrier mobility ( Strained materials in source / drain (S / D) parts can be used.

본 개시는 첨부 도면들과 함께 읽혀질 때 다음의 상세한 설명으로부터 최상으로 이해된다. 본 산업계에서의 표준적인 실시에 따라, 다양한 피처(feature)들이 축척대로 도시되지 않았으며 단지 예시 목적들을 위해서만 사용된다는 점이 강조된다. 실제로, 다양한 피처들의 치수(dimension)들은 논의의 명료함을 위해 임의적으로 증가되거나 또는 감소될 수 있다.
도 1 내지 도 5는 본 개시의 일 실시예에 따른 반도체 디바이스를 제조하기 위한 예시적인 프로세스를 도시한다.
도 6 및 도 7은 반도체 디바이스를 제조하기 위한 다른 프로세스를 도시한다.
도 8 내지 도 10은 본 개시의 실시예에 따른 반도체 디바이스를 제조하기 위한 예시적인 프로세스의 추가적인 동작들을 도시한다.
도 11 내지 도 16은 본 개시의 다른 예시적인 실시예에 따른 반도체 디바이스를 제조하기 위한 예시적인 프로세스를 도시한다.
도 17 및 도 18은 본 개시에 따른 다른 예시적인 실시예에 따른 반도체 디바이스를 도시한다.
도 19 및 도 20은 본 개시에 따른 다른 예시적인 실시예에 따른 반도체 디바이스를 도시한다.
The present disclosure is best understood from the following detailed description when read in conjunction with the accompanying drawings. It is emphasized that, in accordance with standard practice in the industry, various features are not drawn to scale and are used for illustrative purposes only. Indeed, the dimensions of the various features can be arbitrarily increased or decreased for clarity of discussion.
1-5 illustrate an exemplary process for manufacturing a semiconductor device according to one embodiment of the present disclosure.
6 and 7 illustrate another process for manufacturing a semiconductor device.
8-10 show additional operations of an exemplary process for manufacturing a semiconductor device according to an embodiment of the present disclosure.
11 to 16 illustrate exemplary processes for manufacturing a semiconductor device according to another exemplary embodiment of the present disclosure.
17 and 18 show a semiconductor device according to another exemplary embodiment according to the present disclosure.
19 and 20 show a semiconductor device according to another exemplary embodiment according to the present disclosure.

다음의 개시가 본 개시의 상이한 피처들을 구현하기 위한 다수의 상이한 실시예들 또는 예시들을 제공한다는 점이 이해될 것이다. 본 개시를 단순화하기 위해 컴포넌트들 및 배열들의 특정 실시예들 또는 예시들이 아래에 설명된다. 물론, 이것들은 단지 예시들에 불과하며, 제한적으로 의도되는 것은 아니다. 예를 들어, 엘리먼트들의 치수들은 개시되는 범위들 또는 값들에 제한되는 것은 아니고, 프로세스 조건들 및/또는 디바이스의 희망하는 특성들에 의존할 수 있다. 또한, 다음의 설명에서 제 2 피처 위의 또는 제 2 피처 상의 제 1 피처의 형성은 제 1 및 제 2 피처들이 직접적으로 접촉하여 형성되는 실시예들을 포함할 수 있으며, 또한 제 1 및 제 2 피처들이 직접적으로 접촉하지 않을 수 있도록 추가적인 피처들이 제 1 및 제 2 피처들에 개재되어 형성될 수 있는 실시예들을 포함할 수 있다. 다양한 피처들은 단순화 및 명확성을 위해 상이한 축척들로 임의적으로 도시될 수 있다.It will be understood that the following disclosure provides a number of different embodiments or examples for implementing the different features of this disclosure. Specific embodiments or examples of components and arrangements are described below to simplify the present disclosure. Of course, these are only examples, and are not intended to be limiting. For example, the dimensions of the elements are not limited to the disclosed ranges or values, but may depend on process conditions and / or desired properties of the device. Further, in the following description, the formation of the first feature over or on the second feature may include embodiments in which the first and second features are formed by direct contact, and also the first and second features. Additional features may include embodiments that may be formed intervening in the first and second features so that they do not directly contact. Various features can be arbitrarily shown at different scales for simplicity and clarity.

또한, "밑", "아래", "보다 아래", "위", "보다 위" 등과 같은 공간 상대적 용어들은, 도면들에 예시된 바와 같이, 다른 엘리먼트(들) 또는 피처(들)에 대한 하나의 엘리먼트 또는 피처의 관계를 설명하도록 설명의 용이성을 위해 본원에서 사용될 수 있다. 공간 상대적 용어들은 도면들에 도시된 배향에 더하여, 사용 중이거나 또는 동작 중인 디바이스의 상이한 배향들을 망라하도록 의도된 것이다. 디바이스는 이와 다르게 배향(90° 또는 다른 배향으로 회전)될 수 있으며, 본원에서 사용되는 공간 상대적 기술어들이 그에 따라 유사하게 해석될 수 있다. 추가적으로, 용어 “제조되는”은 “포함하는” 또는 “구성되는” 중 어느 하나를 의미할 수 있다.Also, spatial relative terms such as "below", "below", "below", "above", "above", etc., as illustrated in the figures, refer to other element (s) or feature (s). It can be used herein for ease of description to describe the relationship of one element or feature. Spatial relative terms are intended to cover different orientations of the device in use or in operation, in addition to the orientation shown in the figures. The device can be otherwise oriented (rotated in 90 ° or other orientation), and the spatial relative descriptors used herein can be interpreted similarly accordingly. Additionally, the term “manufactured” may mean either “comprising” or “consisting of”.

본 개시의 다양한 실시예들은 반도체 디바이스들 및 그 형성 방법들에 관한 것이다. 다양한 실시예들에서, 반도체 디바이스는 FinFET 트랜지스터들을 포함한다. FinFET 트랜지스터들은 기판 위에 형성되는 핀 구조물들 상에 형성되는 전계 효과 트랜지스터들이다. 몇몇 실시예들에서, 핀들은 어레이로 형성된다.Various embodiments of the present disclosure relate to semiconductor devices and methods of forming the same. In various embodiments, the semiconductor device includes FinFET transistors. FinFET transistors are field effect transistors formed on fin structures formed on a substrate. In some embodiments, the pins are formed as an array.

본 개시의 실시예에 따르면, 반도체 디바이스를 제조하기 위한 방법은, 도 1에 도시된 바와 같이 반도체 기판(10) 위의 하나 이상의 핀(12)을 포함하는 핀 구조물을 형성하는 단계를 포함한다. 일 실시예에서, 반도체 기판(10)은 실리콘 기판이다. 대안적으로, 반도체 기판(10)은 게르마늄, 실리콘 게르마늄, 갈륨 비소 또는 다른 적절한 반도체 재료들을 포함할 수 있다. 또한 대안적으로, 반도체 기판은 에피택셜층을 포함할 수 있다. 예를 들어, 반도체 기판은 벌크 반도체 위에 있는 에피택셜층을 가질 수 있다. 또한, 반도체 기판은 성능 증대를 위해 스트레이닝(straining)될 수 있다. 예를 들어, 에피택셜층은 벌크 실리콘 위에 있는 실리콘 게르마늄층 또는 벌크 실리콘 게르마늄 위에 있는 실리콘층과 같이, 벌크 반도체의 재료와는 상이한 반도체 재료를 포함할 수 있다. 그러한 스트레이닝된 기판들은 선택적 에피택셜 성장(selective epitaxial growth; SEG)에 의해 형성될 수 있다. 또한, 반도체 기판은 반도체 온 절연체(semiconductor-on­insulator; SOI) 기판을 포함할 수 있다. 또한 대안적으로, 반도체 기판은 가령 SIMOX(separation by implantation of oxygen) 기술, 웨이퍼 본딩, SEG, 또는 다른 적절한 방법에 의해 형성되는 매립 산화물(buried oxide; BOX)층과 같은 매립 유전체층을 포함할 수 있다. 다른 실시예들에서, 기판은 SiC 및 SiGe과 같은 IV-IV족 화합물 반도체들, GaAs, GaP, GaN, InP, InAs, InSb, GaAsP, AlGaN, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP과 같은 III-V족 화합물 반도체들을 포함하는 화합물 반도체; 또는 이들의 조합들을 포함할 수 있다. 몇몇 실시예들에서, 반도체 기판(10)은 예를 들어 약 1x1015 cm-3 내지 약 2x1015 cm-3 범위 내의 불순물 농도를 갖는 p형(p-type) 실리콘 기판이다. 다른 실시예들에서, 반도체 기판(10)은 약 1x1015 cm-3 내지 약 2x1015 cm-3 범위 내의 불순물 농도를 갖는 n형(n-type) 실리콘 기판이다.According to an embodiment of the present disclosure, a method for manufacturing a semiconductor device includes forming a fin structure including one or more fins 12 on a semiconductor substrate 10 as shown in FIG. 1. In one embodiment, the semiconductor substrate 10 is a silicon substrate. Alternatively, the semiconductor substrate 10 may include germanium, silicon germanium, gallium arsenide or other suitable semiconductor materials. Also alternatively, the semiconductor substrate may include an epitaxial layer. For example, a semiconductor substrate can have an epitaxial layer over a bulk semiconductor. In addition, the semiconductor substrate can be strained to increase performance. For example, the epitaxial layer may include a semiconductor material different from that of the bulk semiconductor, such as a silicon germanium layer over bulk silicon or a silicon layer over bulk silicon germanium. Such strained substrates can be formed by selective epitaxial growth (SEG). In addition, the semiconductor substrate may include a semiconductor-on-insulator (SOI) substrate. Also alternatively, the semiconductor substrate may include a buried dielectric layer, such as a buried oxide (BOX) layer formed by separation by implantation of oxygen (SIMOX) technology, wafer bonding, SEG, or other suitable method. . In other embodiments, the substrate is a group IV-IV compound semiconductors such as SiC and SiGe, GaAs, GaP, GaN, InP, InAs, InSb, GaAsP, AlGaN, AlInAs, AlGaAs, GaInAs, GaInP, and / or GaInAsP Compound semiconductors containing the same group III-V compound semiconductors; Or combinations thereof. In some embodiments, the semiconductor substrate 10 is, for example, a p-type silicon substrate having an impurity concentration within a range of about 1x10 15 cm -3 to about 2x10 15 cm -3 . In other embodiments, the semiconductor substrate 10 is an n-type silicon substrate having an impurity concentration in the range of about 1x10 15 cm -3 to about 2x10 15 cm -3 .

핀들(12)은 반도체 기판(10) 위에 배치되고, 핀들(12)은 반도체 기판(10)과 동일한 재료로 제조될 수 있으며 반도체 기판(10)으로부터 연속적으로 연장될 수 있다. 핀들(12)은 반도체 기판(10)을 선택적으로 에칭함으로써 형성될 수 있다. 대안적으로, 핀들(12)은 EPI 퍼스트 방법을 사용하여 형성될 수 있다. EPI 퍼스트 방법들에서, 반도체 기판(10) 상에 에피택셜층이 형성되고, 이어서 에피택셜층이 후속하여 패터닝되어 핀들(12)을 형성한다.The pins 12 are disposed on the semiconductor substrate 10, and the pins 12 can be made of the same material as the semiconductor substrate 10 and can be continuously extended from the semiconductor substrate 10. The fins 12 may be formed by selectively etching the semiconductor substrate 10. Alternatively, the pins 12 can be formed using the EPI first method. In EPI first methods, an epitaxial layer is formed on the semiconductor substrate 10, and then the epitaxial layer is subsequently patterned to form fins 12.

반도체 기판(10) 상에 핀들(12)을 규정하기 위해 포토리소그래피 프로세스가 사용될 수 있다. 몇몇 실시예들에서, 반도체 기판(10) 상에 하드마스크층이 형성된다. 하드마스크층은 SiN 및 SiO2의 이중층(bilayer)을 포함할 수 있다. 반도체 기판 상에 포토레지스트층이 스핀온(spin-on) 코팅된다. 포토레지스트는 화학방사선(actinic radiation)에의 포토레지스트의 선택적 노출에 의해 패터닝된다. 일반적으로, 패터닝은 포토레지스트 코팅(예를 들어, 스핀온 코팅), 소프트 베이킹, 마스크 정렬, 노광, 노광 후 베이킹, 포토레지스트 현상, 린싱(rinsing), 드라잉(예를 들어, 하드 베이킹), 다른 적절한 프로세스들, 또는 이들의 조합들을 포함할 수 있다. 대안적으로, 포토리소그래피 노광 프로세스는, 무마스크(maskless) 포토리소그래피, 전자 빔 라이팅(writing), 직접 라이팅, 이온 빔 라이팅, 및/또는 나노 임프린팅(nano-imprinting)과 같은 다른 적절한 방법들에 의해 구현되거나 또는 이들에 의해 대체된다.A photolithography process can be used to define the fins 12 on the semiconductor substrate 10. In some embodiments, a hardmask layer is formed on the semiconductor substrate 10. The hard mask layer may include a bilayer of SiN and SiO 2 . The photoresist layer is spin-on coated on a semiconductor substrate. The photoresist is patterned by selective exposure of the photoresist to actinic radiation. In general, patterning includes photoresist coating (e.g. spin-on coating), soft baking, mask alignment, exposure, post-exposure baking, photoresist development, rinsing, drying (e.g. hard baking), Other suitable processes, or combinations thereof. Alternatively, the photolithography exposure process can be applied to other suitable methods, such as maskless photolithography, electron beam writing, direct writing, ion beam writing, and / or nano-imprinting. Or are replaced by these.

후속하여 하드마스크층의 노광된 영역을 에칭함으로써 포토레지스트층의 패턴이 하드마스크층에 전사된다. 후속하여 반도체 기판의 에칭 동안 하드마스크층이 마스크로서 사용된다. 반도체 기판은 건식 에칭, 습식 에칭, 또는 건식 에칭과 습식 에칭의 조합을 포함하는 다양한 방법들에 의해 에칭될 수 있다. 건식 에칭 프로세스는 불소 함유 가스(예를 들어, CF4, SF6, CH2F2, CHF3, 및/또는 C4F8), 염소 함유 가스(예를 들어, Cl2, CHCl3, CCl4, 및/또는 BCl3), 브롬 함유 가스(예를 들어, HBr 및/또는 CHBr3), 산소 함유 가스, 요오드(iodine) 함유 가스, 다른 적절한 가스들 및/또는 플라즈마들, 또는 이들의 조합들을 구현할 수 있다. 에칭 프로세스는 에칭 선택비(selectivity), 유연성(flexibility) 및 희망하는 에칭 프로파일을 얻기 위한 다단계 에칭을 포함할 수 있다.Subsequently, the pattern of the photoresist layer is transferred to the hardmask layer by etching the exposed area of the hardmask layer. Subsequently, a hardmask layer is used as a mask during the etching of the semiconductor substrate. The semiconductor substrate can be etched by a variety of methods including dry etching, wet etching, or a combination of dry etching and wet etching. Dry etching processes include fluorine-containing gas (e.g. CF 4 , SF 6 , CH 2 F 2 , CHF 3 , and / or C 4 F 8 ), chlorine-containing gas (e.g. Cl 2 , CHCl 3 , CCl 4 , and / or BCl 3 ), bromine-containing gas (eg, HBr and / or CHBr 3 ), oxygen-containing gas, iodine-containing gas, other suitable gases and / or plasmas, or combinations thereof You can implement them. The etch process may include etch selectivity, flexibility and multi-step etch to obtain the desired etch profile.

몇몇 실시예들에서, 반도체 디바이스는 핀(12)의 저부들을 따라 반도체 기판(10) 위에 형성되는 절연 재료를 포함한다. 절연 재료는 복수의 핀들을 포함하는 실시예들에서 복수의 핀들 사이에 얕은 트렌치 격리(shallow trench isolation; STI) 영역들(14)을 형성한다. STI 영역들(14)은 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물, 다른 적절한 재료들, 또는 이들의 조합들을 포함할 수 있다. STI 영역들(14)은 임의의 적절한 프로세스에 의해 형성될 수 있다. 일 실시예와 같이, STI 영역들(14)은 화학적 기상 증착(chemical vapor deposition; CVD)을 사용하여 하나 이상의 유전체 재료로 핀들 사이의 영역을 충전함으로써 형성된다. 몇몇 실시예들에서, 충전된 영역은 실리콘 질화물 또는 실리콘 산화물로 충전된 열 산화물 라이너층과 같은 다중층 구조물을 가질 수 있다. STI 영역의 형성 후 어닐링 프로세스가 수행될 수 있다. 어닐링 프로세스는 급속 열 어닐링(rapid thermal annealing; RTA), 레이저 어닐링 프로세스들, 또는 다른 적절한 어닐링 프로세스들을 포함한다.In some embodiments, the semiconductor device includes an insulating material formed over the semiconductor substrate 10 along the bottoms of the fins 12. The insulating material forms shallow trench isolation (STI) regions 14 between the plurality of fins in embodiments that include a plurality of fins. The STI regions 14 may include silicon oxide, silicon nitride, silicon oxynitride, other suitable materials, or combinations thereof. The STI regions 14 can be formed by any suitable process. As in one embodiment, STI regions 14 are formed by filling the region between fins with one or more dielectric materials using chemical vapor deposition (CVD). In some embodiments, the filled region can have a multi-layer structure, such as a layer of thermal oxide liner filled with silicon nitride or silicon oxide. After formation of the STI region, an annealing process may be performed. The annealing process includes rapid thermal annealing (RTA), laser annealing processes, or other suitable annealing processes.

몇몇 실시예들에서, STI 영역들(14)은 유동가능(flowable) CVD를 사용하여 형성된다. 유동가능 CVD에서, 실리콘 산화물 대신 유동가능 유전체 재료들이 퇴적된다. 유동가능 유전체 재료들은 그들의 명칭이 암시하듯이, 퇴적 동안 “유동”하여 높은 애스펙트비(aspect ratio)로 갭들 또는 공간들을 충전할 수 있다. 보통, 퇴적되는 막이 유동하도록 실리콘 함유 프리커서들에 다양한 화학물들이 추가된다. 몇몇 실시예들에서, 질소 하이드라이드 본드(nitrogen hydride bond)들이 추가된다. 유동가능 유전체 프리커서들, 특히 유동가능 실리콘 산화물 프리커서들의 예시들은, 실리케이트, 실록산, MSQ(methyl silsesquioxane), HSQ(hydrogen silsesquioxane), MSQ/HSQ, TCPS(perhydrosilazane), PSZ(perhydro-polysilazane), TEOS(tetraethyl orthosilicate), 또는 TSA(trisilylamine)와 같은 실릴 아민(silyl-amine)을 포함한다. 이들 유동가능 실리콘 산화물 재료들은 다수의 동작 프로세스에서 형성된다. 유동가능 막이 퇴적된 후 경화되고 이어서 어닐링되어 원치않는 엘리먼트(들)를 제거하여 실리콘 산화물을 형성한다. 원치않는 엘리먼트(들)가 제거될 때, 유동가능 막은 고밀도화되고 수축된다. 몇몇 실시예들에서, 다수의 어닐링 프로세스들이 수행된다. 유동가능 막은 가령 약 600 °C 내지 약 1200 °C 범위 내의 온도에서, 총 1 시간 이상과 같이 장기간 동안 한 번 이상 큐어링되고 어닐링된다.In some embodiments, STI regions 14 are formed using flowable CVD. In flowable CVD, flowable dielectric materials are deposited instead of silicon oxide. Flowable dielectric materials, as their name suggests, can “flow” during deposition to fill gaps or spaces with a high aspect ratio. Usually, various chemicals are added to the silicon-containing precursors to allow the deposited film to flow. In some embodiments, nitrogen hydride bonds are added. Examples of flowable dielectric precursors, particularly flowable silicon oxide precursors, are silicates, siloxanes, methyl silsesquioxane (MSQ), hydrogen silsesquioxane (HSQ), MSQ / HSQ, perhydrosilazane (TCPS), perhydro-polysilazane (PSZ), And silyl-amines such as tetraethyl orthosilicate (TEOS) or trisilylamine (TSA). These flowable silicon oxide materials are formed in a number of operating processes. The flowable film is deposited and then cured and then annealed to remove unwanted element (s) to form silicon oxide. When the unwanted element (s) are removed, the flowable membrane is densified and shrinks. In some embodiments, multiple annealing processes are performed. The flowable membrane is cured and annealed at least once for a long period of time, such as for a total of 1 hour or more, at temperatures within the range of about 600 ° C to about 1200 ° C.

화학적 기계적 폴리싱(chemical mechanical polishing; CMP) 동작이 수행되어 STI 영역으로부터 과잉 재료를 제거하고 실질적으로 평면을 제공한다. 후속하여 핀들 내에 도펀트가 주입되어 n웰들 및 p웰들을 형성하고 이어서 디바이스가 후속하여 어닐링된다. STI 영역이 에치백되어 STI 영역의 일부분을 제거하고 게이트 구조물 및 소스/드레인 영역들이 후속하여 형성되는 핀들의 상단 부분들을 노출시킨다. 게이트 구조물의 형성은 추가적인 퇴적, 패터닝, 및 에칭 프로세스들을 포함할 수 있다. STI 제거는 플라즈마로 HF + NH3 또는 플라즈마로 NF3 + NH3을 사용하는 반등방성(semi-isotropic) 에칭; 또는 희석된 HF와 같은 등방성 에칭과 같은 적절한 에칭 프로세스에 의해 수행된다.A chemical mechanical polishing (CMP) operation is performed to remove excess material from the STI region and provide a substantially planar surface. Subsequently, a dopant is injected into the fins to form n-wells and p-wells and the device is subsequently annealed. The STI region is etched back to remove a portion of the STI region and expose the upper portions of the fins where the gate structure and source / drain regions are subsequently formed. Formation of the gate structure can include additional deposition, patterning, and etching processes. STI removal is a semi-isotropic etch using HF + NH 3 as plasma or NF 3 + NH 3 as plasma; Or by an appropriate etching process such as isotropic etching such as diluted HF.

몇몇 실시예들에서, 도 2에 도시된 바와 같이 핀 구조물들 위에 하나 이상의 게이트 구조물(16)이 형성된다. 게이트 구조물 형성 프로세스는 게이트 유전체(20)를 퇴적시키는 동작, 게이트 전극 재료를 퇴적시키는 동작, 및 퇴적된 게이트 재료를 게이트 전극들(18)로 패터닝하는 동작을 포함할 수 있다. 후속하여 게이트 전극들(18) 상에 측벽 스페이서들(22)이 형성된다. 도 3은 핀들(12) 및 게이트 구조물(16)의 배열을 도시하는, 도 2의 라인(A-A)을 따라 취해진 단면이다. 도 4는 핀(12)의 제 2 영역들(36) 위에 있는 게이트 구조물들(16)의 배열을 도시하는, 도 2의 라인(B-B)을 따라 취해진 단면이다. 도 4 및 후속 도면들 내의 핀들(12) 상의 점선들은 핀 주위를 둘러싸는 게이트 전극의 투사(projection)를 도시한다. 후속 도면들에서 도면들을 단순화하기 위해 게이트 전극들 아래에 있는 게이트 유전체층은 도시되지 않는다.In some embodiments, one or more gate structures 16 are formed over fin structures as shown in FIG. 2. The gate structure formation process may include depositing the gate dielectric 20, depositing the gate electrode material, and patterning the deposited gate material into the gate electrodes 18. Subsequently, sidewall spacers 22 are formed on the gate electrodes 18. FIG. 3 is a cross-section taken along line A-A of FIG. 2 showing the arrangement of fins 12 and gate structure 16. FIG. 4 is a cross-section taken along line B-B of FIG. 2 showing the arrangement of gate structures 16 over second regions 36 of fin 12. The dotted lines on the fins 12 in Figure 4 and subsequent figures show the projection of the gate electrode surrounding the fins. In order to simplify the drawings in subsequent drawings, a gate dielectric layer under the gate electrodes is not shown.

게이트 유전체(20)는 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물, 하이 k(high-k) 유전체 재료, 다른 적절한 유전체 재료, 및/또는 이들의 조합들을 포함할 수 있다. 몇몇 실시예들에서의 게이트 전극(18)은 폴리실리콘으로 형성되고 게이트 전극 위에 형성되는 하드마스크를 포함할 수 있다. 하드마스크는 SiO2, SiN, 또는 SiCN을 포함하는 적절한 하드마스크 재료로 제조될 수 있다. 게이트 전극 구조물은 계면층들, 캡핑(capping)층들, 확산/배리어층들, 유전체층들, 도전층들, 다른 적절한 층들, 및 이들의 조합들과 같은 추가적인 층들을 포함할 수 있다. 게이트 전극(18)은 폴리실리콘 대신에, 알루미늄, 구리, 티타늄, 탄탈륨, 텅스텐, 몰리브데늄, 탄탈륨 질화물, 니켈 규화물, 코발트 규화물, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, 금속 합금들, 다른 적절한 재료들, 또는 이들의 조합들과 같은 임의의 다른 적절한 재료를 포함할 수 있다.The gate dielectric 20 can include silicon oxide, silicon nitride, silicon oxynitride, high-k dielectric material, other suitable dielectric materials, and / or combinations thereof. In some embodiments, the gate electrode 18 may be formed of polysilicon and include a hardmask formed over the gate electrode. The hardmask can be made of a suitable hardmask material including SiO 2 , SiN, or SiCN. The gate electrode structure may include additional layers such as interfacial layers, capping layers, diffusion / barrier layers, dielectric layers, conductive layers, other suitable layers, and combinations thereof. The gate electrode 18 is made of aluminum, copper, titanium, tantalum, tungsten, molybdenum, tantalum nitride, nickel silicide, cobalt silicide, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, metal alloy instead of polysilicon Any other suitable material, such as, other suitable materials, or combinations thereof.

몇몇 실시예들에서, FinFET은 게이트 퍼스트 방법 또는 게이트 라스트 방법을 사용하여 제조될 수 있다. 하이 k 유전체 및 금속 게이트[HK(high-k)/MG(metal gate)]를 사용하는 실시예들에서, 게이트 전극을 형성하기 위해 게이트 라스트 방법이 이용된다. 게이트 라스트 방법에서, 더미 게이트가 형성되고, 고온 어닐링 동작 후 추후 동작에서 더미 게이트가 후속하여 제거되며, 하이 k 유전체 및 금속 게이트(HK/MG)가 형성된다.In some embodiments, FinFET can be fabricated using a gate first method or a gate last method. In embodiments using a high-k dielectric and a metal gate (high-k (HK) / metal gate), a gate-last method is used to form the gate electrode. In the gate last method, a dummy gate is formed, a dummy gate is subsequently removed in a later operation after a high temperature annealing operation, and a high k dielectric and metal gate (HK / MG) is formed.

본 개시의 실시예들에 따르면, 하이 k 게이트 유전체(20)는 HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, 지르코늄 산화물, 알루미늄 산화물, 하프늄 이산화물 알루미나(HfO2-Al2O3) 합금, 다른 적절한 하이 k 유전체 재료들, 또는 이들의 조합들을 포함할 수 있다. 금속 게이트 재료는 Ti, TiN, 티타늄 알루미늄 합금, Al, AlN, Ta, TaN, TaC, TaCN, TaSi 등의 하나 이상의 층을 포함할 수 있다.According to embodiments of the present disclosure, the high k gate dielectric 20 is HfO 2 , HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, zirconium oxide, aluminum oxide, hafnium dioxide alumina (HfO 2 -Al 2 O 3 ) alloy, Other suitable high k dielectric materials, or combinations thereof. The metal gate material may include one or more layers of Ti, TiN, titanium aluminum alloy, Al, AlN, Ta, TaN, TaC, TaCN, TaSi, and the like.

몇몇 실시예들에서, 측벽 스페이서들(22)은 소스/드레인 영역들과 같은 후속하여 형성되는 도핑되는 영역들을 오프셋(offset)시키는데 사용된다. 측벽 스페이서들(22)은 또한 소스/드레인 영역 (접합) 프로파일을 설계하거나 또는 수정하기 위해 사용될 수 있다. 측벽 스페이서들(22)은 적절한 퇴적 및 에칭 기술들에 의해 형성될 수 있고, 실리콘 질화물, 실리콘 탄화물, 실리콘 산화질화물, 다른 적절한 재료들, 또는 이들의 조합들을 포함할 수 있다. 몇몇 실시예들에서, 측벽 스페이서들은 복수의 층들을 포함한다. 복수의 층들은 그 위에 질화물 또는 탄화물층을 갖는 산화물층을 포함할 수 있다.In some embodiments, sidewall spacers 22 are used to offset subsequently formed doped regions, such as source / drain regions. Sidewall spacers 22 can also be used to design or modify the source / drain region (junction) profile. Sidewall spacers 22 may be formed by suitable deposition and etching techniques, and may include silicon nitride, silicon carbide, silicon oxynitride, other suitable materials, or combinations thereof. In some embodiments, sidewall spacers include a plurality of layers. The plurality of layers may include an oxide layer having a nitride or carbide layer thereon.

도 5로 주의를 돌리면, 핀(12)이 제 1 영역(24)에서 이방성으로 에칭되어 U자형 리세스(26)를 형성한다. 에칭 동작은 적절한 종래의 이방성 에칭 기술들을 사용하여 실행된다.Turning to Figure 5, the pin 12 is anisotropically etched in the first region 24 to form a U-shaped recess 26. The etching operation is performed using suitable conventional anisotropic etching techniques.

FinFET의 성능을 향상시키기 위해, 소스/드레인 영역들을 게이트 전극 아래에 있는 채널 영역에 인접하게 위치시키는 것이 바람직하다. 소스/드레인 영역들을 게이트 전극에 가까이 근접하게 형성하기 위해, 리세스가 더 에칭되어 측벽 스페이서들을 언더컷한다. 본 개시의 실시예들에서, 리세스의 에칭이 계속되어 게이트 전극을 언더컷한다. 도 6에 도시된 바와 같이, 등방성 에칭이 수행되어 측벽 스페이서들(22)의 적어도 일부분을 언더컷한다. 몇몇 실시예들에서, 에칭 동작이 계속되어 게이트 전극(18) 아래에 있는 핀(12)의 일부분을 더 에칭한다. 등방성 에칭 동작은 핀 재료에 대해 선택적인 적절한 종래의 등방성 에천트들 및 적절한 에칭 기술들을 사용하여 실행된다. 그러나, 등방성 에칭은 도 6에 도시된 바와 같이 불균일한 경계부를 갖는 확장된 리세스(60)를 생성한다.To improve the performance of the FinFET, it is desirable to place the source / drain regions adjacent to the channel region below the gate electrode. To form the source / drain regions close to the gate electrode, the recess is further etched to undercut sidewall spacers. In embodiments of the present disclosure, etching of the recess continues to undercut the gate electrode. As shown in FIG. 6, isotropic etching is performed to undercut at least a portion of the sidewall spacers 22. In some embodiments, the etching operation continues to further etch a portion of fin 12 under gate electrode 18. The isotropic etching operation is performed using suitable conventional isotropic etchants and suitable etching techniques that are selective for the fin material. However, isotropic etching creates an extended recess 60 with non-uniform boundaries as shown in FIG. 6.

도 7에 도시된 바와 같이, 경도핑된(lightly doped) 영역(64) 및 고도핑된(highly doped) 영역(66)을 포함하는 소스 또는 드레인 영역들(62)이 확장된 리세스(60) 내에 후속하여 형성된다. 소스 또는 드레인 영역들(62)은 적절한 에피택시 기술에 의해 형성될 수 있다. 예를 들어, 적어도 몇몇의 기존의 프로세스들에서, 경도핑된 영역(64)은 PMOS 영역에 대한 Si 또는 SiGe, 및 NMOS 영역에 대한 Si, SiC, 또는 SiCP와 같은 반도체 재료의 에피택셜 퇴적에 의해 형성될 수 있다. 고도핑된 영역(66)은 PMOS 영역에 대한 SiGe 또는 Ge, 및 NMOS 영역에 대한 SiP 또는 SiCP와 같은 반도체 재료의 에피택셜 퇴적에 의해 형성될 수 있다. 반도체 재료들은 반도체 디바이스의 원하는 기능에 따라 적절한 양의 공지의 도펀트들로 도핑될 수 있다.As shown in FIG. 7, the recess 60 in which the source or drain regions 62 including the lightly doped region 64 and the highly doped region 66 are expanded Subsequently formed within. The source or drain regions 62 may be formed by suitable epitaxy technology. For example, in at least some existing processes, the hardened region 64 is formed by epitaxial deposition of semiconductor materials such as Si or SiGe for the PMOS region, and Si, SiC, or SiCP for the NMOS region. Can be formed. The doped region 66 may be formed by epitaxial deposition of semiconductor materials such as SiGe or Ge for the PMOS region and SiP or SiCP for the NMOS region. The semiconductor materials can be doped with a suitable amount of known dopants depending on the desired function of the semiconductor device.

반도체 제조 프로세스에 대한 제어 및 반도체 동작 파라미터들에 대한 제어를 향상시키기 위해, 소스 및 드레인 영역들을 게이트 전극으로부터 실질적으로 균일한 간격으로 형성하는 것이 바람직하다. 소스 및 드레인 영역들의 실질적으로 균일한 간격은, 다양한 실시예들에 따라 리세스 내에 균일하게 도핑된 영역을 형성하고 이어서 도핑된 영역을 에칭함으로써 달성될 수 있다.In order to improve control over the semiconductor manufacturing process and control over semiconductor operating parameters, it is desirable to form the source and drain regions at substantially uniform intervals from the gate electrode. Substantially uniform spacing of the source and drain regions can be achieved by forming uniformly doped regions in the recess and then etching the doped regions according to various embodiments.

도 8에 도시된 바와 같이, 균일하게 도핑된 영역(28)이 리세스(26)의 표면에 형성된다. 도핑된 영역(28)은 핀(12) 내에 실질적으로 균일한 깊이로 도펀트를 주입함으로써 형성될 수 있다. 실질적으로 균일한 깊이의 도펀트 주입은 리세스(26)의 표면을 컨포멀하게(conformally) 도핑함으로써 달성될 수 있다. 도핑된 영역(28)은 약 0.5 nm 내지 약 10 nm의 두께를 갖는, 리세스(26)의 표면 내의 핀(12) 상의 층일 수 있다. 본 개시의 몇몇 실시예들에서 도핑된 영역(28)은 플라즈마 도핑에 의해 형성된다.8, a uniformly doped region 28 is formed on the surface of the recess 26. The doped region 28 can be formed by injecting a dopant into the fin 12 to a substantially uniform depth. Dopant implantation of a substantially uniform depth can be achieved by conformally doping the surface of the recess 26. The doped region 28 may be a layer on the fin 12 in the surface of the recess 26, having a thickness of about 0.5 nm to about 10 nm. In some embodiments of the present disclosure, the doped region 28 is formed by plasma doping.

플라즈마 도핑은 몇몇 실시예들에서 유도 결합 플라즈마(inductively coupled plasma; ICP) 소스가 구비된 플라즈마 도핑 장치로 수행된다. 반도체 웨이퍼의 온도는 몇몇 실시예들에서 도핑 동작 동안 40 °C 아래로 유지될 수 있다. 도펀트 재료 가스는 He 또는 Ar과 같은 불활성 캐리어 가스와 조합된 AsH3 또는 B2H6을 포함하는 적절한 도펀트 가스일 수 있다. 도펀트 가스 질량 농도는 몇몇 실시예들에서 총 가스 농도(도펀트 가스 + 캐리어 가스)를 기준으로 약 0.01 질량 % 내지 약 5 질량 % 범위이다. 플라즈마 도핑 동작 동안의 가스 유동률은 몇몇 실시예들에서 약 5 cm3/min 내지 약 2000 cm3/min 범위이다. 도핑 동작 동안의 플라즈마 도핑 장치의 압력은 몇몇 실시예들에서 약 0.05 Pa 내지 약 10 Pa 범위이다. 플라즈마는 몇몇 실시예들에서 약 100 W 내지 약 2500 W 범위의 전력으로 생성될 수 있다.Plasma doping is performed in some embodiments with a plasma doping device equipped with an inductively coupled plasma (ICP) source. The temperature of the semiconductor wafer can be maintained below 40 ° C during the doping operation in some embodiments. The dopant material gas can be a suitable dopant gas comprising AsH 3 or B 2 H 6 combined with an inert carrier gas such as He or Ar. The dopant gas mass concentration ranges from about 0.01 mass% to about 5 mass% based on the total gas concentration (dopant gas + carrier gas) in some embodiments. The gas flow rate during the plasma doping operation ranges from about 5 cm 3 / min to about 2000 cm 3 / min in some embodiments. The pressure of the plasma doping device during the doping operation ranges from about 0.05 Pa to about 10 Pa in some embodiments. Plasma may be generated with power in the range of about 100 W to about 2500 W in some embodiments.

핀(12)의 표면에 있는 컨포멀하게 도핑된 영역(28)은 핀(12)의 도핑되지 않은 일부분에 대해 선택적으로 에칭될 수 있고, 이에 의해 리세스(26)를 게이트 구조물(16) 아래에 있는 핀(12)의 일부분까지 균일하게 연장하여 도 9에 도시된 바와 같이 실질적으로 균일한 확장된 U자형 리세스(70)를 형성한다. 몇몇 실시예들에서, U자형 리세스(70)의 폭은 약 10 nm 내지 40 nm 사이이다. 도핑된 영역(28)의 선택적 에칭은 등방성 에칭 기술을 사용하여 수행될 수 있다. 몇몇 실시예들에서, 도핑된 영역(28)에 대해 선택적인 액체 에천트가 사용된다. 적절한 액체 에천트들은 황산(H2SO4) 및 과산화수소(H2O2)의 혼합물[SPM 또는 피라나(piranha) 에칭으로도 공지됨]을 포함한다.The conformally doped region 28 on the surface of the fin 12 can be selectively etched against the undoped portion of the fin 12, thereby leaving the recess 26 under the gate structure 16. It extends evenly to a portion of the pin 12 in to form a substantially uniform extended U-shaped recess 70 as shown in FIG. 9. In some embodiments, the width of the U-shaped recess 70 is between about 10 nm and 40 nm. Selective etching of the doped region 28 can be performed using isotropic etching techniques. In some embodiments, a liquid etchant that is selective for the doped region 28 is used. Suitable liquid etchants include a mixture of sulfuric acid (H 2 SO 4 ) and hydrogen peroxide (H 2 O 2 ) (also known as SPM or piranha etching).

도 10에 도시된 바와 같이, 경도핑된 영역(32) 및 고도핑된 영역(34)을 포함하는 소스 또는 드레인 영역들(30)이 확장된 리세스(70) 내에 후속하여 형성되어 반도체 디바이스(100)를 형성한다. 소스 또는 드레인 영역들(30)은, Si 피처들, SiGe 피처들, Ge 피처들, SiAs 피처들, SiP 피처들, SiCP 피처들, 이들의 조합, 또는 다른 적절한 피처들이 핀들 상에 결정질 상태로 형성되도록, 하나 이상의 에피택시 또는 에피택셜(epi) 프로세스에 의해 형성될 수 있다. 에피택시 프로세스들은 CVD 증착 기술들[예를 들어, 기상 에피택시(vapor-phase epitaxy; VPE) 및/또는 초 고진공 CVD(ultra-high vacuum CVD; UHV-CVD)], 원자 층 증착(atomic layer deposition; ALD), 분자 빔 에피택시, 및/또는 다른 적절한 프로세스들을 포함한다.As shown in FIG. 10, source or drain regions 30 including a hard-doped region 32 and a highly-doped region 34 are subsequently formed in the extended recess 70 to form a semiconductor device ( 100). The source or drain regions 30 are formed with Si features, SiGe features, Ge features, SiAs features, SiP features, SiCP features, combinations thereof, or other suitable features crystalline on the fins. Preferably, it can be formed by one or more epitaxy or epitaxial (epi) processes. Epitaxy processes include CVD deposition techniques (eg, vapor-phase epitaxy (VPE) and / or ultra-high vacuum CVD (UHV-CVD)), atomic layer deposition ; ALD), molecular beam epitaxy, and / or other suitable processes.

예를 들어, 경도핑된 영역(32)은 PMOS 영역에 대한 Si 또는 SiGe, 및 NMOS 영역에 대한 SiAs 또는 SiP과 같은 반도체 재료의 에피택셜 퇴적에 의해 형성될 수 있다. 고도핑된 영역(34)은 PMOS 영역에 대한 SiGe 또는 Ge, 및 NMOS 영역에 대한 SiP, SiCP, SiAs, 또는 이들의 조합과 같은 반도체 재료의 에피택셜 퇴적에 의해 형성될 수 있다. 반도체 재료들은 반도체 디바이스의 원하는 기능에 따라 이온 주입에 의해 적절한 양의 공지의 도펀트들로 도핑될 수 있다. For example, the hardly-doped region 32 may be formed by epitaxial deposition of semiconductor materials such as Si or SiGe for the PMOS region, and SiAs or SiP for the NMOS region. The doped region 34 may be formed by epitaxial deposition of semiconductor material, such as SiGe or Ge for the PMOS region, and SiP, SiCP, SiAs, or a combination for the NMOS region. The semiconductor materials can be doped with an appropriate amount of known dopants by ion implantation depending on the desired function of the semiconductor device.

이온 주입은 NMOS 디바이스에 대한 비소 또는 인과 같은 n형 도펀트, 또는 PMOS 디바이스에 대한 붕소와 같은 p형 도펀트일 수 있다. 도핑에 대한 주입 에너지들 및 투여량들은 몇몇 실시예들에서 경도핑된 영역(32)을 도핑하는 것에 대해 각각 약 10 keV 내지 60 keV 및 약 1x1013 dopants/cm2 내지 5x1014 dopants/cm2 범위이다. 도핑에 대한 주입 에너지들 및 투여량들은 몇몇 실시예들에서 고도핑된 영역(34)을 도핑하는 것에 대해 각각 약 10 keV 내지 80 keV 및 약 8x1014 dopants/cm2 내지 2x1016 dopants/cm2 범위이다. 소스/드레인 영역들(30)의 도핑은 반도체를 비정질화하고, 이는 이어서 소스/드레인 영역들(30)을 활성화시키기 위해 재결정화되어야 한다. 도펀트의 이온 주입 후, 가령 급속 열/밀리 초/레이저 어닐링에 의해 반도체 디바이스들이 어닐링되어 소스 및 드레인 영역들(30)을 재결정화한다. 몇몇 실시예들에서, 고도핑된 영역(34) 위에 캡핑층(35)이 형성될 수 있다. 예시로서, 캡핑층(35)은 질화물층, Si층, SiP층, SiC층, 또는 다른 적절한 캡핑 재료를 포함할 수 있다. 몇몇 실시예들에서, 캡핑층(35)은 약 0.1x1020 atoms/cm3 내지 9x1020 atoms/cm3의 P 도펀트 농도를 갖는 SiP층을 포함할 수 있다. The ion implantation can be an n-type dopant such as arsenic or phosphorus for the NMOS device, or a p-type dopant such as boron for the PMOS device. Infusion energies and dosages for doping range from about 10 keV to 60 keV and about 1 × 10 13 dopants / cm 2 to 5 × 10 14 dopants / cm 2, respectively, for doping the hardened region 32 in some embodiments. to be. Infusion energies and dosages for doping range from about 10 keV to 80 keV and about 8x10 14 dopants / cm 2 to 2x10 16 dopants / cm 2 for doping the doped region 34 in some embodiments, respectively. to be. Doping of the source / drain regions 30 amorphizes the semiconductor, which must then be recrystallized to activate the source / drain regions 30. After ion implantation of the dopant, semiconductor devices are annealed, such as by rapid thermal / millisecond / laser annealing to recrystallize the source and drain regions 30. In some embodiments, a capping layer 35 may be formed over the highly doped region 34. As an example, the capping layer 35 may include a nitride layer, Si layer, SiP layer, SiC layer, or other suitable capping material. In some embodiments, the capping layer 35 may comprise a SiP layer having a P dopant concentration of about 0.1x10 20 atoms / cm 3 to 9x10 20 atoms / cm 3.

위에서 언급된 바와 같이, 본 개시의 실시예들은 NMOS 디바이스들에 대한 경도핑된 영역(32) 및 고도핑된 영역(34) 중 하나 또는 둘 다를 형성하기 위해 SiAs를 사용할 수 있다. 예를 들어, 몇몇 경우들에서, 경도핑된 영역(32)은 SiAs로 형성될 수 있고, 고도핑된 영역(34)은 SiP, SiCP, 또는 이들의 조합으로 형성될 수 있다. 몇몇 실시예들에서, 경도핑된 영역(32) 및 고도핑된 영역(34) 둘 다는 SiAs로 형성될 수 있다. 몇몇 실시예들에서, 경도핑된 영역(32)은 SiP으로 형성될 수 있고 고도핑된 영역(34)은 SiAs로 형성될 수 있다. 몇몇 경우들에서, 경도핑된 영역(32)은 SiAs로 형성될 수 있고, 고도핑된 영역(34)은 SiAs, SiP, 또는 이들의 조합으로 형성될 수 있다. As mentioned above, embodiments of the present disclosure can use SiAs to form one or both of the hard-doped region 32 and the highly-doped region 34 for NMOS devices. For example, in some cases, the hard-doped region 32 can be formed of SiAs, and the highly-doped region 34 can be formed of SiP, SiCP, or a combination thereof. In some embodiments, both the hard-doped region 32 and the heavily-doped region 34 can be formed of SiAs. In some embodiments, the hard-doped region 32 can be formed of SiP and the highly-doped region 34 can be formed of SiAs. In some cases, the hardly-doped region 32 may be formed of SiAs, and the highly-doped region 34 may be formed of SiAs, SiP, or a combination thereof.

NMOS 디바이스들에 대해 소스 또는 드레인 영역들(30)을 형성하기 위해 SiAs를 사용하는 것은 여러 이유로 매력적이다. 예를 들어, SiAs 내의 도펀트 활성화는, 예를 들어 SiP 내의 도펀트 활성화와 비교하여 더 낮은 열 버짓(thermal budget)(예를 들어, 더 낮은 활성화 어닐링 온도 및/또는 시간)을 사용하여 달성될 수 있다. 몇몇 경우들에서, SiAs 내의 도펀트 활성화를 달성하기 위해 사용되는 열 버짓은 SiP 내의 도펀트를 활성화시키기 위해 사용되는 열 버짓보다 약 15 % 내지 20 % 더 낮을 수 있다. 추가적으로 그리고 몇몇 실시예들에서, SiAs를 사용하여 형성되는 경도핑된 영역(32)은 SiP을 사용하여 형성되는 경도핑된 영역(32)보다 더 얇을 수 있다. 몇몇 경우들에서, SiAs를 사용하여 형성되는 경도핑된 영역(32)은 SiP을 사용하여 형성되는 경도핑된 영역(32)의 두께의 약 0.5배 내지 0.8배일 수 있다. 몇몇 실시예들에서, SiAs를 사용하여 형성되는 경도핑된 영역(32)은 도 10에 도시된 바와 같이 약 0.1 nm 내지 5 nm와 동일한 두께 ‘T’를 가질 수 있다. 몇몇 실시예들에서, 고도핑된 영역(34)은 도 10에 도시된 바와 같이 약 5 nm 내지 20 nm와 동일한 폭 ‘W’를 가질 수 있다. 위에서 설명된 경도핑된 영역(32)의 두께 ‘T’, 고도핑된 영역(34)의 폭 ‘W’, 및 U자형 리세스의 폭이 주어지면, 이들 3개의 기하구조들간의 다양한 비율들이 규정될 수 있다. 예를 들어, 두께 ‘T’와 폭 ‘W’ 사이의 비율이 규정될 수 있고, 두께 ‘T’와 U자형 리세스(70)의 폭 사이의 비율이 규정될 수 있으며, 폭 ‘W’와 U자형 리세스(70)의 폭 사이의 비율이 규정될 수 있다. 경도핑된 영역(32)에 대해 SiAs를 이용하는 적어도 몇몇 실시예들에서, 더 얇은 층 두께(T)로 인해, 후속하여 퇴적되는 고도핑된 영역(34)은 채널[예를 들어, 게이트 전극(18) 아래에 있는 핀 영역]에 더 가까이 있을 수 있고 이에 의해 디바이스 성능을 향상시킨다. 또한, 경도핑된 영역(32)에 대해 사용되는 SiAs의 더 얇은 층 두께 때문에, 후속하여 퇴적되는 고도핑된 영역(34)은 핀 내로 거리 ‘D’로 더 연장될 수 있고 이에 의해 디바이스 성능을 향상시킨다. 또한, 비소가 인보다 덜 확산되기 때문에, SiAs로 형성되는 소스 또는 드레인 영역들(30)은 SiP로 형성되는 소스 또는 드레인 영역들(30)보다 더 예리하고 더 급격한 접합부들을 가질 것이다. 또한, 비소가 덜 확산된다는 것은 SiAs로 형성되는 소스 또는 드레인 영역들(30)이 디바이스 채널 영역 내로의 도펀트 확산을 감소시킬 것이고 이에 의해 디바이스 성능을 향상시킨다는 것을 의미한다.Using SiAs to form source or drain regions 30 for NMOS devices is attractive for several reasons. For example, dopant activation in SiAs can be achieved using, for example, a lower thermal budget (eg, lower activation annealing temperature and / or time) compared to dopant activation in SiP. . In some cases, the thermal budget used to achieve dopant activation in SiAs can be about 15% to 20% lower than the thermal budget used to activate dopant in SiP. Additionally and in some embodiments, the hardened region 32 formed using SiAs may be thinner than the hardened region 32 formed using SiP. In some cases, the hardened region 32 formed using SiAs may be about 0.5 to 0.8 times the thickness of the hardened region 32 formed using SiP. In some embodiments, the hardened region 32 formed using SiAs may have a thickness 'T' equal to about 0.1 nm to 5 nm, as shown in FIG. 10. In some embodiments, the doped region 34 may have a width “W” equal to about 5 nm to 20 nm, as shown in FIG. 10. Given the thickness 'T' of the longitudinally doped region 32, the width 'W' of the highly doped region 34, and the width of the U-shaped recess described above, various ratios between these three geometries Can be specified. For example, a ratio between the thickness 'T' and the width 'W' may be defined, and a ratio between the thickness 'T' and the width of the U-shaped recess 70 may be defined, and the width 'W' and The ratio between the widths of the U-shaped recesses 70 can be defined. In at least some embodiments that use SiAs for the hardly-doped region 32, due to the thinner layer thickness T, subsequently deposited doped regions 34 are formed from channels (eg, gate electrodes ( 18) pin region below], thereby improving device performance. In addition, because of the thinner layer thickness of SiAs used for the hardened regions 32, subsequently deposited doped regions 34 can be further extended into the distance 'D' into the fins thereby improving device performance. Improve. Also, because arsenic is less diffuse than phosphorus, the source or drain regions 30 formed of SiAs will have sharper and sharper junctions than the source or drain regions 30 formed of SiP. In addition, less arsenic diffusion means that the source or drain regions 30 formed of SiAs will reduce dopant diffusion into the device channel region and thereby improve device performance.

(예들 들어, NMOS 디바이스들에 대해) 경도핑된 영역(32) 및 고도핑된 영역(34) 중 하나 또는 둘 다에 대해 SiAs를 이용하는 실시예들에 대해, 비소(As) 도펀트 농도(예를 들어, 투여량)는 [예를 들어, 소스 또는 드레인 영역들(30)을 형성하기 위해 SiP을 사용하는 디바이스에서의] 인(P) 도펀트 농도의 약 1.2배 내지 1.5배와 동일할 수 있다. 예를 들어, 경도핑된 영역(32) 및 고도핑된 영역(34)에 대해 SiP을 사용하는 디바이스를 고려하면, 경도핑된 영역(32)에 대한 P 도펀트 농도는 약 1x1020 atoms/cm3 내지 8x1020 atoms/cm3이고, 고도핑된 영역(34)에 대한 P 도펀트 농도는 약 8x1020 atoms/cm3 내지 5x1021 atoms/cm3이다. 따라서, 몇몇 실시예들에서, 경도핑된 영역(32) 및/또는 고도핑된 영역(34)에 대해 SiAs를 사용하는 디바이스는, 경도핑된 영역(32)에 대해 약 1.2x1020 atoms/cm3 내지 1.2x1021 atoms/cm3의 범위 내의 As 도펀트 농도, 및 고도핑된 영역(34)에 대해 약 9.6x1020 atoms/cm3 내지 7.5x1021 atoms/cm3의 범위 내의 As 도펀트 농도를 가질 수 있다.For embodiments using SiAs for one or both of doped region 32 and doped region 34 (e.g., for NMOS devices), arsenic (As) dopant concentration (e.g. For example, the dosage) can be equal to about 1.2 to 1.5 times the phosphorus (P) dopant concentration (eg, in a device using SiP to form source or drain regions 30). For example, considering a device using SiP for the hard-doped region 32 and the highly-doped region 34, the P dopant concentration for the hard-doped region 32 is about 1x10 20 atoms / cm 3 To 8x10 20 atoms / cm 3 , and the P dopant concentration for the doped region 34 is about 8x10 20 atoms / cm 3 to 5x10 21 atoms / cm 3 . Thus, in some embodiments, a device that uses SiAs for the hard-doped region 32 and / or the highly-doped region 34, about 1.2 × 10 20 atoms / cm for the hard-doped region 32 3 to 1.2x10 As for the dopant concentration in the range of 21 atoms / cm 3, and the high-doped regions 34 have a dopant concentration of As in the range of about 9.6x10 20 atoms / cm 3 to about 7.5x10 21 atoms / cm 3 Can be.

도 11은 CMOS 디바이스를 형성하는 다른 실시예를 예시한다. CMOS 디바이스는 NMOS 영역 및 PMOS 영역을 포함하는 복수의 영역들을 갖는다. PMOS 및 NMOS 영역들은 일반적으로 STI 영역에 의해 분리된다. 게이트 전극(18) 및 핀(12)의 제 1 영역(24) 위에 절연층(38)이 컨포멀하게 형성된다. 절연층은 몇몇 실시예들에서 질화물층(38)이다. 도핑된 영역의 제거를 거치는 동일한 동작들이 NMOS 및 PMOS 영역들 둘 다에 대해 수행되므로, 동작들은 한 영역(NMOS 또는 PMOS)에 대해서만 설명된다.11 illustrates another embodiment of forming a CMOS device. The CMOS device has a plurality of regions including an NMOS region and a PMOS region. The PMOS and NMOS regions are generally separated by STI regions. The insulating layer 38 is conformally formed on the gate electrode 18 and the first region 24 of the fin 12. The insulating layer is a nitride layer 38 in some embodiments. Since the same operations that go through the removal of the doped region are performed on both the NMOS and PMOS regions, the operations are only described for one region (NMOS or PMOS).

도 12로 주의를 돌리면, 절연층(38)이 이방성으로 에칭되어 핀(12)의 제 1 영역(24)을 노출시키고, 이이서 핀(12)의 제 1 영역(24)이 이방성으로 에칭되어 리세스(26)를 형성한다. 에칭 동작은 적절한 종래의 이방성 에칭 기술들을 사용하여 실행된다.Turning to FIG. 12, the insulating layer 38 is etched anisotropically to expose the first region 24 of the fin 12, and the first region 24 of the fin 12 is etched anisotropically. The recess 26 is formed. The etching operation is performed using suitable conventional anisotropic etching techniques.

도 13에 도시된 바와 같이, 균일하게 도핑된 영역(28)이 리세스(26)의 표면에 형성된다. 도핑된 영역(28)은 본원에서 설명된 바와 같이 핀(12) 내에 실질적으로 균일한 깊이로 도펀트를 주입하는 플라즈마 도핑에 의해 형성될 수 있다. 도펀트를 주입하는 실질적으로 균일한 깊이는 리세스(26)의 표면을 컨포멀하게 도핑함으로써 달성될 수 있다. 도 14에 도시된 바와 같이, 리세스(26)를 라이닝하는 핀(12)의 일부분의 컨포멀하게 도핑된 표면은 핀(12)의 도핑되지 않은 일부분에 대해 선택적으로 에칭될 수 있고, 이에 의해 본원에서 설명된 바와 같이 게이트 구조물(16) 아래에 있는 핀(12)의 일부분 내로 균일하게 연장되는 확장된 리세스(70)를 형성한다.13, a uniformly doped region 28 is formed on the surface of the recess 26. The doped region 28 may be formed by plasma doping, which implants a dopant into the fin 12 at a substantially uniform depth, as described herein. A substantially uniform depth of dopant injection can be achieved by conformally doping the surface of the recess 26. 14, the conformally doped surface of a portion of the fin 12 lining the recess 26 can be selectively etched against the undoped portion of the fin 12, thereby An extended recess 70 is formed that extends evenly into a portion of the fin 12 under the gate structure 16 as described herein.

NMOS 및 PMOS 영역들은 서로 독립적으로 형성된다. 예를 들어, 도 15에 도시된 바와 같이 경도핑된 영역(42) 및 고도핑된 영역(44)을 포함하는 소스 또는 드레인 영역들(40)을 형성하기 위해, 반도체 재료들이 PMOS 영역(110)의 확장된 리세스(70) 내에 에피택셜방식으로 퇴적되는 동안 NMOS 영역이 (예를 들어, 블록킹층에 의해) 블록킹될 수 있다. 소스 또는 드레인 영역들(40)은, 반도체 디바이스의 원하는 기능에 따라 적절한 에피택시 기술에 이은 적절한 양의 공지의 도펀트들의 이온 주입에 의해 형성될 수 있다. 몇몇 실시예들에서, 퇴적되어 경도핑 영역(42)을 형성하는 반도체 재료는 Si 또는 SiGe이고, 퇴적되어 고도핑 영역(44)을 형성하는 반도체 재료는 SiGe 또는 Ge이다.The NMOS and PMOS regions are formed independently of each other. For example, in order to form source or drain regions 40 that include a hardened region 42 and a heavily doped region 44 as shown in FIG. 15, semiconductor materials are used in the PMOS region 110. The NMOS region may be blocked (eg, by a blocking layer) while being epitaxially deposited in the extended recess 70 of. The source or drain regions 40 may be formed by ion implantation of a suitable amount of known dopants following an appropriate epitaxy technique depending on the desired function of the semiconductor device. In some embodiments, the semiconductor material deposited to form the hardened region 42 is Si or SiGe, and the semiconductor material deposited to form the heavily doped region 44 is SiGe or Ge.

PMOS 영역(110)을 형성한 후, 몇몇 실시예들에서 NMOS 영역(120) 위에 있는 블록킹층이 제거되고, 도 16에 도시된 바와 같이 반도체 재료들이 NMOS 영역(120)의 확장된 리세스(70) 내에 에피택셜방식으로 퇴적되는 동안 PMOS 영역(110)이 (예를 들어, 블록킹층에 의해) 블록킹된다. NMOS 영역(120)은 경도핑된 영역(48) 및 고도핑된 영역(50)을 포함하는 소스 또는 드레인 영역들(46)을 포함한다. 소스 또는 드레인 영역들(46)은, 반도체 디바이스의 원하는 기능에 따라 적절한 에피택시 기술에 이은 적절한 양의 공지의 도펀트들의 이온 주입에 의해 형성될 수 있다. 몇몇 실시예들에서, 퇴적되어 경도핑 영역(48)을 형성하는 반도체 재료는 SiAs 또는 SiP이고, 퇴적되어 고도핑 영역(50)을 형성하는 반도체 재료는 SiAs, SiP, SiCP, 또는 이들의 조합이다. PMOS 및 NMOS 영역들을 형성하기 위한 동작들은 상호변경가능하다. PMOS 영역을 블록킹하는 동안 NMOS 내에 소스 및 드레인이 먼저 형성될 수 있고, 이어서 NMOS 영역을 블록킹하는 동안 PMOS 영역 내에 소스 및 드레인이 후속하여 형성된다.After forming the PMOS region 110, in some embodiments, the blocking layer over the NMOS region 120 is removed, and semiconductor materials are expanded recesses 70 of the NMOS region 120, as shown in FIG. ), The PMOS region 110 is blocked (eg, by a blocking layer) while being epitaxially deposited. The NMOS region 120 includes source or drain regions 46 that include a lightly doped region 48 and a highly doped region 50. The source or drain regions 46 may be formed by ion implantation of a suitable amount of known dopants following an appropriate epitaxy technique depending on the desired function of the semiconductor device. In some embodiments, the semiconductor material that is deposited to form the hardened region 48 is SiAs or SiP, and the semiconductor material that is deposited to form the heavily doped region 50 is SiAs, SiP, SiCP, or combinations thereof. . The operations for forming the PMOS and NMOS regions are interchangeable. The source and drain may be first formed in the NMOS while blocking the PMOS region, and then the source and drain are subsequently formed in the PMOS region while blocking the NMOS region.

본 개시에서 지금까지 설명된 예시적인 반도체 디바이스들(100)은 고전압 임계(high voltage threshold; HVT) 디바이스들이다. 본 개시의 다른 실시예들에서 표준 전압 임계(standard voltage threshold; SVT) 디바이스(200) 및 저전압 임계(low voltage threshold; LVT) 디바이스(300)가 형성된다.The exemplary semiconductor devices 100 described so far in the present disclosure are high voltage threshold (HVT) devices. In other embodiments of the present disclosure, a standard voltage threshold (SVT) device 200 and a low voltage threshold (LVT) device 300 are formed.

도 17 및 도 18에 도시된 바와 같이, 본 개시의 몇몇 실시예들에서 SVT 디바이스(200)가 형성된다. SVT 디바이스에서, 도핑된 영역(28)은 HVT 디바이스(100)에서의 도핑된 영역(28)보다 더 큰 핀(12) 내의 두께로 형성된다. 몇몇 실시예들에서, SVT 디바이스(200)의 도핑된 영역(28)은 HVT 디바이스(100)의 도핑된 영역(28)보다 약 0.5 nm 내지 2 nm 더 두껍다. SVT 디바이스(200)에서, 도핑된 영역(28) 및 후속하여 형성되는 소스 및 드레인 영역들(30)은 핀의 제 2 영역(36) 내로 게이트 전극(18) 아래로 더 연장된다.17 and 18, an SVT device 200 is formed in some embodiments of the present disclosure. In the SVT device, the doped region 28 is formed with a thickness in the fin 12 that is larger than the doped region 28 in the HVT device 100. In some embodiments, the doped region 28 of the SVT device 200 is about 0.5 nm to 2 nm thicker than the doped region 28 of the HVT device 100. In the SVT device 200, the doped region 28 and subsequently formed source and drain regions 30 further extend below the gate electrode 18 into the second region 36 of the fin.

도 19 및 도 20에 도시된 바와 같이, 본 개시의 몇몇 실시예들에서 LVT 디바이스(300)가 형성된다. LVT 디바이스에서, 도핑된 영역(28)은 SVT 디바이스(200)에서의 도핑된 영역(28)보다 더 큰 핀(12) 내의 두께로 형성된다. 몇몇 실시예들에서, LVT 디바이스(300)의 도핑된 영역(28)은 SVT 디바이스(200)의 도핑된 영역(28)보다 약 0.5 nm 내지 2 nm 더 두껍다. LVT 디바이스(300)에서, 도핑된 영역(28) 및 후속하여 형성되는 소스 및 드레인 영역들(30)은, SVT 디바이스가 형성될 때보다 핀의 제 2 영역(36) 내로 게이트 전극(18) 아래로 더 연장된다.19 and 20, in some embodiments of the present disclosure, an LVT device 300 is formed. In the LVT device, the doped region 28 is formed with a thickness in the fin 12 that is larger than the doped region 28 in the SVT device 200. In some embodiments, doped region 28 of LVT device 300 is about 0.5 nm to 2 nm thicker than doped region 28 of SVT device 200. In LVT device 300, doped region 28 and subsequently formed source and drain regions 30 are below gate electrode 18 into second region 36 of the fin than when SVT device is formed. Is extended further.

본 개시의 몇몇 실시예들에서, 각각의 소스/드레인 영역들에 접촉하는 소스/드레인 전극들이 형성된다. 전극들은 구리, 텅스텐, 니켈, 티타늄 등과 같은 적절한 도전성 재료로 형성될 수 있다. 몇몇 실시예들에서, 도전성 재료와 소스/드레인 계면에 금속 규화물이 형성되어 계면에서의 도전성을 향상시킨다. 일 예시에서, 구리 기반 다중층 상호연결 구조물들을 형성하기 위해 다마신(damascene) 및/또는 듀얼 다마신 프로세스가 사용된다. 몇몇 실시예들에서, 텅스텐 플러그들을 형성하기 위해 텅스텐이 사용된다.In some embodiments of the present disclosure, source / drain electrodes are formed that contact respective source / drain regions. The electrodes can be formed of a suitable conductive material such as copper, tungsten, nickel, titanium, and the like. In some embodiments, a metal silicide is formed at the source / drain interface with the conductive material to improve conductivity at the interface. In one example, a damascene and / or dual damascene process is used to form copper based multilayer interconnect structures. In some embodiments, tungsten is used to form tungsten plugs.

본 개시의 실시예들에 따른 후속 프로세싱은 또한, FinFET 디바이스의 다양한 피처들 또는 구조물들을 연결하도록 구성되는, 반도체 기판 상의 다양한 접촉부들/비아들/라인들 및 다중층 상호연결 피처들(예를 들어, 금속층들 및 층간 유전체들)을 형성할 수 있다. 예를 들어, 다중층 상호연결부는 종래의 비아들 또는 접촉부들과 같은 수직 상호연결부들, 및 금속 라인들과 같은 수평 상호연결부들을 포함한다.Subsequent processing according to embodiments of the present disclosure may also be configured to connect various features or structures of the FinFET device, various contacts / vias / lines and multi-layer interconnect features (eg, on a semiconductor substrate). , Metal layers and interlayer dielectrics). For example, the multi-layer interconnect includes vertical interconnects such as conventional vias or contacts, and horizontal interconnects such as metal lines.

본 개시의 일 실시예에서, 반도체 디바이스가 제공된다. 반도체 디바이스는 기판 위의 제 1 방향을 따라 연장되는 핀 및 핀 위에 있는 제 2 방향을 따라 연장되는 게이트 구조물을 포함한다. 게이트 구조물은 핀 위에 있는 유전체층, 게이트 유전체층 위에 있는 게이트 전극, 및 제 2 방향을 따라 연장되는, 게이트 전극의 제 1 측면 상의 제 1 절연 게이트 측벽을 포함한다. 게이트 전극 구조물에 인접해 있는 영역 내의 핀 내에 소스/드레인 영역이 형성된다. 소스/드레인 영역의 일부분은 제 1 방향을 따라 실질적으로 일정한 거리만큼 절연 게이트 측벽 아래로 연장된다.In one embodiment of the present disclosure, a semiconductor device is provided. The semiconductor device includes a fin extending in a first direction over the substrate and a gate structure extending in a second direction over the fin. The gate structure includes a dielectric layer over the fin, a gate electrode over the gate dielectric layer, and a first insulated gate sidewall on the first side of the gate electrode, extending along the second direction. Source / drain regions are formed in fins in regions adjacent to the gate electrode structure. A portion of the source / drain regions extend below the insulating gate sidewalls by a substantially constant distance along the first direction.

본 개시의 다른 실시예에서, 반도체 디바이스를 제조하기 위한 방법이 제공된다. 방법은 기판 위에 제 1 방향으로 연장되는 핀을 형성하는 단계, 및 핀 위에 제 2 방향으로 연장되는 복수의 게이트 구조물들 형성하는 단계를 포함한다. 게이트 구조물들은 핀 위에 있는 게이트 유전체층, 게이트 유전체층 위에 있는 게이트 전극들, 및 제 2 방향을 따라 연장되는, 게이트 전극들의 양 측면들 상의 절연 게이트 측벽들을 포함한다. 인접해 있는 게이트 구조물들 사이의 제 1 영역 내의 핀의 일부분이 제거되어 핀 내에 리세스를 형성한다. 리세스의 표면에 도핑된 영역이 형성된다. 도핑된 영역이 제거되어 확장된 리세스를 형성하고, 확장된 리세스의 표면에 소스/드레인 영역이 형성된다. 소스/드레인 영역은 인접해 있는 게이트 전극 구조물들의 절연 게이트 측벽들 아래로 제 2 방향을 따라 연장된다.In another embodiment of the present disclosure, a method for manufacturing a semiconductor device is provided. The method includes forming a fin extending in a first direction over the substrate, and forming a plurality of gate structures extending in a second direction over the fin. The gate structures include a gate dielectric layer over the fin, gate electrodes over the gate dielectric layer, and insulated gate sidewalls on both sides of the gate electrodes extending along the second direction. A portion of the fin in the first region between adjacent gate structures is removed to form a recess in the fin. A doped region is formed on the surface of the recess. The doped regions are removed to form extended recesses, and source / drain regions are formed on the surface of the extended recesses. The source / drain regions extend along the second direction below the insulating gate sidewalls of adjacent gate electrode structures.

본 개시의 다른 실시예에서, 반도체 디바이스를 제조하기 위한 방법이 제공된다. 방법은 기판 위에 제 1 방향으로 연장되는 하나 이상의 핀을 형성하는 단계를 포함한다. 하나 이상의 핀은 제 1 방향을 따르는 적어도 하나의 제 2 영역 및 제 1 방향을 따르는 각각의 제 2 영역의 한 측부 상의 제 1 영역들을 포함한다. 게이트 구조물은 핀들의 제 2 영역 위에서 제 2 방향을 따라 연장된다. 게이트 구조물은 핀 위에 있는 게이트 유전체층, 게이트 유전체층 위에 있는 게이트 전극, 및 제 2 방향을 따라 연장되는, 게이트 전극의 양 측면들 상에 형성되는 한 쌍의 절연 게이트 측벽들을 포함한다. 제 1 영역들 내의 핀의 일부분이 제거되어 제 1 영역들 내에 리세스들을 형성한다. 리세스들의 표면에 도핑된 영역들이 형성된다. 도핑된 영역들이 제거되어 확장된 리세스들을 형성하고, 확장된 리세스들의 표면에 소스/드레인 영역들이 형성된다. 소스/드레인 영역들은 인접해 있는 절연 게이트 측벽들 아래로 제 2 방향을 따라 연장된다.In another embodiment of the present disclosure, a method for manufacturing a semiconductor device is provided. The method includes forming one or more fins extending in a first direction over the substrate. The one or more pins include at least one second area along the first direction and first areas on one side of each second area along the first direction. The gate structure extends along the second direction over the second region of fins. The gate structure includes a gate dielectric layer over the fin, a gate electrode over the gate dielectric layer, and a pair of insulated gate sidewalls formed on both sides of the gate electrode, extending along the second direction. A portion of the pin in the first regions is removed to form recesses in the first regions. Doped regions are formed on the surface of the recesses. The doped regions are removed to form extended recesses, and source / drain regions are formed on the surface of the extended recesses. The source / drain regions extend along the second direction below adjacent insulating gate sidewalls.

또 다른 실시예에서, 기판으로부터 연장되는 핀 및 핀 위에 배치되는 게이트 구조물을 포함하는 반도체 디바이스가 논의된다. 몇몇 예시들에서, 게이트 구조물은 핀 위에 형성되는 게이트 유전체, 게이트 유전체 위에 형성되는 게이트 전극, 및 게이트 전극의 측벽을 따라 형성되는 측벽 스페이서를 포함한다. 다양한 실시예들에서, 반도체 디바이스는, 핀 내에 형성되고 게이트 구조물에 인접해 있는 U자형 리세스, 및 U자형 리세스의 표면 상에 컨포멀하게 형성되는 제 1 소스/드레인층을 더 포함한다. 몇몇 경우들에서, 제 1 소스/드레인층은 인접해 있는 게이트 구조물 아래로 적어도 부분적으로 연장된다. 또한, 반도체 디바이스는 제 1 소스/드레인층 위에 형성되는 제 2 소스/드레인층을 포함한다. 다양한 실시예들에서, 제 1 소스/드레인층 및 제 2 소스/드레인층 중 적어도 하나는 실리콘 비소(silicon arsenide; SiAs)를 포함한다.In another embodiment, a semiconductor device including a fin extending from a substrate and a gate structure disposed over the fin is discussed. In some examples, the gate structure includes a gate dielectric formed over the fin, a gate electrode formed over the gate dielectric, and sidewall spacers formed along the sidewalls of the gate electrode. In various embodiments, the semiconductor device further includes a U-shaped recess formed in the fin and adjacent to the gate structure, and a first source / drain layer conformally formed on the surface of the U-shaped recess. In some cases, the first source / drain layer extends at least partially below adjacent gate structures. In addition, the semiconductor device includes a second source / drain layer formed over the first source / drain layer. In various embodiments, at least one of the first source / drain layer and the second source / drain layer comprises silicon arsenide (SiAs).

또 다른 실시예에서, 핀의 제 1 영역 위에 배치되는 제 1 게이트 구조물, 핀의 제 2 영역 위에 배치되는 제 2 게이트 구조물, 및 핀 내에 형성되는 리세스를 포함하는 반도체 디바이스가 논의된다. 몇몇 실시예들에서, 리세스가 제 1 게이트 구조물 및 제 2 게이트 구조물 각각에 인접해 있다. 몇몇 예시들에서, 반도체 디바이스는 리세스의 표면 상에 형성되는 제 1 층 및 제 1 층 위에 형성되는 제 2 층을 더 포함한다. 몇몇 경우들에서, 제 1 층은 인접해 있는 제 1 게이트 구조물 및 인접해 있는 제 2 게이트 구조물 각각의 아래로 제 1 거리만큼 연장된다. 추가적으로, 몇몇 실시예들에서, 제 1 층 및 제 2 층 중 적어도 하나는 실리콘 비소(SiAs)를 포함한다.In another embodiment, a semiconductor device is discussed that includes a first gate structure disposed over a first region of a fin, a second gate structure disposed over a second region of a fin, and a recess formed in the fin. In some embodiments, a recess is adjacent each of the first gate structure and the second gate structure. In some examples, the semiconductor device further includes a first layer formed on the surface of the recess and a second layer formed over the first layer. In some cases, the first layer extends a first distance down each of the adjacent first gate structure and the adjacent second gate structure. Additionally, in some embodiments, at least one of the first layer and the second layer comprises silicon arsenic (SiAs).

다른 실시예들에서, 기판으로부터 연장되는 핀 위에 게이트 구조물을 형성하는 단계를 포함하는 반도체 디바이스를 제조하는 방법이 논의된다. 몇몇 실시예들에서, 게이트 구조물은 핀 위에 형성되는 게이트 유전체, 게이트 유전체 위에 형성되는 게이트 전극, 및 게이트 전극의 측벽을 따라 형성되는 측벽 스페이서를 포함한다. 다양한 예시들에서, 방법은 게이트 구조물에 인접해 있는 핀의 일부분을 에칭하여 리세스를 형성하는, 핀의 일부분을 에칭하는 단계, 리세스의 표면에 컨포멀하게 도핑된 층을 형성하는 단계, 컨포멀하게 도핑된 층을 제거하여 확장된 리세스를 형성하는 단계, 및 확장된 리세스 내에 소스/드레인 영역을 형성하는 단계를 더 포함한다. 몇몇 경우들에서, 소스/드레인 영역은 확장된 리세스의 표면 상에 형성되는 제 1 층, 및 제 1 층 위에 형성되는 제 2 층을 포함한다. 몇몇 실시예들에서, 소스/드레인 영역은 인접해 있는 게이트 구조물의 측벽 스페이서 아래로 연장된다. 또한, 몇몇 실시예들에서, 제 1 층 및 제 2 층 중 적어도 하나는 실리콘 비소(SiAs)를 포함한다.In other embodiments, a method of manufacturing a semiconductor device that includes forming a gate structure over a fin extending from a substrate is discussed. In some embodiments, the gate structure includes a gate dielectric formed over the fin, a gate electrode formed over the gate dielectric, and sidewall spacers formed along sidewalls of the gate electrode. In various examples, the method includes etching a portion of the fin adjacent to the gate structure to form a recess, etching a portion of the fin, forming a conformally doped layer on the surface of the recess, The method further includes removing the formal doped layer to form an extended recess, and forming a source / drain region within the extended recess. In some cases, the source / drain regions include a first layer formed on the surface of the extended recess, and a second layer formed over the first layer. In some embodiments, the source / drain regions extend below the sidewall spacers of adjacent gate structures. Further, in some embodiments, at least one of the first layer and the second layer comprises silicon arsenic (SiAs).

상술한 것은 당업자가 본 개시의 양태들을 더 잘 이해할 수 있도록 몇몇 실시예들 또는 예시들의 특징들의 개요를 서술한 것이다. 당업자는, 본원에서 소개되는 실시예들 또는 예시들과 동일한 목적들을 실행하고/실행하거나 동일한 장점들을 달성하도록, 다른 프로세스들 및 구조들을 설계하거나 또는 변형하기 위한 기반으로서, 그들이 본 개시를 쉽게 사용할 수 있다는 점을 인식해야 한다. 당업자는 그러한 균등한 구성들이 본 개시의 사상 및 범위로부터 벗어나지 않는다는 점과, 본 개시의 사상 및 범위로부터 벗어나지 않고 본원의 다양한 변경들, 대체들, 및 개조들을 행할 수 있다는 점을 또한 자각해야 한다.The foregoing has outlined features of some embodiments or examples so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art can easily use the present disclosure as a basis for designing or modifying other processes and structures to perform the same purposes and / or achieve the same advantages as the embodiments or examples introduced herein. Be aware that there is. Those skilled in the art should also realize that such equivalent configurations do not depart from the spirit and scope of the present disclosure, and that various changes, substitutions, and modifications of the present disclosure can be made without departing from the spirit and scope of the present disclosure.

실시예들Examples

실시예 1. 반도체 디바이스에 있어서,Example 1 In a semiconductor device,

기판으로부터 연장되는 핀;Pins extending from the substrate;

상기 핀 위에 배치되는 게이트 구조물 - 상기 게이트 구조물은 상기 핀 위에 형성되는 게이트 유전체, 상기 게이트 유전체 위에 형성되는 게이트 전극, 및 상기 게이트 전극의 측벽을 따라 형성되는 측벽 스페이서를 포함함 - ;A gate structure disposed on the fin, the gate structure including a gate dielectric formed over the fin, a gate electrode formed over the gate dielectric, and a sidewall spacer formed along sidewalls of the gate electrode;

상기 핀 내에 그리고 상기 게이트 구조물에 인접하게 형성되는 U자형 리세스;A U-shaped recess formed in the fin and adjacent to the gate structure;

상기 U자형 리세스의 표면에 컨포멀하게(conformally) 형성되는 제 1 소스/드레인층 - 상기 제 1 소스/드레인층은 인접해 있는 상기 게이트 구조물 아래로 적어도 부분적으로 연장됨 - ; 및A first source / drain layer conformally formed on the surface of the U-shaped recess, wherein the first source / drain layer extends at least partially below the adjacent gate structure; And

상기 제 1 소스/드레인층 위에 형성되는 제 2 소스/드레인층을 포함하고,And a second source / drain layer formed on the first source / drain layer,

상기 제 1 소스/드레인층 및 상기 제 2 소스/드레인층 중 적어도 하나는 실리콘 비소(silicon arsenide; SiAs)를 포함하는 것인, 반도체 디바이스. And at least one of the first source / drain layer and the second source / drain layer comprises silicon arsenide (SiAs).

실시예 2. 실시예 1에 있어서, 상기 제 1 소스/드레인층 및 상기 제 2 소스/드레인층 둘 다는 실리콘 비소(SiAs)를 포함하는 것인, 반도체 디바이스.Embodiment 2. The semiconductor device of embodiment 1, wherein both the first source / drain layer and the second source / drain layer comprise silicon arsenic (SiAs).

실시예 3. 실시예 1에 있어서, 상기 제 1 소스/드레인층은 실리콘 비소(SiAs)를 포함하고, 상기 제 2 소스/드레인층은 SiP, SiCP, 또는 이들의 조합을 포함하는 것인, 반도체 디바이스.Example 3 The semiconductor of Example 1, wherein the first source / drain layer comprises silicon arsenic (SiAs), and the second source / drain layer comprises SiP, SiCP, or a combination thereof. device.

실시예 4. 실시예 1에 있어서, 상기 제 1 소스/드레인층은 SiP을 포함하고, 상기 제 2 소스/드레인층은 실리콘 비소(SiAs)를 포함하는 것인, 반도체 디바이스.Embodiment 4 The semiconductor device of embodiment 1, wherein the first source / drain layer comprises SiP and the second source / drain layer comprises silicon arsenic (SiAs).

실시예 5. 실시예 1에 있어서, 상기 제 1 소스/드레인층은 실리콘 비소(SiAs)를 포함하고, 상기 제 2 소스/드레인층은 SiAs, SiP, 또는 이들의 조합을 포함하는 것인, 반도체 디바이스.Example 5. The semiconductor of Example 1, wherein the first source / drain layer comprises silicon arsenic (SiAs), and the second source / drain layer comprises SiAs, SiP, or a combination thereof. device.

실시예 6. 실시예 1에 있어서, 상기 제 2 소스/드레인층 위에 형성되는 캡핑(capping)층을 더 포함하는, 반도체 디바이스.Embodiment 6. The semiconductor device of embodiment 1, further comprising a capping layer formed over the second source / drain layer.

실시예 7. 실시예 1에 있어서, 상기 제 1 소스/드레인층은 0.1 나노미터 내지 5 나노미터와 동일한 두께를 갖는 것인, 반도체 디바이스.Embodiment 7. The semiconductor device of embodiment 1, wherein the first source / drain layer has a thickness equal to 0.1 nanometers to 5 nanometers.

실시예 8. 실시예 1에 있어서, 상기 제 1 소스/드레인층은 SiAs를 포함하고, 상기 제 1 소스/드레인층은 1.2x1020 atoms/cm3 내지 1.2x1021 atoms/cm3 범위 내의 As 도펀트 농도를 갖는 것인, 반도체 디바이스.Example 8. In Example 1, the first source / drain layer comprises SiAs, and the first source / drain layer is an As dopant within the range of 1.2x10 20 atoms / cm 3 to 1.2x10 21 atoms / cm 3 A semiconductor device having a concentration.

실시예 9. 실시예 1에 있어서, 상기 제 2 소스/드레인층은 SiAs를 포함하고, 상기 제 2 소스/드레인층은 9.6x1020 atoms/cm3 내지 7.5x1021 atoms/cm3 범위 내의 As 도펀트 농도를 갖는 것인, 반도체 디바이스.Example 9. In Example 1, the second source / drain layer comprises SiAs, and the second source / drain layer is an As dopant in the range of 9.6x10 20 atoms / cm 3 to 7.5x10 21 atoms / cm 3 A semiconductor device having a concentration.

실시예 10. 반도체 디바이스에 있어서,Example 10. In a semiconductor device,

핀의 제 1 영역 위에 배치되는 제 1 게이트 구조물, 및 상기 핀의 제 2 영역 위에 배치되는 제 2 게이트 구조물;A first gate structure disposed over the first region of the fin, and a second gate structure disposed over the second region of the fin;

상기 핀 내에 형성되는 리세스 - 상기 리세스는 상기 제 1 게이트 구조물 및 상기 제 2 게이트 구조물 각각에 인접해 있음 - ;A recess formed in the fin, wherein the recess is adjacent to each of the first gate structure and the second gate structure;

상기 리세스의 표면에 형성되는 제 1 층 - 상기 제 1 층은 인접해 있는 제 1 게이트 구조물 및 인접해 있는 제 2 게이트 구조물 각각 아래로 제 1 거리만큼 연장됨 - ; 및A first layer formed on the surface of the recess, the first layer extending by a first distance below each of the adjacent first gate structure and the adjacent second gate structure; And

상기 제 1 층 위에 형성되는 제 2 층을 포함하고,A second layer formed on the first layer,

상기 제 1 층 및 상기 제 2 층 중 적어도 하나는 실리콘 비소(SiAs)를 포함하는 것인, 반도체 디바이스. And at least one of the first layer and the second layer comprises silicon arsenic (SiAs).

실시예 11. 실시예 10에 있어서, 상기 제 1 층 및 상기 제 2 층 둘 다는 실리콘 비소(SiAs)를 포함하는 것인, 반도체 디바이스.Example 11. The semiconductor device of example 10, wherein both the first layer and the second layer comprise silicon arsenic (SiAs).

실시예 12. 실시예 10에 있어서, 상기 제 1 층은 실리콘 비소(SiAs)를 포함하고, 상기 제 2 층은 SiP, SiCP, 또는 이들의 조합을 포함하는 것인, 반도체 디바이스.Example 12. The semiconductor device of example 10, wherein the first layer comprises silicon arsenic (SiAs), and the second layer comprises SiP, SiCP, or a combination thereof.

실시예 13. 실시예 10에 있어서, 상기 제 1 층은 SiP을 포함하고, 상기 제 2 층은 실리콘 비소(SiAs)를 포함하는 것인, 반도체 디바이스.Example 13. The semiconductor device of example 10, wherein the first layer comprises SiP and the second layer comprises silicon arsenic (SiAs).

실시예 14. 실시예 10에 있어서, 상기 제 1 층은 실리콘 비소(SiAs)를 포함하고, 상기 제 2 층은 SiAs, SiP, 또는 이들의 조합을 포함하는 것인, 반도체 디바이스.Example 14. The semiconductor device of example 10, wherein the first layer comprises silicon arsenic (SiAs), and the second layer comprises SiAs, SiP, or a combination thereof.

실시예 15. 실시예 10에 있어서, 상기 제 1 게이트 구조물은 제 1 게이트 전극, 및 상기 제 1 게이트 전극의 제 1 측벽을 따라 형성되는 제 1 폭을 갖는 제 1 스페이서를 포함하고, 상기 제 2 게이트 구조물은 제 2 게이트 전극, 및 상기 제 2 게이트 전극의 제 2 측벽을 따라 형성되는 제 2 폭을 갖는 제 2 스페이서를 포함하며, 상기 리세스는 상기 제 1 측벽 및 상기 제 2 측벽 각각에 인접해 있는 것인, 반도체 디바이스.Embodiment 15. In Embodiment 10, the first gate structure includes a first gate electrode, and a first spacer having a first width formed along a first sidewall of the first gate electrode, and wherein the second gate structure is The gate structure includes a second gate electrode, and a second spacer having a second width formed along a second sidewall of the second gate electrode, wherein the recess is adjacent to each of the first sidewall and the second sidewall The semiconductor device that is done.

실시예 16. 실시예 15에 있어서, 상기 제 1 거리는 상기 제 1 폭 및 상기 제 2 폭 각각과 동일하거나 상기 제 1 폭 및 상기 제 2 폭 각각보다 더 작은 것인, 반도체 디바이스.Embodiment 16. The semiconductor device of embodiment 15, wherein the first distance is equal to each of the first width and the second width or smaller than each of the first width and the second width.

실시예 17. 실시예 15에 있어서, 상기 제 1 거리는 상기 제 1 폭 및 상기 제 2 폭 각각보다 더 큰 것인, 반도체 디바이스.Embodiment 17. The semiconductor device of embodiment 15, wherein the first distance is greater than each of the first width and the second width.

실시예 18. 반도체 디바이스를 제조하는 방법에 있어서,Example 18. A method for manufacturing a semiconductor device,

기판으로부터 연장되는 핀 위에 게이트 구조물 - 상기 게이트 구조물은 상기 핀 위에 형성되는 게이트 유전체, 상기 게이트 유전체 위에 형성되는 게이트 전극, 및 상기 게이트 전극의 측벽을 따라 형성되는 측벽 스페이서를 포함함 - 을 형성하는 단계;Forming a gate structure over a fin extending from the substrate, the gate structure including a gate dielectric formed over the fin, a gate electrode formed over the gate dielectric, and sidewall spacers formed along sidewalls of the gate electrode. ;

상기 게이트 구조물에 인접해 있는 상기 핀의 일부분을 에칭하여 리세스를 형성하는, 상기 핀의 일부분을 에칭하는 단계;Etching a portion of the fin to etch a portion of the fin adjacent to the gate structure to form a recess;

상기 리세스의 표면에 컨포멀하게 도핑된 층을 형성하는 단계;Forming a conformally doped layer on the surface of the recess;

상기 컨포멀하게 도핑된 층을 제거하여 확장된 리세스를 형성하는 단계; 및Removing the conformally doped layer to form an extended recess; And

상기 확장된 리세스 내에 소스/드레인 영역을 형성하는 단계를 포함하고, 상기 소스/드레인 영역은 상기 확장된 리세스의 표면 상에 형성되는 제 1 층, 및 상기 제 1 층 위에 형성되는 제 2 층을 포함하며, 상기 소스/드레인 영역은 인접해 있는 상기 게이트 구조물의 측벽 스페이서 아래로 연장되고, 상기 제 1 층 및 상기 제 2 층 중 적어도 하나는 실리콘 비소(SiAs)를 포함하는 것인, 반도체 디바이스 제조 방법. Forming a source / drain region in the extended recess, the source / drain region being a first layer formed on the surface of the extended recess, and a second layer formed over the first layer And wherein the source / drain regions extend below sidewall spacers of the adjacent gate structure, and at least one of the first layer and the second layer comprises silicon arsenic (SiAs). Manufacturing method.

실시예 19. 실시예 18에 있어서, 상기 확장된 리세스 내에 소스/드레인 영역을 형성하는 단계를 더 포함하고, 상기 제 1 층 및 상기 제 2 층 둘 다는 실리콘 비소(SiAs)를 포함하는 것인, 반도체 디바이스 제조 방법.Example 19. The method of example 18, further comprising forming a source / drain region within the extended recess, wherein both the first layer and the second layer comprise silicon arsenic (SiAs). , Semiconductor device manufacturing method.

실시예 20. 실시예 18에 있어서, 상기 제 1 층을 상기 확장된 리세스의 표면에 컨포멀하게 형성하는 단계를 더 포함하고, 상기 제 1 층은 0.1 나노미터 내지 5 나노미터와 동일한 두께를 갖는 것인, 반도체 디바이스 제조 방법.Example 20. The method of Example 18 further comprising conformally forming the first layer on the surface of the extended recess, the first layer having a thickness equal to 0.1 nanometers to 5 nanometers. The method of having a semiconductor device.

Claims (10)

반도체 디바이스에 있어서,
기판으로부터 연장되는 핀;
상기 핀 위에 배치되는 게이트 구조물 - 상기 게이트 구조물은 상기 핀 위에 형성되는 게이트 유전체, 상기 게이트 유전체 위에 형성되는 게이트 전극, 및 상기 게이트 전극의 측벽을 따라 형성되는 측벽 스페이서를 포함함 - ;
상기 핀 내에 그리고 상기 게이트 구조물에 인접하게 형성되는 제 1 U자형 리세스;
제 1 실리콘 비소(silicon arsenide; SiAs) 소스/드레인층이 제 2 U자형 리세스를 형성하도록 상기 제 1 U자형 리세스의 표면에 컨포멀하게(conformally) 형성되는 제 1 SiAs 소스/드레인층 - 상기 제 1 SiAs 소스/드레인층은 인접해 있는 상기 게이트 전극 밑으로(beneath) 부분적으로 연장되고, 상기 제 1 SiAs 소스/드레인층은 제 1 도펀트로 제 1 농도로 도핑됨 - ; 및
상기 제 1 SiAs 소스/드레인층 위에 형성되며 상기 제 2 U자형 리세스를 완전히 채우는 제 2 SiAs 소스/드레인층 - 상기 제 2 SiAs 소스/드레인층은 상기 제 1 도펀트로 상기 제 1 농도보다 큰 제 2 농도로 도핑됨 -
을 포함하는, 반도체 디바이스.
In a semiconductor device,
Pins extending from the substrate;
A gate structure disposed on the fin, the gate structure including a gate dielectric formed over the fin, a gate electrode formed over the gate dielectric, and a sidewall spacer formed along sidewalls of the gate electrode;
A first U-shaped recess formed in the fin and adjacent to the gate structure;
A first SiAs source / drain layer formed conformally on the surface of the first U-shaped recess such that a first silicon arsenide (SiAs) source / drain layer forms a second U-shaped recess- The first SiAs source / drain layer partially extends beneath the adjacent gate electrode, and the first SiAs source / drain layer is doped to a first concentration with a first dopant-; And
A second SiAs source / drain layer formed on the first SiAs source / drain layer and completely filling the second U-shaped recess, wherein the second SiAs source / drain layer is greater than the first concentration with the first dopant Doped to 2 concentrations-
A semiconductor device comprising a.
제 1 항에 있어서, 상기 제 2 SiAs 소스/드레인층은 상기 핀보다 높은 높이까지 위로 연장하는 것인, 반도체 디바이스.The semiconductor device of claim 1, wherein the second SiAs source / drain layer extends up to a height higher than the fin. 제 1 항에 있어서, 상기 제 2 SiAs 소스/드레인층의 상면에 물리적으로 접촉하고 상기 제 2 SiAs 소스/드레인층과 다른 재료로 형성되는 캡핑(capping)층을 더 포함하고, 상기 제 2 SiAs 소스/드레인층의 상면은 상기 핀으로부터 먼 쪽을 향하는(facing away) 것인, 반도체 디바이스.The second SiAs source / drain layer further comprises a capping layer formed of a different material from the second SiAs source / drain layer and physically contacting the upper surface of the second SiAs source / drain layer. The semiconductor device is a top surface of the drain layer facing away from the fin. 제 3 항에 있어서, 상기 제 2 SiAs 소스/드레인층 및 상기 캡핑층은 상기 게이트 구조물의 측벽 스페이서와 물리적으로 접촉하는 것인, 반도체 디바이스.4. The semiconductor device of claim 3, wherein the second SiAs source / drain layer and the capping layer are in physical contact with the sidewall spacers of the gate structure. 제 4 항에 있어서, 상기 핀 위에 배치되는 다른 게이트 구조물을 더 포함하고, 상기 다른 게이트 구조물은 상기 핀 위에 형성되는 다른 게이트 유전체, 상기 다른 게이트 유전체 위에 형성되는 다른 게이트 전극, 및 상기 다른 게이트 전극의 측벽을 따라 형성되는 다른 측벽 스페이서를 포함하고,
상기 제 2 SiAs 소스/드레인층 및 상기 캡핑층은 상기 게이트 구조물의 측벽 스페이서로부터 상기 다른 게이트 구조물의 측벽까지 연속적으로 연장하는 것인, 반도체 디바이스.
The method of claim 4, further comprising another gate structure disposed on the fin, the other gate structure being formed of another gate dielectric formed on the fin, another gate electrode formed on the other gate dielectric, and the other gate electrode. And other sidewall spacers formed along the sidewalls,
And the second SiAs source / drain layer and the capping layer continuously extend from sidewall spacers of the gate structure to sidewalls of the other gate structure.
제 1 항에 있어서, 상기 제 2 SiAs 소스/드레인층 위에 형성되는 캡핑(capping)층을 더 포함하는, 반도체 디바이스.The semiconductor device of claim 1, further comprising a capping layer formed on the second SiAs source / drain layer. 제 1 항에 있어서, 상기 제 1 SiAs 소스/드레인층은 0.1 나노미터 내지 5 나노미터와 동일한 두께를 갖는 것인, 반도체 디바이스.The semiconductor device of claim 1, wherein the first SiAs source / drain layer has a thickness equal to 0.1 nanometers to 5 nanometers. 반도체 디바이스에 있어서,
핀의 제 1 영역 위에 배치되는 제 1 게이트 구조물, 및 상기 핀의 제 2 영역 위에 배치되는 제 2 게이트 구조물;
상기 핀 내에 형성되는 제 1 리세스 - 상기 제 1 리세스는 상기 제 1 게이트 구조물 및 상기 제 2 게이트 구조물 각각에 인접해 있음 - ;
상기 제 1 리세스의 표면 상에 형성되는 제 1 실리콘 비소(SiAs) 층 - 상기 제 1 SiAs 층은 인접해 있는 제 1 게이트 구조물 및 인접해 있는 제 2 게이트 구조물 각각 아래로 제 1 거리만큼 연장되고, 상기 제 1 SiAs 층은 제 2 리세스를 형성하고, 상기 제 1 SiAs 층은 제 1 도펀트로 제1 농도로 도핑됨 - ; 및
상기 제 1 SiAs 층 위에 형성되고 상기 제 2 리세스를 완전히 채우는 제 2 SiAs 층 - 상기 제 2 SiAs 층은 상기 제 1 도펀트로 상기 제 1 농도보다 큰 제 2 농도로 도핑됨 -
을 포함하는, 반도체 디바이스.
In a semiconductor device,
A first gate structure disposed over the first region of the fin, and a second gate structure disposed over the second region of the fin;
A first recess formed in the fin, wherein the first recess is adjacent to each of the first gate structure and the second gate structure;
A first silicon arsenic (SiAs) layer formed on the surface of the first recess, wherein the first SiAs layer extends a first distance down each of the adjacent first gate structure and the adjacent second gate structure, respectively , The first SiAs layer forms a second recess, and the first SiAs layer is doped to a first concentration with a first dopant-; And
A second SiAs layer formed over the first SiAs layer and completely filling the second recess, wherein the second SiAs layer is doped with the first dopant to a second concentration greater than the first concentration-
A semiconductor device comprising a.
제 8 항에 있어서, 상기 제 1 게이트 구조물은 제 1 게이트 전극, 및 상기 제 1 게이트 전극의 제 1 측벽을 따라 형성되는 제 1 폭을 갖는 제 1 스페이서를 포함하고, 상기 제 2 게이트 구조물은 제 2 게이트 전극, 및 상기 제 2 게이트 전극의 제 2 측벽을 따라 형성되는 제 2 폭을 갖는 제 2 스페이서를 포함하며, 상기 제 1 리세스는 상기 제 1 측벽 및 상기 제 2 측벽 각각에 인접해 있는 것인, 반도체 디바이스.The method of claim 8, wherein the first gate structure comprises a first gate electrode, and a first spacer having a first width formed along a first sidewall of the first gate electrode, and the second gate structure comprises And a second spacer having a second width formed along a second sidewall of the second gate electrode and the second gate electrode, wherein the first recess is adjacent to each of the first sidewall and the second sidewall. Semiconductor device. 반도체 디바이스를 제조하는 방법에 있어서,
기판으로부터 연장되는 핀 위에 게이트 구조물 - 상기 게이트 구조물은 상기 핀 위에 형성되는 게이트 유전체, 상기 게이트 유전체 위에 형성되는 게이트 전극, 및 상기 게이트 전극의 측벽을 따라 형성되는 측벽 스페이서를 포함함 - 을 형성하는 단계;
상기 게이트 구조물에 인접해 있는 상기 핀의 일부분을 에칭하여 리세스를 형성하는, 상기 핀의 일부분을 에칭하는 단계;
상기 리세스의 표면 내에 컨포멀하게 도핑된 층을 형성하는 단계;
상기 컨포멀하게 도핑된 층을 제거하여 확장된 리세스를 형성하는 단계; 및
상기 확장된 리세스 내에 소스/드레인 영역을 형성하는 단계를 포함하고, 상기 소스/드레인 영역은 상기 확장된 리세스의 표면 상에 형성되는 제 1 실리콘 비소(SiAs) 층, 및 상기 제 1 SiAs 층 위에 형성되는 제 2 SiAs 층을 포함하며, 상기 제 1 SiAs 층은 상기 제 2 SiAs 층에 의해 완전히 채워지는 제 2 리세스를 형성하고, 상기 소스/드레인 영역은 인접해 있는 상기 게이트 구조물의 측벽 스페이서 아래로 연장되고, 상기 제 1 SiAs 층은 제 1 도펀트로 제 1 농도로 도핑되고, 상기 제 2 SiAs 층은 상기 제 1 도펀트로 상기 제 1 농도보다 큰 제 2 농도로 도핑되는 것인, 반도체 디바이스 제조 방법.
A method for manufacturing a semiconductor device,
Forming a gate structure over a fin extending from the substrate, the gate structure including a gate dielectric formed over the fin, a gate electrode formed over the gate dielectric, and sidewall spacers formed along sidewalls of the gate electrode. ;
Etching a portion of the fin to etch a portion of the fin adjacent to the gate structure to form a recess;
Forming a conformally doped layer within the surface of the recess;
Removing the conformally doped layer to form an extended recess; And
Forming a source / drain region in the extended recess, the source / drain region being a first silicon arsenic (SiAs) layer formed on the surface of the extended recess, and the first SiAs layer A second SiAs layer formed thereon, the first SiAs layer forming a second recess completely filled by the second SiAs layer, and the source / drain regions are adjacent sidewall spacers of the gate structure The semiconductor device, which extends downward, wherein the first SiAs layer is doped to a first concentration with a first dopant, and the second SiAs layer is doped to a second concentration greater than the first concentration with the first dopant. Manufacturing method.
KR1020180011357A 2017-11-15 2018-01-30 Semiconductor device with epitaxial source/drain KR102113245B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/814,129 2017-11-15
US15/814,129 US10529803B2 (en) 2016-01-04 2017-11-15 Semiconductor device with epitaxial source/drain

Publications (2)

Publication Number Publication Date
KR20190055677A KR20190055677A (en) 2019-05-23
KR102113245B1 true KR102113245B1 (en) 2020-05-21

Family

ID=66335356

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180011357A KR102113245B1 (en) 2017-11-15 2018-01-30 Semiconductor device with epitaxial source/drain

Country Status (4)

Country Link
KR (1) KR102113245B1 (en)
CN (1) CN109786446B (en)
DE (1) DE102018100114B4 (en)
TW (1) TWI688099B (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11489062B2 (en) 2019-05-31 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd Optimized proximity profile for strained source/drain feature and method of fabricating thereof
DE102020112695A1 (en) * 2019-05-31 2020-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. OPTIMIZED SEWING PROFILE FOR TENSIONED SOURCE / DRAIN FEATURES AND METHOD OF MANUFACTURING IT
US11133417B1 (en) * 2020-03-16 2021-09-28 Globalfoundries U.S. Inc. Transistors with a sectioned epitaxial semiconductor layer
US11757010B2 (en) 2020-04-28 2023-09-12 Taiwan Semiconductor Manufacturing Company Limited Multi-stage etching process for contact formation in a semiconductor device
TWI770956B (en) * 2020-04-28 2022-07-11 台灣積體電路製造股份有限公司 Semiconductor device and method of fabricating the same
US11515313B2 (en) 2020-06-22 2022-11-29 Taiwan Semiconductor Manufacturing Company Limited Gated ferroelectric memory cells for memory cell array and methods of forming the same
US11482594B2 (en) 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006005373A (en) 2005-07-27 2006-01-05 Toshiba Corp Manufacturing method for semiconductor device
US20170194321A1 (en) * 2016-01-04 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with epitaxial source/drain

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7781799B2 (en) * 2007-10-24 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain strained layers
US9263342B2 (en) * 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
US8785285B2 (en) * 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9368628B2 (en) * 2012-07-05 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
CN106158654B (en) * 2015-04-20 2019-04-26 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor structure
CN107275210B (en) * 2016-04-06 2023-05-02 联华电子股份有限公司 Semiconductor element and manufacturing method thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006005373A (en) 2005-07-27 2006-01-05 Toshiba Corp Manufacturing method for semiconductor device
US20170194321A1 (en) * 2016-01-04 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with epitaxial source/drain

Also Published As

Publication number Publication date
KR20190055677A (en) 2019-05-23
TW201933613A (en) 2019-08-16
CN109786446A (en) 2019-05-21
DE102018100114B4 (en) 2020-07-23
DE102018100114A1 (en) 2019-05-16
CN109786446B (en) 2022-11-25
TWI688099B (en) 2020-03-11

Similar Documents

Publication Publication Date Title
US11574907B2 (en) Enhanced channel strain to reduce contact resistance in NMOS FET devices
US10971406B2 (en) Method of forming source/drain regions of transistors
US11018224B2 (en) Semiconductor device with epitaxial source/drain
US10872893B2 (en) Dual nitride stressor for semiconductor device and method of manufacturing
KR102113245B1 (en) Semiconductor device with epitaxial source/drain
CN106505103B (en) Semiconductor device and method for manufacturing the same
US10062688B2 (en) Semiconductor device with epitaxial source/drain
US11610885B2 (en) Method for forming semiconductor structure
TWI567981B (en) Structure of fin feature and method of making same
US11652043B2 (en) Integrated circuit structure with backside via
TWI806113B (en) Method for making semiconductor device and semiconductor device
KR102638127B1 (en) Etch profile control of via opening
TWI784512B (en) Semiconductor device and method of forming the same
KR102535085B1 (en) Etch profile control of gate contact opening
US20230335435A1 (en) Integrated circuit structure and manufacturing method thereof
US11967526B2 (en) Integrated circuit structure and manufacturing method thereof
KR20220043834A (en) Integrated circuit structure and manufacturing method thereof

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant