KR101994036B1 - Plasma monitoring apparatus - Google Patents

Plasma monitoring apparatus Download PDF

Info

Publication number
KR101994036B1
KR101994036B1 KR1020180020365A KR20180020365A KR101994036B1 KR 101994036 B1 KR101994036 B1 KR 101994036B1 KR 1020180020365 A KR1020180020365 A KR 1020180020365A KR 20180020365 A KR20180020365 A KR 20180020365A KR 101994036 B1 KR101994036 B1 KR 101994036B1
Authority
KR
South Korea
Prior art keywords
region
plasma
reactor
wall
wiring
Prior art date
Application number
KR1020180020365A
Other languages
Korean (ko)
Inventor
정진욱
박지환
Original Assignee
한양대학교 산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한양대학교 산학협력단 filed Critical 한양대학교 산학협력단
Priority to KR1020180020365A priority Critical patent/KR101994036B1/en
Priority to PCT/KR2019/000215 priority patent/WO2019164121A1/en
Application granted granted Critical
Publication of KR101994036B1 publication Critical patent/KR101994036B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/0006Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature
    • H05H1/0087Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature by magnetic means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32954Electron temperature measurement
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/0006Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature
    • H05H1/0081Investigating plasma, e.g. measuring the degree of ionisation or the electron temperature by electric means

Abstract

Disclosed is a plasma measuring apparatus. The plasma measuring apparatus may comprise: a reactor provided with a plasma generation space therein and having a first area surrounding the plasma generation space and a second area electrically insulated with the first area; and a plasma measuring unit electrically connected to the first and second areas and measuring a state of a plasma in contact with the first and second areas by applying a voltage signal to the first and second areas and measuring a response current signal for the voltage signal.

Description

플라즈마 측정 장치{Plasma monitoring apparatus}[0001] Plasma monitoring apparatus [0002]

본 발명은 플라즈마 측정 장치에 관련된 것으로, 보다 상세하게는 반응기 고유의 내부 벽면을 이용하여 플라즈마의 상태를 측정할 수 있는 플라즈마 측정 장치에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a plasma measuring apparatus, and more particularly, to a plasma measuring apparatus capable of measuring a state of plasma using an inner wall surface unique to a reactor.

랑뮤어 탐침법은 반응기 벽면에 설치된 창구를 통해 세라믹 재질의 원통형 막대를 반응기 내부에 삽입한다. 원통형 막대의 끝은 DC 전류를 수집할 수 있는 금속 탐침이 설치되어 있는데, 외부에서 금속 탐침에 DC 전압을 인가하면 플라즈마로부터 전류가 흐르게 된다. 이 때, 측정된 전류는 플라즈마 변수와 관련되며, 특정한 전압 범위에서 전류-전압 특성을 분석하여 플라즈마 변수를 측정할 수 있다.The Langmuir probe method inserts a cylindrical rod of ceramic material into the reactor through a hatch located on the wall of the reactor. The tip of the cylindrical rod is equipped with a metal probe that can collect DC current. When DC voltage is applied to the metal probe from the outside, current flows from the plasma. At this time, the measured current is related to the plasma parameter, and the plasma parameter can be measured by analyzing the current-voltage characteristic in a specific voltage range.

이러한 랑뮤어 탐침법은 탐침을 삽입하기 위한 반응기 벽면의 창구를 필요로 한다. 이 창구는 탐침을 고정하면서 반응기 내부를 낮은 압력으로 유지할 수 있도록 반드시 진공 처리가 되어 있어야 한다. 이러한 탐침과 창구는 아래와 같이 플라즈마의 상태가 달리지는 요인이 될 수 있다.This Langmuir probe method requires a window on the reactor wall to insert the probe. This hatch must be vacuumed to hold the probe and to keep the pressure inside the reactor low. These probes and windows can be the factors that cause the plasma state to change as shown below.

먼저, 탐침의 삽입은 플라즈마가 손실되는 면적을 증가시키기 때문에 플라즈마의 밀도에 영향을 줄 수 있으며, 플라즈마를 생성 및 유지하는 전기장을 방해할 수 있다. 탐침에 인가된 전압이 플라즈마 전위에 섭동을 줄 수 있으며 플라즈마로부터 전자와 이온을 포집하기 때문에 플라즈마의 밀도에 영향을 줄 수 있다. 탐침이 반응기 내부에 위치함에 따라 반도체 제조/디스플레이 제조/표면처리 등 공정 결과에 영향을 줄 수 있다. 또한, 탐침은 플라즈마에 의한 손상에 의해 주기적인 교체가 필요하며, 손상으로 인한 불순물의 방출원이 될 수 있다.First, insertion of the probe can affect the density of the plasma as it increases the area of loss of the plasma, and can hinder the electric field that generates and holds the plasma. The voltage applied to the probe can perturb the plasma potential and can affect the density of the plasma because it captures electrons and ions from the plasma. As the probe is located inside the reactor, it can affect process results such as semiconductor manufacturing / display fabrication / surface treatment. In addition, the probe needs to be periodically replaced by plasma damage and may be a source of impurities due to damage.

이러한 문제로, 반응기 내부에 탐침을 삽입하지 않고 플라즈마 상태를 측정할 수 있는 장치의 개발이 요구된다.With such a problem, it is required to develop a device capable of measuring the plasma state without inserting a probe inside the reactor.

한국공개특허 제10-2017-0106081호Korean Patent Publication No. 10-2017-0106081

본 발명은 반응기 내부에 탐침을 삽입하지 않고, 반응기 고유의 벽면을 이용하여 플라즈마 상태를 측정할 수 있는 플라즈마 측정 장치를 제공한다.The present invention provides a plasma measuring apparatus capable of measuring a plasma state using a wall surface unique to a reactor without inserting a probe inside the reactor.

본 발명에 따른 플라즈마 측정 장치는 내부에 플라즈마 발생 공간이 제공되며, 상기 플라즈마 발생 공간을 에워싸는 내벽이 제1영역과, 상기 제1영역과 전기적으로 절연된 제2영역을 갖는 반응기; 및 상기 제1영역 및 상기 제2영역과 전기적으로 연결되며, 상기 제1영역과 상기 제2영역에 전압 신호를 인가하고 그에 대한 응답 전류 신호를 측정하여 상기 제1영역 및 상기 제2영역과 접촉되는 플라즈마의 상태를 계측하는 플라즈마 계측부를 포함할 수 있다.A plasma measuring apparatus according to the present invention includes: a reactor having a plasma generating space provided therein, the inner wall surrounding the plasma generating space having a first region and a second region electrically insulated from the first region; And a controller coupled in electrical communication with the first and second regions for applying a voltage signal to the first and second regions and measuring a response current signal therefrom to control the contact with the first and second regions, And a plasma measuring unit for measuring the state of the plasma.

또한, 상기 플라즈마 계측부는, 상기 전압 신호를 발생하는 신호 발생기; 상기 신호 발생기와 상기 제1영역을 연결하는 제1배선; 상기 제2영역과 상기 신호 발생기를 연결하는 제2배선; 상기 제1배선과 상기 제2배선에 흐르는 상기 응답 전류 신호를 측정하는 신호 측정부; 및 상기 신호 측정부에 측정된 상기 응답 전류 신호로부터 상기 제1영역과 접촉하는 플라즈마의 밀도와 전자온도를 산출하고, 상기 제2영역과 접촉하는 플라즈마의 밀도와 전자온도를 산출하는 데이터 연산부를 포함할 수 있다.The plasma measuring unit may further include: a signal generator for generating the voltage signal; A first wiring connecting the signal generator and the first region; A second wire connecting the second region and the signal generator; A signal measuring unit for measuring the response current signal flowing through the first wiring and the second wiring; And a data operation unit for calculating the density and the electron temperature of the plasma in contact with the first region from the response current signal measured by the signal measuring unit and calculating the density and the electron temperature of the plasma in contact with the second region can do.

또한, 상기 제1영역과 상기 제2영역은 금속 재질일 수 있다.The first region and the second region may be made of a metal.

또한, 상기 제1영역과 상기 제2영역 각각은, 전면이 상기 플라즈마 발생 공간에 노출되는 유전체 재질의 윈도우; 상기 윈도우의 후면과 결합하며, 상기 제1배선 또는 상기 제2배선과 연결되는 금속 재질의 전류수집판을 포함할 수 있다.Each of the first region and the second region may include a window made of a dielectric material whose front surface is exposed to the plasma generating space; And a current collecting plate of a metal material coupled to a rear surface of the window and connected to the first wiring or the second wiring.

또한, 상기 반응기의 내벽에는 상기 제1영역과 상기 제2영역을 상기 반응기의 내벽의 다른 영역으로부터 절연시키는 유전체 재질의 절연 링이 제공될 수 있다.In addition, the inner wall of the reactor may be provided with an insulating ring of dielectric material which insulates the first region and the second region from other regions of the inner wall of the reactor.

또한, 상기 반응기는 상부벽, 측벽, 그리고 하부벽이 서로 조합하여 상기 플라즈마 발생 공간을 형성하며, 상기 제1영역은 상기 하부벽에 위치하고, 상기 제2영역은 상기 측벽에 위치할 수 있다.The reactor may further include a top wall, a sidewall, and a bottom wall to form the plasma generating space. The first region may be located on the bottom wall, and the second region may be located on the sidewall.

또한, 상기 반응기는 상부벽, 측벽, 그리고 하부벽이 서로 조합하여 상기 플라즈마 발생 공간을 형성하며, 상기 제1영역은 상기 하부벽에 위치하고, 상기 제2영역은 상기 상부벽에 위치할 수 있다.The reactor may further include a top wall, a sidewall, and a bottom wall to form the plasma generating space, wherein the first region is located at the bottom wall, and the second region is located at the top wall.

본 발명에 의하면, 반도체, 디스플레이 공정용 장치에 적용하여 플라즈마 상태 변수를 직접적으로 측정할 수 있다.According to the present invention, it is possible to directly measure the plasma state parameter by applying it to a device for a semiconductor and a display process.

또한, 본 발명에 의하면, 플라즈마의 상태 측정으로 동일한 공정을 수행하는 플라즈마 장치들간에 공차를 최소화하여 균일한 공정결과를 얻을 수 있다. In addition, according to the present invention, uniformity of process results can be obtained by minimizing the tolerance between plasma apparatuses performing the same process by measuring the state of the plasma.

또한, 본 발명에 의하면, 탐침 사용에 따른 문제점, 예컨대 탐침의 내구성 및 불순물 방출 문제, 플라즈마의 섭동 발생 문제, 공정 불량 발생 문제 등을 예방할 수 있다.Further, according to the present invention, it is possible to prevent the problems associated with the use of the probe, such as the durability and impurity release of the probe, the problem of generation of perturbation of plasma, and the problem of process failure.

도 1은 본 발명의 일 실시 예에 따른 플라즈마 측정 장치를 나타내는 도면이다.
도 2는 본 발명의 플라즈마 측정 장치에서 플라즈마, 전압, 전류, 그리고 전극의 관계를 개념적으로 나타내는 도면이다.
도 3은 본 발명의 다른 실시 예에 따른 플라즈마 측정 장치를 나타내는 도면이다.
도 4는 본 발명의 또 다른 실시 예에 따른 플라즈마 측정 장치를 나타내는 도면이다.
도 5 내지 도 7은 반응기의 다양한 형태에 따른 플라즈마 계측부와의 연결관계를 나타내는 도면이다.
1 is a view illustrating a plasma measuring apparatus according to an embodiment of the present invention.
FIG. 2 is a conceptual diagram showing the relationship between plasma, voltage, current, and electrodes in the plasma measuring apparatus of the present invention.
3 is a view illustrating a plasma measuring apparatus according to another embodiment of the present invention.
4 is a view illustrating a plasma measuring apparatus according to another embodiment of the present invention.
5 to 7 are diagrams showing the connection relationship with the plasma measuring unit according to various forms of the reactor.

이하, 첨부된 도면들을 참조하여 본 발명의 바람직한 실시 예를 상세히 설명할 것이다. 그러나 본 발명의 기술적 사상은 여기서 설명되는 실시 예에 한정되지 않고 다른 형태로 구체화 될 수도 있다. 오히려, 여기서 소개되는 실시 예는 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되는 것이다.Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the technical spirit of the present invention is not limited to the embodiments described herein but may be embodied in other forms. Rather, the embodiments disclosed herein are provided so that the disclosure can be thorough and complete, and will fully convey the scope of the invention to those skilled in the art.

본 명세서에서, 어떤 구성요소가 다른 구성요소 상에 있다고 언급되는 경우에 그것은 다른 구성요소 상에 직접 형성될 수 있거나 또는 그들 사이에 제 3의 구성요소가 개재될 수도 있다는 것을 의미한다. 또한, 도면들에 있어서, 막 및 영역들의 두께는 기술적 내용의 효과적인 설명을 위해 과장된 것이다. In this specification, when an element is referred to as being on another element, it may be directly formed on another element, or a third element may be interposed therebetween. Further, in the drawings, the thicknesses of the films and regions are exaggerated for an effective explanation of the technical content.

또한, 본 명세서의 다양한 실시 예 들에서 제1, 제2, 제3 등의 용어가 다양한 구성요소들을 기술하기 위해서 사용되었지만, 이들 구성요소들이 이 같은 용어들에 의해서 한정되어서는 안 된다. 이들 용어들은 단지 어느 구성요소를 다른 구성요소와 구별시키기 위해서 사용되었을 뿐이다. 따라서, 어느 한 실시 예에 제 1 구성요소로 언급된 것이 다른 실시 예에서는 제 2 구성요소로 언급될 수도 있다. 여기에 설명되고 예시되는 각 실시 예는 그것의 상보적인 실시 예도 포함한다. 또한, 본 명세서에서 '및/또는'은 전후에 나열한 구성요소들 중 적어도 하나를 포함하는 의미로 사용되었다.Also, while the terms first, second, third, etc. in the various embodiments of the present disclosure are used to describe various components, these components should not be limited by these terms. These terms have only been used to distinguish one component from another. Thus, what is referred to as a first component in any one embodiment may be referred to as a second component in another embodiment. Each embodiment described and exemplified herein also includes its complementary embodiment. Also, in this specification, 'and / or' are used to include at least one of the front and rear components.

명세서에서 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한 복수의 표현을 포함한다. 또한, "포함하다" 또는 "가지다" 등의 용어는 명세서상에 기재된 특징, 숫자, 단계, 구성요소 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징이나 숫자, 단계, 구성요소 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 배제하는 것으로 이해되어서는 안 된다. 또한, 본 명세서에서 "연결"은 복수의 구성 요소를 간접적으로 연결하는 것, 및 직접적으로 연결하는 것을 모두 포함하는 의미로 사용된다. The singular forms "a", "an", and "the" include plural referents unless the context clearly dictates otherwise. It is also to be understood that the terms such as " comprises "or" having "are intended to specify the presence of stated features, integers, Should not be understood to exclude the presence or addition of one or more other elements, elements, or combinations thereof. Also, in this specification, the term "connection " is used to include both indirectly connecting and directly connecting a plurality of components.

또한, 하기에서 본 발명을 설명함에 있어 관련된 공지 기능 또는 구성에 대한 구체적인 설명이 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 경우에는 그 상세한 설명은 생략할 것이다.In the following description of the present invention, a detailed description of known functions and configurations incorporated herein will be omitted when it may make the subject matter of the present invention rather unclear.

본 발명에 따른 플라즈마 측정 장치는 반응기 내부에서 플라즈마를 발생시키는 장치에서 플라즈마의 전자온도, 밀도 등 플라즈마 상태를 측정할 수 있다. 플라즈마 측정 장치는 반도체/디스플레이 공정용 플라즈마 장치, 핵융합 발전용 플라즈마 장치, 그리고 물질의 표면 처리(산화, 박막코팅 등)를 위한 플라즈마 장치 등에 적용될 수 있다. 이하 도면을 참조하여 구체적으로 설명한다. The plasma measuring apparatus according to the present invention can measure plasma state such as electron temperature and density of a plasma in a plasma generating apparatus in a reactor. The plasma measuring apparatus can be applied to a plasma apparatus for a semiconductor / display process, a plasma apparatus for a fusion power generation, and a plasma apparatus for surface treatment (oxidation, thin film coating, etc.) of a material. Hereinafter, the present invention will be described in detail with reference to the drawings.

도 1은 본 발명의 일 실시 예에 따른 플라즈마 측정 장치를 나타내는 도면이다. 본 실시 예의 플라즈마 측정 장치(100)는 유도 결합형 플라즈마(Inductively coupled plasma, ICP) 발생 장치로, TOP ICP 구조를 예를 들어 설명한다.1 is a view illustrating a plasma measuring apparatus according to an embodiment of the present invention. The plasma measuring apparatus 100 of the present embodiment is an inductively coupled plasma (ICP) generating apparatus, and a TOP ICP structure will be described as an example.

도 1을 참조하면, 플라즈마 측정 장치(100)는 반응기(10)와 플라즈마 발생부(20), 그리고 플라즈마 계측부(30)를 포함한다.Referring to FIG. 1, a plasma measuring apparatus 100 includes a reactor 10, a plasma generating unit 20, and a plasma measuring unit 30.

반응기(10)는 내부에 플라즈마 발생 공간(11)이 제공된다. 반응기(10)의 내벽은 플라즈마 발생 공간(11)을 에워싼다. 구체적으로 반응기(10)의 내벽은 상부벽(12), 하부벽(13), 측벽(14), 그리고 가드 링(15)을 가진다. The reactor 10 is provided with a plasma generating space 11 therein. The inner wall of the reactor (10) surrounds the plasma generating space (11). Specifically, the inner wall of the reactor 10 has an upper wall 12, a lower wall 13, a side wall 14, and a guard ring 15.

상부벽(12)은 유전체 재질의 윈도우로 제공된다. 실시 예에 의하면, 상부벽(12)의 재질은 산화알루미늄(Al2O3)으로 제공될 수 있다. The top wall 12 is provided with a window of dielectric material. According to the embodiment, the material of the top wall 12 may be provided by aluminum oxide (Al 2 O 3).

하부벽(13)은 상부벽(12)과 마주 배치된다. 하부벽(13)은 도전성 재질로 제공될 수 있다. 하부벽(13)은 금속 재질로 제공될 수 있다. 실시 예에 의하면, 하부벽(13)은 스테인리스강으로 제공될 수 있다.The lower wall (13) is disposed opposite the upper wall (12). The lower wall 13 may be made of a conductive material. The lower wall 13 may be made of a metal material. According to the embodiment, the lower wall 13 may be provided with stainless steel.

측벽(14)은 상부벽(12)과 하부벽(13) 사이 공간을 에워싼다. 측벽(14)은 하부벽(13)과 동일한 재질로 제공될 수 있다.The side wall 14 surrounds the space between the top wall 12 and the bottom wall 13. The side wall 14 may be provided with the same material as the lower wall 13.

가드 링(15)은 하부벽(13)과 측벽(14) 사이에 삽입되며, 하부벽(13)의 둘레를 따라 제공된다. 가드 링(15)은 비도전성 재질로 제공된다. 가드 링(15)은 유전체 재질로 제공될 수 있다. 실시 예에 의하면, 가드 링(15)은 산화알루미늄으로 제공될 수 있다. 가드 링(15)은 하부벽(13)과 측벽(14)을 전기적으로 절연시킨다.A guard ring 15 is inserted between the lower wall 13 and the side wall 14 and is provided along the circumference of the lower wall 13. The guard ring 15 is provided with a non-conductive material. The guard ring 15 may be provided as a dielectric material. According to the embodiment, the guard ring 15 may be provided with aluminum oxide. The guard ring 15 electrically insulates the lower wall 13 and the side wall 14.

플라즈마 발생부(20)는 플라즈마 발생 공간(11)에 플라즈마를 발생시킨다. 플라즈마 발생부(20)는 안테나 코일(21), 매칭 네트워크(22), 그리고 전원(23)을 포함한다.The plasma generating section 20 generates plasma in the plasma generating space 11. The plasma generator 20 includes an antenna coil 21, a matching network 22, and a power supply 23.

안테나 코일(21)은 상부벽(12)의 상부에 위치하며, 일단이 전원(23)과 연결되고 타단이 접지된다. 전원(23)은 RF 전력을 생성한다. 실시 예에 의하면, 전원(23)은 13.56 MHz 인 RF 전력을 생성할 수 있다. RF 전력은 매칭 네트워크(22)를 거쳐 안테나 코일(21)에 인가된다.The antenna coil 21 is located at the upper portion of the upper wall 12, one end connected to the power source 23, and the other end grounded. The power supply 23 generates RF power. According to the embodiment, the power supply 23 can generate RF power of 13.56 MHz. The RF power is applied to the antenna coil 21 via the matching network 22.

안테나 코일(21)에 인가된 RF 전력은 플라즈마 발생 공간(11)에 전계를 형성한다. 전계는 플라즈마 발생 공간(11)에 머무르는 소스가스를 플라즈마 상태로 여기시킨다.The RF power applied to the antenna coil 21 forms an electric field in the plasma generating space 11. The electric field excites the source gas staying in the plasma generating space 11 into a plasma state.

플라즈마 계측부(30)는 제1배선(31), 제2배선(32), 신호 발생기(33), RF 초크 필터(34), 그리고 신호 측정부(35)를 포함한다. The plasma measuring unit 30 includes a first wiring 31, a second wiring 32, a signal generator 33, an RF choke filter 34, and a signal measuring unit 35.

제1배선(31)은 신호 발생기(33)와 반응기(10)의 제1영역을 연결하고, 제2배선(32)은 전원(33)과 반응기(10)의 제2영역을 연결한다. 제2배선(32)은 접지될 수 있다. 여기서 반응기(10)의 제1영역과 제2영역은 절연된 서로 다른 영역으로, 실시 예에 의하면, 제1영역은 반응기(10)의 하부벽(13)이고, 제2영역은 반응기(10)의 측벽(14)이다.The first wiring 31 connects the signal generator 33 to the first region of the reactor 10 and the second wiring 32 connects the power source 33 to the second region of the reactor 10. [ And the second wiring 32 may be grounded. Here, the first region and the second region of the reactor 10 are insulated different regions. According to an embodiment, the first region is the lower wall 13 of the reactor 10 and the second region is the reactor 10, As shown in FIG.

신호 발생기(33)는 전압 신호를 발생시키고, 제1영역(13)에 인가한다. 신호 발생기(33)는 사인파 전압 신호를 발생시킬 수 있다. 실시 예에 의하면, 신호 발생기(33)는 10kHz의 주파수 및 0.5V의 진폭을 갖는 사인파 전압 신호를 발생시킬 수 있다.The signal generator 33 generates a voltage signal and applies it to the first region 13. The signal generator 33 can generate a sinusoidal voltage signal. According to the embodiment, the signal generator 33 is capable of generating a sinusoidal voltage signal having a frequency of 10 kHz and an amplitude of 0.5V.

RF 초크 필터(34)는 신호 발생기(33)와 직렬 연결된다. RF 초크 필터(34)는 특정 주파수 신호에서 공진되도록 설계된다. 실시 예에 의하면, RF 초크 필터(34)는 13.56MHz와 27.12MHz에서 공진되도록 설계될 수 있다.The RF choke filter 34 is connected in series with the signal generator 33. The RF choke filter 34 is designed to resonate at a specific frequency signal. According to the embodiment, the RF choke filter 34 can be designed to resonate at 13.56 MHz and 27.12 MHz.

신호 측정부(35)는 제1배선(31)과 제2배선(32)을 따라 흐르는 응답 전류 신호를 측정한다. 신호 측정부(35)는 감지 저항(36)과 아날로그-디지털 컨버터(37), 데이터 연산부(38)를 포함할 수 있다. The signal measuring section 35 measures a response current signal flowing along the first wiring 31 and the second wiring 32. The signal measuring unit 35 may include a sense resistor 36, an analog-to-digital converter 37, and a data operation unit 38.

감지 저항(36)은 RF 초크 필터(34) 및 신호 발생기(33)와 직렬 연결되며, 제1배선(31)과 제2배선(32)을 따라 흐르는 응답 전류 신호에 의해 전위차를 발생시킨다.The sensing resistor 36 is connected in series with the RF choke filter 34 and the signal generator 33 and generates a potential difference by a response current signal flowing along the first wiring 31 and the second wiring 32.

아날로그-디지털 컨버터(37)는 감지 저항(35)에서 발생된 전위차를 일정 주기로 측정하여 전류 파형으로 변환한다. 실시 예에 의하면, 아날로그-디지털 컨버터(37)는 1.25 MSs-1의 샘플링 속도로 전위차를 측정한다. The analog-to-digital converter 37 measures the potential difference generated in the sense resistor 35 at regular intervals and converts it into a current waveform. According to the embodiment, the analog-to-digital converter 37 measures the potential difference at a sampling rate of 1.25 MSs < -1 & gt ;.

데이터 연산부(38)는 측정된 응답 전류 신호로부터 제1영역(13)과 접촉하는 플라즈마의 밀도와 전자온도를 산출하고, 제2영역(14)과 접촉하는 플라즈마의 밀도와 전자온도를 산출한다. The data calculating unit 38 calculates the density and the electron temperature of the plasma in contact with the first region 13 from the measured response current signal and calculates the density and the electron temperature of the plasma in contact with the second region 14. [

이하, 제1영역(13)과 제2영역(14)에서 접촉하는 플라즈마 밀도 및 전자온도를 산출하는 구체적인 방법에 대해 설명한다.Hereinafter, a specific method of calculating the plasma density and the electron temperature in the first region 13 and the second region 14 will be described.

도 2는 본 발명의 플라즈마 측정 장치에서 플라즈마, 전압, 전류, 그리고 전극의 관계를 개념적으로 나타내는 도면이다.FIG. 2 is a conceptual diagram showing the relationship between plasma, voltage, current, and electrodes in the plasma measuring apparatus of the present invention.

도 1 및 도 2를 참조하면, 반응기의 제1영역(13)과 제2영역(14)은 전극으로서 역할을 한다. 설명의 편의를 위해, 반응기의 제1영역(13)을 제1전극이라 칭하고, 제2영역(14)을 제2전극이라 칭한다.Referring to Figures 1 and 2, the first region 13 and the second region 14 of the reactor serve as electrodes. For convenience of explanation, the first region 13 of the reactor is referred to as a first electrode, and the second region 14 is referred to as a second electrode.

신호 발생기(33)로부터 전압 신호(VB)가 인가되면, 플라즈마 전류가 제1전극(13)과 제2전극(14)을 통해 흐른다. 제1전극(13)을 통해 흐르는 전류와 제2전극(14)을 통해 흐르는 전류는 각각 I1 및 I2로 정의될 수 있다. 플라즈마의 준 중성(quasi-neutrality) 상태를 유지하기 위해 플라즈마와 플라즈마 계측부(30) 사이의 순 전류(net current)는 0이며, I1 과 I2의 합은 폐루프를 따라 흐르는 루프 전류(loop current, I)를 유도한다. 이들 전류들의 관계는 아래 식(1)과 같이 정의된다.When the voltage signal V B is applied from the signal generator 33, a plasma current flows through the first electrode 13 and the second electrode 14. The current flowing through the first electrode 13 and the current flowing through the second electrode 14 may be defined as I 1 and I 2 , respectively. In order to maintain the quasi-neutrality of the plasma, the net current between the plasma and the plasma measurement unit 30 is zero, and the sum of I 1 and I 2 is the sum of the loop current current, I). The relationship of these currents is defined by the following equation (1).

Figure 112018018080011-pat00001
식(1)
Figure 112018018080011-pat00001
Equation (1)

각각의 I1 및 I2는 이온과 전자 전류로 구성된다. 제1전극(13)과 제2전극(14)이 충분히 작고 매우 가깝지 않다면, 전자 온도(Te), 플라즈마 밀도(n1, n2), 플라즈마 전위 (Vp)와 같은 플라즈마 파라미터는 각 영역에서 다르게 나타난다. 여기서 Te 및 Vp 값은 일정하고 n1과 n2는 단지 공간적으로 변한다고 가정하면, n1 및 n2는 제1전극(13)과 제2전극(14)의 국부 밀도로 이해될 수 있다. 이러한 가정은 저압 방전조건에서 허용될 수 있으며, Te의 공간적 변화를 야기하는 가스 압력의 공간적 구배는 낮은 가스 유량에 의해 최소화된다. V1과 V2 사이의 전위차는 VB에 의해 결정된다(식 (2) 참조).Each I 1 and I 2 consists of an ion and an electron current. Plasma parameters such as the electron temperature T e , the plasma density n 1 , n 2 , and the plasma potential V p , if the first electrode 13 and the second electrode 14 are sufficiently small and not very close, . Assuming that the values of T e and V p are constant and n 1 and n 2 change only spatially, n 1 and n 2 can be understood as the local densities of the first electrode 13 and the second electrode 14 have. This assumption can be tolerated under low pressure discharge conditions and the spatial gradient of the gas pressure which causes a spatial variation of T e is minimized by the low gas flow rate. The potential difference between V 1 and V 2 is determined by V B (see equation (2)).

Figure 112018018080011-pat00002
식(2)
Figure 112018018080011-pat00002
Equation (2)

제1전극(13)에 대한 이온 전류(Ii1) 및 전자 전류(Ie1)와 제2전극(14)에 대한 이온 전류(Ii2) 및 전자 전류(Ie2)는 아래와 같이 식(3)으로 정의된다. A first electrode 13, an ion current (I i1) and electron currents (I e1) and the ionic current (I i2) and an electron current (I e2) of the second electrode 14 on the formula (3) as shown below .

Figure 112018018080011-pat00003
식(3)
Figure 112018018080011-pat00003
Equation (3)

여기서, n1은 제1전극(13)에서의 플라즈마 밀도이고, n2는 제2전극(14)에서의 플라즈마 밀도이고, A1은 제1전극(13)의 면적이고, A2는 제2전극(14)의 면적이고, uB는 보옴 속도(Bohm velocity)이고, Ve는 평균 전자 속도이다.Here, n 1 is the plasma density in the first electrode 13, n 2 is the plasma density, and, A 1 is the area of the first electrode 13 of the second electrode (14), A 2 is the second Is the area of the electrode 14, u B is the Bohm velocity, and V e is the average electron velocity.

이를 식(1)로부터 다시 정리하면 아래 식(4)로 나타나며,This is rearranged from Equation (1), which is expressed by Equation (4) below,

Figure 112018018080011-pat00004
식(4)
Figure 112018018080011-pat00004
Equation (4)

식 (2), 식 (3), 그리고 식 (4)로부터 아래와 같이 식 (5)를 얻을 수 있다.From equation (2), equation (3), and equation (4), equation (5) can be obtained as follows.

Figure 112018018080011-pat00005
식(5)
Figure 112018018080011-pat00005
Equation (5)

여기서, 진폭이 V0이고 각 주파수(angular frequency) ω를 갖는 정현파 전압이 제1전극(13)과 제2전극(14)에 인가될 때, 식(5)에 따른 시변 탐침 전류(time-varying probe current, ip)는 아래 식 (6)과 같다.Here, when a sinusoidal voltage having an amplitude V 0 and an angular frequency ω is applied to the first electrode 13 and the second electrode 14, a time-varying probe current, i p ) is given by the following equation (6).

Figure 112018018080011-pat00006
식(6)
Figure 112018018080011-pat00006
Equation (6)

위의 식(6)은 식 (7)과 같이 전개된다.The above equation (6) is developed as shown in equation (7).

Figure 112018018080011-pat00007
식(7)
Figure 112018018080011-pat00007
Equation (7)

여기서 v0가 Te보다 작으면, v0 / Te의 고차 항은 무시할 수 있으며, 그러면 ip의 고조파 성분(iω, i2 ω, 및 i3 ω)의 진폭은 다음과 같이 근사화된다.Where v if 0 is less than T e, v high-order term of the 0 / T e is negligible, then the amplitude of the harmonic component of the i p (i ω, i 2 ω, and i 3 ω) is approximated as follows: .

Figure 112018018080011-pat00008
식 (8)
Figure 112018018080011-pat00008
Equation (8)

ip를 측정하고, 이를 고속 푸리에 변환(fast Fourier transform)을 통해 고조파 전류들(harmonic currents)의 진폭을 유도한다.i p and derives the amplitude of the harmonic currents through a fast Fourier transform.

계수 b는 |iω|, |i2 ω|, 그리고 |i3 ω|의 조합으로 아래 식(9)와 같이 결정된다.The coefficient b is determined according to the following equation (9) by a combination of | i ω |, | i 2 ω |, and | i 3 ω |.

Figure 112018018080011-pat00009
식 (9)
Figure 112018018080011-pat00009
Equation (9)

유사한 방식으로 Te는 식 (10)으로부터 계산된다.In a similar way, T e is calculated from equation (10).

Figure 112018018080011-pat00010
식(10)
Figure 112018018080011-pat00010
Equation (10)

식 (9)와 식 (10)을 통해 b와 Te가 주어지면, n1와 n2는 고조파 전류의 진폭으로 결정된다. 구체적으로 제2전극(14)상의 쉬스 영역 가장자리에서 이온 밀도는 식 (11)로부터 계산된다.Given b and T e from Eqs. (9) and (10), n 1 and n 2 are determined by the amplitude of the harmonic current. Specifically, the ion density at the edge of the sheath region on the second electrode 14 is calculated from equation (11).

Figure 112018018080011-pat00011
식 (11)
Figure 112018018080011-pat00011
Equation (11)

그리고 제1전극(13) 상의 쉬스 영역 가장자리에서 이온 밀도는 b를 이용하여 식 (12)로부터 계산된다. And the ion density at the edge of the sheath region on the first electrode 13 is calculated from equation (12) using b.

Figure 112018018080011-pat00012
식(12)
Figure 112018018080011-pat00012
Equation (12)

상술한 과정에 의해, 제1영역(13)과 제2영역(14)에서 접촉하는 플라즈마 밀도 및 전자온도가 산출될 수 있다.By the above-described process, the plasma density and the electron temperature in contact with the first region 13 and the second region 14 can be calculated.

도 3은 본 발명의 다른 실시 예에 따른 플라즈마 측정 장치를 나타내는 도면이다.3 is a view illustrating a plasma measuring apparatus according to another embodiment of the present invention.

도 3을 참조하면, 플라즈마 측정 장치(200)는 솔레노이드형 ICP(Solenoidal type ICP) 구조를 가질 수 있다. Referring to FIG. 3, the plasma measuring apparatus 200 may have a solenoidal type ICP (Solenoidal type ICP) structure.

반응기(210)의 측벽(214)은 유전체 재질로 제공되며, 상부벽(212)과 하부벽(213)은 각각 금속 재질로 제공될 수 있다. 상부벽(212)과 하부벽(213)은 전기적으로 절연된다. The side wall 214 of the reactor 210 is provided with a dielectric material, and the upper wall 212 and the lower wall 213 may be made of a metal material, respectively. The upper wall 212 and the lower wall 213 are electrically insulated.

안테나 코일(221)은 반응기(210)의 측벽(214) 둘레를 따라 감기며, 전원(223)으로부터 RF 전력이 인가된다. 안테나 코일(221)과 전원(223) 사이에는 매칭 네트워크(222)가 연결된다. 안테나 코일(221)에 인가된 RF 전력은 플라즈마 발생 공간(211)에 전계를 형성한다. 전계는 플라즈마 발생 공간(211)에 머무르는 소스가스를 플라즈마 상태로 여기시킨다.The antenna coil 221 is wound around the sidewall 214 of the reactor 210 and RF power is applied from the power source 223. A matching network 222 is connected between the antenna coil 221 and the power source 223. The RF power applied to the antenna coil 221 forms an electric field in the plasma generating space 211. The electric field excites the source gas staying in the plasma generating space 211 into a plasma state.

플라즈마 계측부(230)의 제1배선(231)은 반응기(210)의 하부벽(213)과 연결되고, 제2배선(232)은 반응기(210)의 상부벽(212)과 연결된다.The first wiring 231 of the plasma measurement unit 230 is connected to the lower wall 213 of the reactor 210 and the second wiring 232 is connected to the upper wall 212 of the reactor 210.

신호 발생기(233)에서 발생된 전압 신호가 반응기(210)의 하부벽(213)에 인가되고, 플라즈마로부터 하부벽(213)과 상부벽(212) 각각에 전달되는 응답 전류 신호가 신호 측정부(235)에 측정된다. 데이터 연산부(238)는 측정된 응답 전류 신호로부터 하부벽(213)과 접촉하는 플라즈마의 밀도 및 전자온도와 상부벽(212)과 접촉하는 플라즈마의 밀도 및 전자온도를 산출한다.The voltage signal generated in the signal generator 233 is applied to the lower wall 213 of the reactor 210 and the response current signal transmitted from the plasma to the lower wall 213 and the upper wall 212, respectively, 235). The data operating section 238 calculates the density and the electron temperature of the plasma in contact with the lower wall 213 and the density and the electron temperature of the plasma in contact with the upper wall 212 from the measured response current signal.

도 4는 본 발명의 또 다른 실시 예에 따른 플라즈마 측정 장치를 나타내는 도면이다.4 is a view illustrating a plasma measuring apparatus according to another embodiment of the present invention.

도 4를 참조하면, 플라즈마 측정 장치(300)는 용량성 결합 플라즈마(Capacitively Coupled Plasma) 구조를 가질 수 있다. Referring to FIG. 4, the plasma measuring apparatus 300 may have a capacitively coupled plasma structure.

플라즈마 측정 장치(300)는 반응기(310)의 상부벽(311)과 하부벽(312)이 서로 마주하는 한 쌍의 전극으로 제공되며, 측벽(313)은 절연 재질로 제공된다. 하부벽(312)은 접지된다.The plasma measuring apparatus 300 is provided with a pair of electrodes in which the upper wall 311 and the lower wall 312 of the reactor 310 face each other and the side wall 313 is provided with an insulating material. The lower wall 312 is grounded.

플라즈마 계측부(330)의 제1배선(331)은 반응기(310)의 하부벽(312)과 연결되고, 제2배선(332)은 반응기(310)의 상부벽(311)과 연결된다.The first wiring 331 of the plasma measurement unit 330 is connected to the lower wall 312 of the reactor 310 and the second wiring 332 is connected to the upper wall 311 of the reactor 310.

신호 발생기(333)에서 발생된 전압 신호가 반응기(310)의 하부벽(312)과 상부벽(311)에 인가되고, 플라즈마로부터 하부벽(312)과 상부벽(311) 각각에 전달되는 응답 전류 신호가 신호 측정부(335)에 측정된다. 데이터 연산부(338)는 측정된 응답 전류 신호로부터 하부벽(312)과 접촉하는 플라즈마의 밀도 및 전자온도와 상부벽(311)과 접촉하는 플라즈마의 밀도 및 전자온도를 산출한다.The voltage signal generated in the signal generator 333 is applied to the lower wall 312 and the upper wall 311 of the reactor 310 and the response currents transmitted from the plasma to the lower wall 312 and the upper wall 311, A signal is measured in the signal measuring unit 335. The data operation unit 338 calculates the density and the electron temperature of the plasma in contact with the lower wall 312 and the density and the electron temperature of the plasma in contact with the upper wall 311 from the measured response current signal.

본 발명의 상술한 실시 예들에 따르면, 플라즈마 측정 장치는 반응기의 벽면으로 전압 신호를 인가하고, 그에 대한 응답 전류 신호를 반응기의 벽면을 통해 수집한다. 반응기의 벽면은 탐침에 비해 넓은 면적으로 제공되므로, 작은 전압 신호의 인가로도 응답 전류 신호의 수집이 충분히 가능하다. 또한, 플라즈마 계측부의 제1배선 및 제2배선과 연결되는 반응기의 벽면 영역 각각에서 이와 접촉되는 플라즈마의 밀도 및 전자온도를 국지적으로 측정할 수 있다.According to the above-described embodiments of the present invention, the plasma measuring apparatus applies a voltage signal to the wall surface of the reactor and collects a response current signal therefrom through the wall surface of the reactor. Since the wall surface of the reactor is provided in a wider area than the probe, the collection of the response current signal is sufficiently possible even with the application of a small voltage signal. In addition, the density and the electron temperature of the plasma in contact with each of the wall regions of the reactor connected to the first wiring and the second wiring of the plasma measuring unit can be locally measured.

도 5 내지 도 7은 반응기의 다양한 형태에 따른 플라즈마 계측부와의 연결관계를 나타내는 도면이다.5 to 7 are diagrams showing the connection relationship with the plasma measuring unit according to various forms of the reactor.

먼저 도 5를 참조하면, 반응기(10)의 제1영영(13)과 제2영역(14)이 금속 재질로 제공될 경우, 플라즈마 계측부(30)의 제1배선(31)과 제2배선(32)은 반응기(10)의 제1영역(13) 및 제2영역(14)과 직접 연결된다. 이 경우 신호 발생기(33)에서는 교류 전압 또는 직류 전압을 인가할 수 있다.Referring to FIG. 5, when the first area 13 and the second area 14 of the reactor 10 are provided with a metal material, the first wiring 31 and the second wiring 31 of the plasma measuring part 30 32 are directly connected to the first region 13 and the second region 14 of the reactor 10. In this case, the signal generator 33 can apply an AC voltage or a DC voltage.

도 6과 같이, 반응기(10)의 제1영역(13)과 제2영역(14)이 유전체 재질의 윈도우로 제공될 경우, 제1배선(31)과 제2배선(32)은 윈도우의 후면에 결합된 금속 재질의 전류 수집판(16)을 통해 연결될 수 있다. 이 경우 신호 발생기(33)에서는 교류 전압을 인가할 수 있다.6, when the first region 13 and the second region 14 of the reactor 10 are provided as windows of a dielectric material, the first wiring 31 and the second wiring 32 are formed on the rear surface of the window Through the current collecting plate 16 made of a metal material. In this case, the signal generator 33 can apply an AC voltage.

도 7과 같이, 반응기의 내벽(10)이 금속 재질로 제공될 경우, 제1영역(13)과 제2영역(14)은 유전체 재질의 절연 링(17)에 의해 나머지 영역으로부터 절연된다. 플라즈마 계측부(30)는 제1영역(13) 및 제2영역(14)을 통해 국지적으로 플라즈마의 상태를 계측할 수 있다. 이 경우 신호 발생기(33)에서는 교류 전압 또는 직류 전압을 인가할 수 있다.7, when the inner wall 10 of the reactor is provided with a metal material, the first region 13 and the second region 14 are insulated from the remaining region by a dielectric insulating ring 17 made of a dielectric material. The plasma measuring unit 30 can measure the state of the plasma locally through the first region 13 and the second region 14. In this case, the signal generator 33 can apply an AC voltage or a DC voltage.

이상, 본 발명을 바람직한 실시 예를 사용하여 상세히 설명하였으나, 본 발명의 범위는 특정 실시 예에 한정되는 것은 아니며, 첨부된 특허청구범위에 의하여 해석되어야 할 것이다. 또한, 이 기술분야에서 통상의 지식을 습득한 자라면, 본 발명의 범위에서 벗어나지 않으면서도 많은 수정과 변형이 가능함을 이해하여야 할 것이다.While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it is to be understood that the scope of the present invention is not limited to the disclosed exemplary embodiments. It will also be appreciated that many modifications and variations will be apparent to those skilled in the art without departing from the scope of the present invention.

100: 플라즈마 측정 장치
10: 반응기
20: 플라즈마 발생부
21: 안테나 코일
22: 매칭 네트워크
23: 전원
30: 플라즈마 계측부
31: 제1배선
32: 제2배선
33: 신호 발생기
34: RF 초크 필터
35: 신호 측정부
100: Plasma measuring device
10: Reactor
20: Plasma generator
21: Antenna coil
22: matching network
23: Power supply
30: Plasma measuring unit
31: first wiring
32: second wiring
33: Signal generator
34: RF choke filter
35: Signal measurement section

Claims (7)

내부에 플라즈마 발생 공간이 제공되며, 상기 플라즈마 발생 공간을 에워싸는 내벽이 제1영역과, 상기 제1영역과 전기적으로 절연된 제2영역을 갖는 반응기; 및
상기 제1영역 및 상기 제2영역과 전기적으로 연결되며, 상기 제1영역과 상기 제2영역에 전압 신호를 인가하고 그에 대한 응답 전류 신호를 측정하여 상기 제1영역 및 상기 제2영역과 접촉되는 플라즈마의 상태를 계측하는 플라즈마 계측부를 포함하는 플라즈마 측정 장치.
A reactor having a plasma generating space provided therein, the inner wall surrounding the plasma generating space having a first region and a second region electrically insulated from the first region; And
And a second region electrically connected to the first region and the second region and configured to apply a voltage signal to the first region and the second region and measure a response current signal therefrom to contact the first region and the second region And a plasma measuring unit for measuring a state of the plasma.
제 1 항에 있어서,
상기 플라즈마 계측부는,
상기 전압 신호를 발생하는 신호 발생기;
상기 신호 발생기와 상기 제1영역을 연결하는 제1배선;
상기 제2영역과 상기 신호 발생기를 연결하는 제2배선;
상기 제1배선과 상기 제2배선에 흐르는 상기 응답 전류 신호를 측정하는 신호 측정부; 및
상기 신호 측정부에 측정된 상기 응답 전류 신호로부터 상기 제1영역과 접촉하는 플라즈마의 밀도와 전자온도를 산출하고, 상기 제2영역과 접촉하는 플라즈마의 밀도와 전자온도를 산출하는 데이터 연산부를 포함하는 플라즈마 측정 장치.
The method according to claim 1,
The plasma measuring unit includes:
A signal generator for generating the voltage signal;
A first wiring connecting the signal generator and the first region;
A second wire connecting the second region and the signal generator;
A signal measuring unit for measuring the response current signal flowing through the first wiring and the second wiring; And
Calculating a density and an electron temperature of the plasma in contact with the first region from the response current signal measured in the signal measuring unit and calculating a density and an electron temperature of the plasma in contact with the second region; Plasma measuring device.
제 1 항 또는 제 2 항에 있어서,
상기 제1영역과 상기 제2영역은 금속 재질인 플라즈마 측정 장치.
3. The method according to claim 1 or 2,
Wherein the first region and the second region are made of metal.
제 2 항에 있어서,
상기 제1영역과 상기 제2영역 각각은,
전면이 상기 플라즈마 발생 공간에 노출되는 유전체 재질의 윈도우;
상기 윈도우의 후면과 결합하며, 상기 제1배선 또는 상기 제2배선과 연결되는 금속 재질의 전류수집판을 포함하는 플라즈마 측정 장치.
3. The method of claim 2,
Wherein each of the first region and the second region includes:
A window of a dielectric material whose front surface is exposed to the plasma generating space;
And a current collecting plate of a metal material coupled to a rear surface of the window and connected to the first wiring or the second wiring.
제 1 항 또는 제 2 항에 있어서,
상기 반응기의 내벽에는 상기 제1영역과 상기 제2영역을 상기 반응기의 내벽의 다른 영역으로부터 절연시키는 유전체 재질의 절연 링이 제공되는 플라즈마 측정 장치.
3. The method according to claim 1 or 2,
Wherein an insulating ring of a dielectric material is provided on an inner wall of the reactor to insulate the first region and the second region from other regions of the inner wall of the reactor.
제 1 항 또는 제 2 항에 있어서,
상기 반응기는 상부벽, 측벽, 그리고 하부벽이 서로 조합하여 상기 플라즈마 발생 공간을 형성하며,
상기 제1영역은 상기 하부벽에 위치하고, 상기 제2영역은 상기 측벽에 위치하는 플라즈마 측정 장치.
3. The method according to claim 1 or 2,
The reactor has a top wall, a side wall, and a bottom wall combined to form the plasma generating space,
Wherein the first region is located on the lower wall and the second region is located on the sidewall.
제 1 항 또는 제 2 항에 있어서,
상기 반응기는 상부벽, 측벽, 그리고 하부벽이 서로 조합하여 상기 플라즈마 발생 공간을 형성하며,
상기 제1영역은 상기 하부벽에 위치하고, 상기 제2영역은 상기 상부벽에 위치하는 플라즈마 측정 장치.
3. The method according to claim 1 or 2,
The reactor has a top wall, a side wall, and a bottom wall combined to form the plasma generating space,
Wherein the first region is located at the lower wall and the second region is located at the upper wall.
KR1020180020365A 2018-02-21 2018-02-21 Plasma monitoring apparatus KR101994036B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020180020365A KR101994036B1 (en) 2018-02-21 2018-02-21 Plasma monitoring apparatus
PCT/KR2019/000215 WO2019164121A1 (en) 2018-02-21 2019-01-07 Device for measuring plasma

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020180020365A KR101994036B1 (en) 2018-02-21 2018-02-21 Plasma monitoring apparatus

Publications (1)

Publication Number Publication Date
KR101994036B1 true KR101994036B1 (en) 2019-06-27

Family

ID=67057119

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180020365A KR101994036B1 (en) 2018-02-21 2018-02-21 Plasma monitoring apparatus

Country Status (2)

Country Link
KR (1) KR101994036B1 (en)
WO (1) WO2019164121A1 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010232344A (en) * 2009-03-26 2010-10-14 Oki Semiconductor Co Ltd Plasma monitoring method
KR20120107846A (en) * 2009-06-30 2012-10-04 램 리써치 코포레이션 Automatic fault detection and classification in a plasma processing system and methods thereof
KR20150074008A (en) * 2012-10-26 2015-07-01 어플라이드 머티어리얼스, 인코포레이티드 Pecvd apparatus and process
KR20170106081A (en) 2016-03-11 2017-09-20 한양대학교 산학협력단 Plasma Diagnose Device And Plasma Treating Apparatus Including the Device

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102053720B1 (en) * 2013-03-11 2019-12-09 삼성전자주식회사 Method and Apparatus for diagnosing Plasma

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010232344A (en) * 2009-03-26 2010-10-14 Oki Semiconductor Co Ltd Plasma monitoring method
KR20120107846A (en) * 2009-06-30 2012-10-04 램 리써치 코포레이션 Automatic fault detection and classification in a plasma processing system and methods thereof
KR20150074008A (en) * 2012-10-26 2015-07-01 어플라이드 머티어리얼스, 인코포레이티드 Pecvd apparatus and process
KR20170106081A (en) 2016-03-11 2017-09-20 한양대학교 산학협력단 Plasma Diagnose Device And Plasma Treating Apparatus Including the Device

Also Published As

Publication number Publication date
WO2019164121A1 (en) 2019-08-29

Similar Documents

Publication Publication Date Title
TW200806091A (en) Method and apparatus to detect fault conditions of a plasma processing reactor
JP3665265B2 (en) Plasma processing equipment
TWI239794B (en) Plasma processing apparatus and method
EP0719077B1 (en) Method and apparatus for determining of absolute plasma parameters
US9754769B2 (en) Metrology methods to detect plasma in wafer cavity and use of the metrology for station-to-station and tool-to-tool matching
US9349575B2 (en) Remote plasma system having self-management function and self management method of the same
TW494457B (en) Method for predicting consumption of consumable part, method for predicting deposited-film thickness, and plasma processor
US20040135590A1 (en) Impedance monitoring system and method
US20120248310A1 (en) Ion energy analyzer
JP4928817B2 (en) Plasma processing equipment
US20070181254A1 (en) Plasma processing apparatus with resonance countermeasure function
WO2004064460A1 (en) High frequency power supply device and plasma generator
EP1072894A2 (en) Capacitive probe for in situ measurement of wafer DC bias voltage
JP2008513940A (en) Probe for measuring characteristics of plasma excitation current, and associated plasma reactor
JP2008182012A (en) Method and device for inspecting performance of process for plasma treatment equipment
KR102109987B1 (en) Plasma monitoring probe assembly and processing chamber incorporating the same
JPH0478135A (en) Method for extracting electric signal
JP2000031072A (en) Plasma monitoring method and semiconductor fabrication system
KR101632603B1 (en) Current sensor and plasma processing apparatus
JP3292531B2 (en) High frequency excitation plasma measurement device
KR101994036B1 (en) Plasma monitoring apparatus
Chan et al. Parametric investigation of the sheath potential in a low‐frequency rf discharge
KR101999622B1 (en) System and Method for Diagnosing a Plasma
CN112345814A (en) DC bias detection method, device, jig and lower electrode system
KR101000939B1 (en) Process monitoring apparatus and the method of the same

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant