KR101954412B1 - A method of manufacturing a semiconductor device with separated merged source/drain structure - Google Patents

A method of manufacturing a semiconductor device with separated merged source/drain structure Download PDF

Info

Publication number
KR101954412B1
KR101954412B1 KR1020170060358A KR20170060358A KR101954412B1 KR 101954412 B1 KR101954412 B1 KR 101954412B1 KR 1020170060358 A KR1020170060358 A KR 1020170060358A KR 20170060358 A KR20170060358 A KR 20170060358A KR 101954412 B1 KR101954412 B1 KR 101954412B1
Authority
KR
South Korea
Prior art keywords
layer
source
patterned
forming
sacrificial layer
Prior art date
Application number
KR1020170060358A
Other languages
Korean (ko)
Other versions
KR20180060909A (en
Inventor
퉁 잉 리
멩-수안 시아오
충-린 리
치 치에 예
이-치아 예오
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20180060909A publication Critical patent/KR20180060909A/en
Application granted granted Critical
Publication of KR101954412B1 publication Critical patent/KR101954412B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects

Abstract

핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에서, FinFET 구조체의 소스/드레인 구조체 및 격리 절연 층 위에 희생 층이 형성된다. 마스크 패턴이 희생 층 위에 형성된다. 희생 층 및 소스/드레인 구조체는 에칭 마스크로서 마스크 패턴을 사용함으로써 패터닝되고, 그에 의해 패터닝된 희생 층 및 소스/드레인 구조체에 인접한 개구를 형성한다. 유전체 층이 개구에 형성된다. 유전체 층이 형성된 후에, 패터닝된 소스/드레인 구조체 위에 접촉 개구를 형성하기 위해 패터닝된 희생 층이 제거된다. 도전성 층은 접촉 개구에 형성된다.In a method of forming a semiconductor device including a fin field effect transistor (FinFET), a sacrificial layer is formed over a source / drain structure and an isolation insulating layer of a FinFET structure. A mask pattern is formed on the sacrificial layer. The sacrificial layer and the source / drain structure are patterned by using a mask pattern as an etch mask, thereby forming an opening adjacent the patterned sacrificial layer and the source / drain structure. A dielectric layer is formed in the opening. After the dielectric layer is formed, the patterned sacrificial layer is removed to form a contact opening over the patterned source / drain structure. A conductive layer is formed in the contact opening.

Description

분리 병합된 소스/드레인 구조체를 가지는 반도체 디바이스를 제조하는 방법{A METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE WITH SEPARATED MERGED SOURCE/DRAIN STRUCTURE}TECHNICAL FIELD [0001] The present invention relates to a method of manufacturing a semiconductor device having a separately coupled source / drain structure,

본 출원은 2016년 11월 29일에 출원된 미국 가 특허출원번호 제 62/427,432 호에 대한 우선권을 주장하고, 그 전체 개시물은 본원에 인용에 의해 포함된다.This application claims priority to U.S. Provisional Patent Application No. 62 / 427,432, filed November 29, 2016, the entire disclosure of which is incorporated herein by reference.

본 개시물은 반도체 집적 회로를 제조하는 방법에 관한 것으로, 더 구체적으로 핀 전계 효과 트랜지스터(fin field effect transistor: FinFET)를 포함하는 반도체 디바이스를 제조하는 방법 및 반도체 디바이스에 관한 것이다.The present disclosure relates to a method of manufacturing a semiconductor integrated circuit, and more particularly to a method of manufacturing a semiconductor device including a fin field effect transistor (FinFET) and a semiconductor device.

반도체 산업이 더 높은 디바이스 밀도, 더 높은 성능 및 더 낮은 비용을 추구하는 나노미터 기술 프로세스로 진화함에 따라, 핀 전계 효과 트랜지스터(Fin FET) 및 하이-k(high-k) (유전 상수) 재료를 가지는 금속 게이트 구조체의 사용과 같이, 3차원 설계의 개발에서 제조 및 설계 쟁점 둘 다로부터의 도전과제가 발생하였다.As the semiconductor industry evolves into nanometer technology processes seeking higher device density, higher performance and lower cost, it is possible to use a fin field effect transistor (FinFET) and a high-k (dielectric constant) There has been a challenge from both manufacturing and design issues in the development of a three-dimensional design, such as the use of metal gate structures that have been fabricated.

본 개시물의 양상은 첨부 도면과 함께 숙독할 때 다음의 상세한 설명으로부터 최적으로 이해된다. 산업분야에서의 표준 실시에 따르면, 다양한 피처가 실척으로 그려지지 않음이 주목된다. 사실, 다양한 피처(feature)의 치수는 논의의 명확성을 위해 임의선택적으로 증가하거나 감소할 수 있다.
도 1a-1c는 본 개시물의 일부 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 2a-2c는 본 개시물의 일부 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 3a-3c는 본 개시물의 일부 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 4a-4c는 본 개시물의 일부 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 5a-5c는 본 개시물의 일부 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 6a-6c는 본 개시물의 일부 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 7a-7c는 본 개시물의 일부 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 8a-8c는 본 개시물의 일부 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 9a-9c는 본 개시물의 일부 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 10a-10e는 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 11a 및 11b는 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 12a 및 12b는 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 13은 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 14는 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 15a 및 15b는 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 16a 및 16b는 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 17a 및 17b는 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 18은 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 19는 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 20은 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 21a-21d는 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 22a 및 22b는 본 개시물의 일부 실시예에 따른 반도체 디바이스의 예시적인 단면도를 도시한다.
도 23은 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 24는 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 25는 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 26은 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 27은 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
도 28은 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지 중 하나를 도시한다.
The aspects of the disclosure are best understood from the following detailed description when read in conjunction with the accompanying drawings. According to standard practice in industry, it is noted that various features are not drawn to scale. In fact, the dimensions of the various features may optionally increase or decrease for clarity of discussion.
1A-1C illustrate one of various stages of a semiconductor device fabrication process according to some embodiments of the present disclosure.
Figures 2A-2C illustrate one of various stages of a semiconductor device manufacturing process according to some embodiments of the present disclosure.
Figures 3A-3C illustrate one of various stages of a semiconductor device manufacturing process according to some embodiments of the present disclosure.
4A-4C illustrate one of various stages of a semiconductor device fabrication process according to some embodiments of the present disclosure.
Figures 5A-5C illustrate one of various stages of a semiconductor device manufacturing process in accordance with some embodiments of the present disclosure.
6A-6C illustrate one of various stages of a semiconductor device fabrication process according to some embodiments of the present disclosure.
Figures 7A-7C illustrate one of various stages of a semiconductor device manufacturing process in accordance with some embodiments of the present disclosure.
8A-8C illustrate one of various stages of a semiconductor device manufacturing process according to some embodiments of the present disclosure.
Figures 9A-9C illustrate one of various stages of a semiconductor device manufacturing process in accordance with some embodiments of the present disclosure.
Figures 10A-10E illustrate one of various stages of a semiconductor device manufacturing process in accordance with one or more embodiments of the present disclosure.
11A and 11B illustrate one of various stages of a semiconductor device fabrication process according to one or more embodiments of the present disclosure.
12A and 12B illustrate one of various stages of a semiconductor device manufacturing process according to one or more embodiments of the present disclosure.
Figure 13 illustrates one of various stages of a semiconductor device manufacturing process in accordance with one or more embodiments of the present disclosure.
Figure 14 illustrates one of various stages of a semiconductor device manufacturing process in accordance with one or more embodiments of the present disclosure.
15A and 15B illustrate one of various stages of a semiconductor device fabrication process according to one or more embodiments of the present disclosure.
16A and 16B illustrate one of various stages of a semiconductor device fabrication process according to one or more embodiments of the present disclosure.
17A and 17B illustrate one of various stages of a semiconductor device manufacturing process according to one or more embodiments of the present disclosure.
Figure 18 illustrates one of various stages of a semiconductor device manufacturing process in accordance with one or more embodiments of the present disclosure.
19 illustrates one of various stages of a semiconductor device manufacturing process in accordance with one or more embodiments of the present disclosure.
Figure 20 illustrates one of various stages of a semiconductor device manufacturing process in accordance with one or more embodiments of the present disclosure.
Figures 21A-21D illustrate one of various stages of a semiconductor device manufacturing process in accordance with one or more embodiments of the present disclosure.
Figures 22A and 22B illustrate exemplary cross-sectional views of a semiconductor device according to some embodiments of the present disclosure.
Figure 23 illustrates one of various stages of a semiconductor device manufacturing process in accordance with one or more embodiments of the present disclosure.
24 illustrates one of various stages of a semiconductor device fabrication process in accordance with one or more embodiments of the present disclosure.
Figure 25 illustrates one of various stages of a semiconductor device manufacturing process in accordance with one or more embodiments of the present disclosure.
Figure 26 illustrates one of various stages of a semiconductor device fabrication process in accordance with one or more embodiments of the present disclosure.
Figure 27 illustrates one of various stages of a semiconductor device fabrication process in accordance with one or more embodiments of the present disclosure.
Figure 28 illustrates one of various stages of a semiconductor device fabrication process in accordance with one or more embodiments of the present disclosure.

다음의 개시물은 본 발명의 서로 다른 피처를 구현하기 위한 많은 서로 다른 실시예 또는 예를 제공하는 것이 이해될 것이다. 컴포넌트 및 장치의 특정 실시예 또는 예는 본 개시물을 간략화하기 위해 이하에 설명된다. 이것은 물론, 단지 예시이고 제한하려는 것이 아니다. 예를 들어, 엘리먼트의 치수는 개시된 범위 또는 값에 제한되는 것이 아니라, 디바이스의 프로세스 조건 및/또는 원하는 속성에 따를 수 있다. 더욱이, 후속하는 설명에서의 제 2 피처 위에 또는 제 2 피처 상의 제 1 피처의 형성은 제 1 및 제 2 피처가 직접 접촉하여 형성되는 실시예를 포함할 수 있고, 또한 제 1 및 제 2 피처가 직접 접촉하지 않을 수 있도록, 제 1 및 제 2 피처를 삽입하는 추가적인 피처가 형성될 수 있는 실시예를 포함할 수 있다. 다양한 피처가 간략성 및 명확성을 위해 서로 다른 스케일로 임의선택적으로 그려질 수 있다. 첨부 도면에서, 일부 층/피처는 간략화를 위해 생략될 수 있다.It will be understood that the following disclosure provides many different embodiments or examples for implementing different features of the present invention. Specific embodiments or examples of components and devices are described below to simplify the present disclosure. This is, of course, merely illustrative and not limiting. For example, the dimensions of an element are not limited to the ranges or values disclosed, but may depend on the process conditions and / or desired attributes of the device. Moreover, formation of the first feature on the second feature in the following description or formation of the first feature on the second feature may include embodiments in which the first and second features are formed in direct contact, and the first and second features And may include embodiments in which additional features may be formed to insert the first and second features so as not to be in direct contact. The various features may be optionally selectively drawn at different scales for simplicity and clarity. In the accompanying drawings, some layers / features may be omitted for simplicity.

또한, "밑에(beneath)", "아래(below)", "하부(lower)", "위에(above)", "상부(upper)" 등과 같은 공간적으로 관련한 용어는 도면에 도시된 바와 같이 다른 엘리먼트 또는 피처에 대한 일 엘리먼트 또는 피처의 관계를 설명하기 위해 설명의 편의상 본원에서 사용될 수 있다. 공간적으로 관련된 용어는 도면에 도시되는 배향(orientation)에 더하여 사용 또는 동작시에 디바이스의 서로 다른 배향을 망라하도록 의도된다. 디바이스는 달리 (90도로 회전되거나 다른 배향으로) 배향될 수 있고 본원에서 사용되는 공간적으로 관련된 기술어(descriptors)는 유사하게 그에 따라 해석될 수 있다. 추가로, 용어 "~로 제조되는(made of)"은 "~을 포함하는(comprising)" 또는 "~로 이루어지는(consisting of)"을 의미할 수 있다. 또한, 다음의 제조 프로세스에서, 설명된 동작에서/설명된 동작 사이의 하나 이상의 추가적인 동작이 존재할 수 있고, 동작의 순서가 변경될 수 있다.Also, spatially related terms such as "beneath", "below", "lower", "above", "upper" May be used herein for convenience of explanation to illustrate the relationship of an element or feature to an element or feature. Spatially related terms are intended to encompass different orientations of the device in use or operation in addition to the orientation shown in the figures. The devices may be otherwise oriented (rotated 90 degrees or in other orientations) and the spatially related descriptors used herein may be similarly interpreted accordingly. In addition, the term "made of" may mean "comprising" or "consisting of". Also, in the following manufacturing process, there may be one or more additional operations between the described / described operations, and the order of the operations may be changed.

개시된 실시예는 핀 전계-효과 트랜지스터(FinFET)를 위한 소스/드레인(S/D) 구조체를 형성하는 방법에 관한 것으로, 이 방법은 S/D 구조체를 격리시키거나 분리하는 방법을 포함한다. 본원에 개시된 것과 같은 실시예는 일반적으로 FinFET에 적용가능할 뿐 아니라 더블-게이트(double-gate), 서라운드-게이트(surround-gate), 오메가-게이트(omega-gate) 또는 게이트-올-어라운드 트랜지스터(gate-all-around transistor), 2차원 FET 및/또는 나노와이어 트랜지스터(nanowire transistor) 또는 소스/드레인 에피택셜 성장 프로세스(epitaxial growth process)를 가지는 임의의 적합한 디바이스에 적용가능하다.The disclosed embodiments relate to a method of forming a source / drain (S / D) structure for a FinFET, which includes isolating or isolating the S / D structure. Embodiments such as those described herein are generally applicable not only to FinFETs but also to other devices such as double-gate, surround-gate, omega-gate, or gate- gate-all-around transistor, a two-dimensional FET and / or a nanowire transistor or a source / drain epitaxial growth process.

도 1a-9c는 본 개시물의 일부 실시예에 따른 반도체 디바이스 제조 프로세스에서의 다양한 프로세스를 도시한다. 다양한 뷰(view) 및 예시적인 실시예 전반에서, 유사 엘리먼트를 지시하기 위해 유사 참조 부호가 사용된다. 도 1a-9c에서, "a" 도면(예를 들어, 도 1a, 2a 등)은 사시도를 도시하고, "b" 도면(예를 들어, 도 1b, 2b 등)은 "a" 도면에 도시되는 라인 Y1-Y1에 대응하는 Y 방향을 따른 단면도를 도시하고, "c" 도면(예를 들어, 도 1c, 2c 등)은 "a" 도면에 도시되는 라인 X1-X1에 대응하는 X 방향을 따른 단면도를 도시한다. 추가적인 동작이 도 1a-9c에 의해 도시된 프로세스 전에, 프로세스 동안 및 프로세스 후에 제공될 수 있고, 이하에 설명된 동작 중 일부는 방법의 추가적인 실시예를 위해 교체될 수 있거나 제거될 수 있음이 이해된다. 동작/프로세스의 순서는 상호교환가능할 수 있다.1A-9C illustrate various processes in a semiconductor device fabrication process according to some embodiments of the present disclosure. In various views and exemplary embodiments, like reference numerals are used to indicate like elements. In Figures 1A-9C, a " a " drawing (e.g., Figures 1A, 2a, etc.) shows a perspective view, and a "b" (For example, Figs. 1C, 2C, and the like) shows a cross-sectional view along the Y direction corresponding to the line Y1-Y1 along the X direction corresponding to the line X1- Fig. It is understood that additional operations may be provided before, during and after the process illustrated by Figures 1A-9C, and some of the operations described below may be replaced or eliminated for further embodiments of the method . The order of operations / processes may be interchangeable.

먼저 도 1a-1c를 참조하면, 도 1a-1c는 FinFET 구조체를 형성하기 위해 다양한 제조 동작이 수행된 후의 구조체를 도시한다. 도 1a-1c에 도시된 바와 같이, 소스/드레인 구조체(120) 및 금속 게이트(130)는 게이트 유전체 층(131)과 함께 기판(101) 위에 형성된다. 이 구조체는 다음의 제조 동작에 의해 형성될 수 있다.Referring first to Figs. 1A-1C, Figs. 1A-1C illustrate structures after various fabrication operations have been performed to form a FinFET structure. 1A-1C, a source / drain structure 120 and a metal gate 130 are formed on the substrate 101 together with a gate dielectric layer 131. The source / This structure can be formed by the following manufacturing operation.

도 1a-1c에서, 하나 이상의 핀 구조체를 가지는 기판(101)이 도시되고, 하나의 핀 구조체(102)가 도시된다. 예시의 목적을 위해 하나의 핀 구조체가 도시되지만, 다른 실시예는 임의의 수의 핀 구조체를 포함할 수 있음이 이해된다. 일부 실시예에서, 하나 이상의 더미 핀 구조체가 활성 FinFET의 핀 구조체에 인접하여 형성된다. 핀 구조체(102)는 X 방향으로 연장하고 Z방향으로 기판으로부터 돌출하는 한편, 게이트(130)는 Y 방향으로 연장한다.1A-1C, a substrate 101 having one or more pin structures is shown, and one pin structure 102 is shown. Although one pin structure is shown for illustrative purposes, it is understood that other embodiments may include any number of pin structures. In some embodiments, at least one dummy fin structure is formed adjacent to the fin structure of the active FinFET. The pin structure 102 extends in the X direction and protrudes from the substrate in the Z direction, while the gate 130 extends in the Y direction.

기판(101)은 설계 요건(예를 들어, p-타입 기판 또는 n-타입 기판)에 따른 다양한 도핑 구역을 포함할 수 있다. 일부 실시예에서, 도핑 구역은 p-타입 또는 n-타입 도펀트(dopant)로 도핑될 수 있다. 예를 들어, 도핑 구역은 붕소 또는 BF2와 같은 p-타입 도펀트; 인 또는 비소와 같은 n-타입 도펀트; 및/또는 그 조합으로 도핑될 수 있다. 도핑 구역은 n-타입 FinFET을 위해 구성될 수 있거나 대안적으로 p-타입 FinFET을 위해 구성될 수 있다.The substrate 101 may include various doping zones depending on design requirements (e.g., p-type substrate or n-type substrate). In some embodiments, the doping region may be doped with a p-type or n-type dopant. For example, the doping zone may be a p-type dopant such as boron or BF 2 ; N-type dopants such as phosphorus or arsenic; And / or combinations thereof. The doping region may be configured for an n-type FinFET or alternatively it may be configured for a p-type FinFET.

일부 실시예에서, 기판(101)은 실리콘, 다이아몬드(diamond) 또는 게르마늄(germanium)과 같은 적합한 엘리먼트 반도체; Ⅳ-족 화합물 반도체(실리콘 게르마늄(SiGe), 실리콘 탄화물(SiC), 실리콘 게르마늄 탄화물(SiGeC), GeSn, SiSn, SiGeSn), Ⅲ-Ⅴ족 화합물 반도체(예를 들어, 갈륨 비화물(GaAs), 인듐 갈륨 비화물(InGaAs), 인듐 비화물(InAs), 인듐 인화물(InP), 인듐 안티몬화물(InSb), 갈륨 비소 인화물(GaAsP) 또는 갈륨 인듐 인화물(GaInP)) 등과 같은 적합한 합금 또는 화합물 반도체로 제조될 수 있다. 또한, 기판(101)은 성능 강화를 위해 긴장될(strained) 수 있는 에피택셜 층(epitaxial layer: epi-layer)을 포함할 수 있고, 및/또는 실리콘-온-절연체(silicon-on-insulator: SOI) 구조체를 포함할 수 있다.In some embodiments, the substrate 101 may comprise suitable element semiconductors such as silicon, diamond or germanium; III-V compound semiconductors (for example, gallium arsenide (GaAs), silicon carbide (SiC), silicon germanium carbide (SiGeC), GeSn, SiSn, SiGeSn) A suitable alloy or compound semiconductor such as indium gallium arsenide (InGaAs), indium arsenide (InAs), indium phosphide (InP), indium antimonide (InSb), gallium arsenide phosphide (GaAsP) or gallium indium phosphide (GaInP) . The substrate 101 may also include an epitaxial layer (epi-layer) that may be strained for enhanced performance and / or a silicon-on-insulator RTI ID = 0.0 > SOI) < / RTI >

핀 구조체(102)는 예를 들어, 핀 구조체(102)가 인접한 핀 구조체 사이에 형성되도록 트렌치(trench)를 형성하기 위해 패터닝 프로세스를 사용하여 형성될 수 있다. 이하에 더 상세하게 논의되는 바와 같이, 핀 구조체(102)는 FinFET을 형성하기 위해 사용될 것이다.The pin structure 102 may be formed using a patterning process to form a trench such that, for example, the pin structure 102 is formed between adjacent pin structures. As will be discussed in more detail below, the fin structure 102 will be used to form a FinFET.

얕은 트렌치 격리(shallow trench isolation: STI)(105)와 같은 격리 구역이 기판(101) 위의 트렌치에 배치된다. 격리 절연 층(105)을 형성하기 전에, 일부 실시예에서 기판(101) 및 핀 구조체(102)의 바닥부(103)의 측벽 위에 하나 이상의 라이너 층(liner layer)이 형성된다. 일부 실시예에서, 라이너 층은 기판(101) 및 핀 구조체(102)의 바닥부(103)의 측벽 상에 형성되는 제 1 핀 라이너 층(106), 및 제 1 핀 라이너 층(106) 상에 형성되는 제 2 핀 라이너 층(108)을 포함한다. 라이너 층의 각각은 일부 실시예에서 약 1 nm 내지 약 20 nm 사이의 두께를 가진다.An isolation region, such as a shallow trench isolation (STI) 105, is disposed in the trench above the substrate 101. One or more liner layers are formed on the sidewalls of the bottom portion 103 of the substrate 101 and the fin structure 102 in some embodiments prior to forming the isolation layer 105. [ In some embodiments, the liner layer comprises a first pin liner layer 106 formed on the sidewalls of the substrate 101 and the bottom portion 103 of the fin structure 102, and a second pin liner layer 106 formed on the first pin liner layer 106 And a second fin liner layer 108 formed thereon. Each of the liner layers has a thickness between about 1 nm and about 20 nm in some embodiments.

일부 실시예에서, 제 1 핀 라이너 층(106)은 실리콘 산화물을 포함하고 약 0.5 nm 내지 약 5 nm 사이의 두께를 가지고, 제 2 핀 라이너 층(108)은 실리콘 질화물을 포함하고 약 0.5 nm 내지 약 5 nm 사이의 두께를 가진다. 임의의 수용가능한 프로세스가 활용될 수 있더라도, 라이너 층은 물리적 기상 증착(physical vapor deposition: PVD), 화학 기상 증착(chemical vapor deposition: CVD) 또는 원자 층 증착(atomic layer deposition: ALD)과 같은 하나 이상의 프로세스를 통해 증착될 수 있다.In some embodiments, the first fin liner layer 106 comprises silicon oxide and has a thickness between about 0.5 nm and about 5 nm, the second fin liner layer 108 comprises silicon nitride, And has a thickness of about 5 nm. Although any acceptable process may be utilized, the liner layer may be formed of one or more materials such as physical vapor deposition (PVD), chemical vapor deposition (CVD), or atomic layer deposition (ALD) ≪ / RTI > process.

격리 절연 층(105)은 실리콘 산화물, 실리콘 질화물, 실리콘 산화질화물, 플루오르-도핑 규산염 유리(fluorine-doped silicate glass: FSG), 탄소 도핑 산화물과 같은 로우-k 유전체(low-k dielectric), 다공성 탄소 도핑 실리콘 이산화물(porous carbon doped silicon dioxide)과 같은 극도의 로우-k 유전체, 폴리이미드(polyimide)와 같은 폴리머(polymer), 이들의 조합 등과 같은 적합한 유전체 재료로 제조될 수 있다. 일부 실시예에서, 임의의 수용가능한 프로세스가 활용될 수 있더라도, CVD, 유동성(flowable) CVD(FCVD) 또는 스핀-온-글래스 프로세스(spin-on-glass process)와 같은 프로세스를 통해 격리 절연 층(105)이 형성된다. 후속적으로, 핀 구조체(102)의 최상면 위로 연장되는 격리 절연 층(105)의 일부분 및 핀 구조체(102)의 최상면 위에 라이너 층의 일부분이 예를 들어, 에칭 프로세스, 화학 기계적 연마(chemical mechanical polishing: CMP) 등을 사용하여 제거된다.The isolation layer 105 may be formed of a low-k dielectric such as silicon oxide, silicon nitride, silicon oxynitride, fluorine-doped silicate glass (FSG), carbon doped oxide, For example, extreme low-k dielectrics, such as porous carbon doped silicon dioxide, polymers such as polyimide, combinations thereof, and the like. In some embodiments, an isolation insulating layer (not shown) may be formed through a process such as CVD, flowable CVD (FCVD), or spin-on-glass process, 105 are formed. Subsequently, a portion of the isolating insulating layer 105 that extends over the top surface of the fin structure 102 and a portion of the liner layer on top of the fin structure 102 are removed by, for example, an etching process, a chemical mechanical polishing : CMP).

일부 실시예에서, 도 1a-1c에 도시된 바와 같은 핀 구조체(102)의 상부 부분(104)을 노출하기 위해 격리 절연 층(105) 및 라이너 층이 리세스(recessed)된다. 일부 실시예에서, 격리 절연 층(105) 및 라이너 층은 단일 에칭 프로세스 또는 다수의 에칭 프로세스를 사용하여 리세스된다. 격리 절연 층(105)이 실리콘 산화물로 제조되는 일부 실시예에서, 에칭 프로세스는 예를 들어, 건식 에칭(dry etch), 화학 에칭(chemical etch) 또는 습식 세정 프로세스(wet cleaning process)일 수 있다. 예를 들어, 화학 에칭은 희석 플루오르화수소(dilute hydrofluoric: dHF)산과 같은 플루오르-함유 화학물을 사용할 수 있다. 핀 형성 프로세스 후에, 일부 실시예에서, 핀 높이(Hfin)는 약 50 nm 또는 그 이상과 같은 약 30 nm 또는 그 이상이다. 일 실시예에서, 핀 높이는 약 40 nm 내지 약 80 nm 사이에 있다. 핀 높이는 후속적인 프로세싱에 의해 수정될 수 있음이 이해된다. 다른 재료, 프로세스 및 치수가 사용될 수 있다.In some embodiments, the isolation dielectric layer 105 and the liner layer are recessed to expose the top portion 104 of the fin structure 102 as shown in Figs. 1A-1C. In some embodiments, the isolation dielectric layer 105 and the liner layer are recessed using a single etch process or multiple etch processes. In some embodiments in which the isolated insulating layer 105 is made of silicon oxide, the etching process may be, for example, dry etch, chemical etch, or wet cleaning process. For example, chemical etching may use fluorine-containing chemicals such as dilute hydrofluoric acid (dHF). After the fin forming process, in some embodiments, the fin height (H fin ) is about 30 nm or more, such as about 50 nm or more. In one embodiment, the pin height is between about 40 nm and about 80 nm. It is understood that the pin height can be modified by subsequent processing. Other materials, processes and dimensions may be used.

핀 구조체(102)가 형성된 후에, 더미 게이트 유전체 층(dummy gate dielectric layer) 및 더미 게이트 전극(dummy gate electrode)을 포함하는 더미 게이트 구조체(dummy gate structure)가 노출된 핀 구조체(102) 위에 형성된다. 소스/드레인 구역을 정의하고 형성하기 위해 더미 게이트 유전체 층 및 더미 게이트 전극이 후속적으로 사용될 것이다. 일부 실시예에서, 더미 게이트 유전체 층 및 더미 게이트 전극은 노출된 핀 구조체(102) 위에 형성되는 더미 유전체 층 및 더미 게이트 유전체 층 위의 더미 전극 층을 증착하고 패터닝함으로써 형성된다. 더미 유전체 층은 열적 산화(thermal oxidation), CVD, 스퍼터링(sputtering) 또는 더미 유전체 층을 형성하기 위해 기술분야에 알려지고 사용되는 임의의 다른 방법에 의해 형성될 수 있다. 일부 실시예에서, 더미 유전체 층은 실리콘 산화물, 실리콘 질화물, SiCN, SiON 및 SiN과 같은 하나 이상의 적합한 유전체 재료, 탄소 도핑 산화물과 같은 로우-k 유전체, 다공성 탄소 도핑 실리콘 이산화물과 같은 극도의 로우-k 유전체, 폴리이미드와 같은 폴리머 등 또는 그 조합으로 제조될 수 있다. 일 실시예에서, SiO2가 사용된다.After the fin structure 102 is formed, a dummy gate structure including a dummy gate dielectric layer and a dummy gate electrode is formed on the exposed pin structure 102 . A dummy gate dielectric layer and a dummy gate electrode will subsequently be used to define and form the source / drain regions. In some embodiments, a dummy gate dielectric layer and a dummy gate electrode are formed by depositing and patterning a dummy dielectric layer formed over the exposed pin structure 102 and a dummy electrode layer over the dummy gate dielectric layer. The dummy dielectric layer may be formed by thermal oxidation, CVD, sputtering, or any other method known and used in the art to form the dummy dielectric layer. In some embodiments, the dummy dielectric layer comprises at least one suitable dielectric material such as silicon oxide, silicon nitride, SiCN, SiON, and SiN, a low-k dielectric such as a carbon doped oxide, an extreme low-k dielectric such as porous carbon doped silicon dioxide A dielectric, a polymer such as polyimide, or the like, or a combination thereof. In one embodiment, the SiO 2 is used.

후속적으로, 더미 유전체 층 위에 더미 전극 층이 형성된다. 일부 실시예에서, 더미 전극 층은 도전성 재료이고 아모르퍼스 실리콘(amorphous silicon), 폴리 실리콘(poly silicon), 아모르퍼스 게르마늄(amorphous germanium), 폴리 게르마늄, 아모르퍼스 실리콘-게르마늄(amorphous silicon-gemanium), 폴리 실리콘-게르마늄, 금속 질화물, 금속 규화물, 금속 산화물 및 금속을 포함하는 그룹으로부터 선택될 수 있다. 더미 전극 층은 PVD, CVD, 스퍼터 증착 또는 도전성 재료를 증착하기 위해 기술분야에 알려지고 사용되는 다른 기술에 의해 증착될 수 있다. 도전성 및 비-도전성의 다른 재료가 사용될 수 있다. 일 실시예에서, 폴리-Si가 사용된다.Subsequently, a dummy electrode layer is formed on the dummy dielectric layer. In some embodiments, the dummy electrode layer is a conductive material and is made of a material selected from the group consisting of amorphous silicon, poly silicon, amorphous germanium, poly germanium, amorphous silicon-germanium, Polysilicon-germanium, metal nitride, metal silicide, metal oxide, and metal. The dummy electrode layer may be deposited by PVD, CVD, sputter deposition, or other techniques known and used in the art for depositing conductive materials. Other conductive and non-conductive materials may be used. In one embodiment, poly-Si is used.

패터닝에 조력하기 위해 더미 전극 층 위에 마스크 패턴이 형성될 수 있다. 마스크 패턴은 SiO2, SiCN, SiON, Al2O3, SiN 또는 다른 적합한 재료 중 하나 이상의 층으로 제조된다. 마스크 패턴을 에칭 마스크로서 사용함으로써, 더미 전극 층이 더미 게이트 전극 내로 패터닝된다. 일부 실시예에서, 더미 유전체 층이 또한 더미 게이트 유전체 층을 정의하기 위해 패터닝된다.A mask pattern may be formed on the dummy electrode layer to assist in patterning. The mask pattern is made of at least one layer of SiO 2 , SiCN, SiON, Al 2 O 3 , SiN or other suitable material. By using the mask pattern as an etching mask, the dummy electrode layer is patterned into the dummy gate electrode. In some embodiments, a dummy dielectric layer is also patterned to define a dummy gate dielectric layer.

후속적으로, 측벽 스페이서(sidewall spacer)(132)는 더미 게이트 구조체의 측벽을 따라 형성된다. 측벽 스페이서(132)는 더미 게이트 구조체, 핀 구조체(102) 및 격리 절연 층(105) 위에 증착되는 절연 층을 증착하고 이방성으로 에칭함으로써 형성될 수 있다. 일부 실시예에서, 측벽 스페이서(132)는 실리콘 질화물로 형성되고 단일-층 구조를 가질 수 있다. 대안적인 실시예에서, 측벽 스페이서(132)는 복수의 층을 포함하는 합성물 구조(composite structure)를 가질 수 있다. 예를 들어, 측벽 스페이서(132)는 실리콘 산화물 층 위에 실리콘 산화물 층 및 실리콘 질화물 층을 포함할 수 있다. SiO2, SiCN, SiON, SiN, SiOCN과 같은 다른 재료, 다른 로우 k 재료 또는 그 조합이 또한 사용될 수 있다. 측벽 스페이서(132)의 두께는 일부 실시예에서 약 5 nm 내지 약 40 nm의 범위에 있다.Subsequently, a sidewall spacer 132 is formed along the sidewalls of the dummy gate structure. The sidewall spacers 132 may be formed by depositing and anisotropically etching an insulating layer deposited over the dummy gate structure, the pin structure 102, and the isolation dielectric layer 105. In some embodiments, the sidewall spacers 132 are formed of silicon nitride and may have a single-layer structure. In an alternate embodiment, the sidewall spacers 132 may have a composite structure comprising a plurality of layers. For example, the sidewall spacers 132 may comprise a silicon oxide layer and a silicon nitride layer over the silicon oxide layer. The other material, other low-k material, or a combination thereof, such as SiO 2, SiCN, SiON, SiN , SiOCN , may also be used. The thickness of the sidewall spacers 132 ranges from about 5 nm to about 40 nm in some embodiments.

더미 게이트 구조체 및 측벽 스페이서가 형성된 후에, 소스/드레인(S/D) 구조체(120)는 더미 게이트 구조체의 대향하는 측을 따라 핀 구조체(120)의 노출된 부분(104) 상에 형성된다. S/D 구조체(120)는 노출된 핀 구조체(104)의 측면 및 최상면 상에 에피택셜로 형성될 수 있다. 일부 실시예에서, 핀 구조체(104)가 리세스될 수 있고 S/D 구조체는 리세스된 핀의 노출된 부분 상에 에피택셜로 형성된다. 소스/드레인 구역에서의 에피택셜 성장 재료의 사용은 소스/드레인 구역이 FinFET의 채널에서 압박을 가하게 허용한다.After the dummy gate structure and sidewall spacers are formed, a source / drain (S / D) structure 120 is formed on the exposed portion 104 of the fin structure 120 along the opposite side of the dummy gate structure. The S / D structure 120 may be formed epitaxially on the side and top surface of the exposed pin structure 104. In some embodiments, the pin structure 104 may be recessed and the S / D structure is formed epitaxially on the exposed portion of the recessed pin. The use of epitaxially grown material in the source / drain regions allows the source / drain regions to exert pressure on the channel of the FinFET.

S/D 구조체(120)를 위해 사용되는 재료는 n-타입 FinFET이 채널 구역에서의 장력(tensile stress)을 가하게 하기 위해 일 타입의 재료가 사용되고 p-타입 FinFET이 압축력(compressive stress)을 가하게 하기 위해 다른 타입의 재료가 사용되도록 n-타입 및 p-타입 FinFET에 대해 변화될 수 있다. 예를 들어, SiP 또는 SiC는 n-타입 FinFET을 형성하기 위해 사용될 수 있고, SiGe 또는 Ge는 p-타입 FinFET을 형성하기 위해 사용될 수 있다. 다른 재료가 사용될 수 있다. 일부 실시예에서, S/D 구조체(120)는 서로 다른 조성 및/또는 서로 다른 도펀트 농도(dopant concentration)를 가지는 2개 또는 그 이상의 에피택셜 층을 포함한다.The material used for the S / D structure 120 is that one type of material is used to impose tensile stress in the channel region of the n-type FinFET and a compressive stress is applied to the p- Type < / RTI > and p-type FinFETs so that other types of materials can be used for the < RTI ID = For example, SiP or SiC may be used to form an n-type FinFET, and SiGe or Ge may be used to form a p-type FinFET. Other materials may be used. In some embodiments, the S / D structure 120 includes two or more epitaxial layers having different compositions and / or different dopant concentrations.

n-타입 디바이스 및 p-타입 디바이스를 위해 서로 다른 재료가 활용되는 일부 실시예에서, 다른 구조체(예를 들어, p-타입 핀 구조체)를 위해 에피택셜 재료를 형성하고, 다른 것에 대한 프로세스를 반복하면서 하나의 구조체(예를 들어, n-타입 핀 구조체)가 마스킹된다. S/D 구조체(120)는 적절한 도펀트를 주입하기 위해 주입 프로세스(implanting process)를 통해, 또는 재료가 성장함에 따른 제자리(in-situ) 도핑에 의해 도핑될 수 있다. 예를 들어, 채널이 Si 또는 Si1 - xGex일 수 있는 p-채널 FET에 대해, 도핑된 에피택셜 막이 붕소-도핑된 Si1 - yGey일 수 있고, 여기서 y는 홀 이동성 강화(hole mobility enhancement)를 위해 채널에서 세로의 압축 변형을 유발시키기 위해 x와 같거나 더 크다. 채널이 Si일 수 있는 n-채널 FET에 대해, 도핑된 에피택셜 막은 예를 들어, 인-도핑(phosphorus-doped) 실리콘(Si:P) 또는 실리콘-탄소(Si1 - zCz:P)일 수 있다. 채널이 InmGa1 - mAs와 같은 화합물 반도체인 경우에, 도핑 에피택셜 막은 예를 들어, InnGa1 - nAs일 수 있고, 여기서 n은 m과 같거나 작다.In some embodiments where different materials are utilized for the n-type device and the p-type device, the epitaxial material is formed for another structure (e.g., p-type pin structure) and the process for the other is repeated While one structure (e.g., an n-type pin structure) is masked. The S / D structure 120 can be doped through an implanting process to implant a suitable dopant, or by in-situ doping as the material grows. For example, the channel is Si or Si 1-for the p- channel FET, which may be x Ge x, a doped epitaxial film is a boron-doped Si 1-y Ge y can be a, where y is enhanced hole mobility ( is greater than or equal to x to induce longitudinal compressive strain in the channel for hole mobility enhancement. For an n-channel FET in which the channel may be Si, the doped epitaxial film may be formed of, for example, phosphorus-doped silicon (Si: P) or silicon-carbon (Si 1 - z C z : Lt; / RTI > In the case where the channel is a compound semiconductor such as In m Ga 1 - m As, the doping epitaxial film may be, for example, In n Ga 1 - n As, where n is equal to or less than m.

도 1a 및 1b에 도시된 바와 같이, S/D 구조체(120)는 핀 구조체(104)보다 더 넓은 폭을 가지는 Y 방향으로 연장한다. 일부 실시예에서, Y 방향으로의 S/D 구조체(120)의 단면은 실질적으로 정육각형 형상(hexagonal shape)을 가지고, 다른 실시예에서, S/D 구조체(120)의 단면은 다이아몬드 형상, 기둥 형상(pillar shape) 또는 바 형상(bar shape)을 가진다. 일부 실시예에서 Y 방향으로의 S/D 구조체의 폭(WSD)은 약 25 nm 내지 약 100 nm의 범위에 있다.As shown in FIGS. 1A and 1B, the S / D structure 120 extends in the Y direction, which has a wider width than the pin structure 104. In some embodiments, the cross section of the S / D structure 120 in the Y direction has a substantially hexagonal shape, and in another embodiment, the cross section of the S / D structure 120 is diamond shaped, (pillar shape) or a bar shape (bar shape). In some embodiments, the width (W SD ) of the S / D structure in the Y direction is in the range of about 25 nm to about 100 nm.

S/D 구조체(120)가 형성된 후에, S/D 구조체(120) 및 더미 게이트 구조체의 측벽 스페이서(132) 상에 커버하기 위해 라이너 층으로서의 제 1 절연 층(122)이 증착된다. 제 1 절연 층(122)은 후속적으로 형성된 유전체 재료의 패터닝 동안 에칭 스톱(etch stop)으로서 동작한다. 일부 실시예에서, 제 1 절연 층(122)은 SiO2, SiCN, SiON, SiN 및 다른 적합한 유전체 재료를 포함한다. 일 실시예에서, SiN이 사용된다. 제 1 절연 층(122)은 상기 언급된 재료의 조합을 포함하는 복수의 층으로 제조될 수 있다. 임의의 수용가능한 프로세스가 활용될 수 있더라도, 제 1 절연 층(122)은 PVD, CVD 또는 ALD와 같은 하나 이상의 프로세스를 통해 증착될 수 있다. 다른 재료 및/또는 프로세스가 사용될 수 있다. 일부 실시예에서, 제 1 절연 층(122)은 약 0.5 nm 내지 약 5 nm 사이의 두께를 가진다. 다른 실시예에서 다른 두께가 사용될 수 있다.After the S / D structure 120 is formed, a first insulating layer 122 is deposited as a liner layer to cover the S / D structure 120 and the sidewall spacers 132 of the dummy gate structure. The first insulating layer 122 acts as an etch stop during patterning of the subsequently formed dielectric material. In some embodiments, the first insulating layer 122 includes SiO 2, SiCN, SiON, SiN, and other suitable dielectric material. In one embodiment, SiN is used. The first insulating layer 122 may be made of a plurality of layers comprising a combination of the above-mentioned materials. Although any acceptable process may be utilized, the first insulating layer 122 may be deposited via one or more processes such as PVD, CVD, or ALD. Other materials and / or processes may be used. In some embodiments, the first insulating layer 122 has a thickness between about 0.5 nm and about 5 nm. Other thicknesses may be used in other embodiments.

제 1 절연 층(122)이 형성된 후에, 제 1 희생 층(115)이 제 1 절연 층(122) 위에 형성된다. 일부 실시예에서, 제 1 희생 층은 SiO2, SiCN, SiON, SiOC, SiOH, SiN과 같은 유전체 재료 또는 다른 적합한 유전체 재료 중 하나 이상의 층을 포함한다. 일부 실시예에서, 임의의 수용가능 프로세스가 활용될 수 있더라도, CVD, PVD, ALD, FCVD 또는 스핀-온-글래스 프로세스(spin-on-glass process)와 같은 막 형성 프로세스를 통해 형성된다. 후속적으로, 제 1 절연 층(122)의 일부분은 예를 들어, 더미 게이트 전극의 노출된 상부 표면에 에칭 프로세스, CMP 등을 사용하여 제거된다.After the first insulating layer 122 is formed, a first sacrificial layer 115 is formed on the first insulating layer 122. In some embodiments, the first sacrificial layer comprises a dielectric material, or at least one layer of another suitable dielectric material such as SiO 2, SiCN, SiON, SiOC , SiOH, SiN. In some embodiments, although any acceptable process may be utilized, it is formed through a film-forming process such as CVD, PVD, ALD, FCVD or a spin-on-glass process. Subsequently, a portion of the first insulating layer 122 is removed, for example, using an etch process, CMP, etc., on the exposed upper surface of the dummy gate electrode.

후속적으로, 더미 게이트 전극 및 더미 게이트 유전체 층이 제거된다. 제거 프로세스는 하나 이상의 에칭 프로세스를 포함할 수 있다. 예를 들어, 일부 실시예에서, 제거 프로세스는 건식 또는 습식 에칭을 사용하여 선택적으로 에칭하는 것을 포함한다. 건식 에칭이 사용될 때, 프로세스 가스는 CF4, CHF3, NF3, SF6, Br2, HBr, Cl2 또는 그 조합을 포함할 수 있다. N2, O2 또는 Ar과 같은 희석 가스(diluting gas)가 임의선택적으로 사용될 수 있다. 습식 에칭이 사용될 때, 에칭 용액(etching solution)(에천트(etchant))은 NH4OH:H2O2:H2O(APM), NH2OH, KOH, HNO3:NH4F:H2O 및/또는 등을 포함할 수 있다. 더미 게이트 유전체 층은 희석된 HF 산과 같은 습식 에칭 프로세스를 사용하여 제거될 수 있고, 사용될 수 있다. 다른 프로세스 및 재료가 사용될 수 있다.Subsequently, the dummy gate electrode and the dummy gate dielectric layer are removed. The removal process may include one or more etch processes. For example, in some embodiments, the removal process includes selectively etching using dry or wet etching. When dry etching is used, the process gas may include CF 4 , CHF 3 , NF 3 , SF 6 , Br 2 , HBr, Cl 2, or combinations thereof. A diluting gas such as N 2 , O 2 or Ar may optionally be used. When wet etching is used, an etching solution (etchant) can be applied to the surface of the substrate, such as NH 4 OH: H 2 O 2 : H 2 O (APM), NH 2 OH, KOH, HNO 3 : NH 4 F: H 2 O and / or the like. The dummy gate dielectric layer may be removed using a wet etch process, such as dilute HF acid, and used. Other processes and materials may be used.

더미 게이트 구조체가 제거된 후에, 게이트 유전체 층(131)은 핀 구조체(104)의 채널 구역 위에 형성된다. 일부 실시예에서, 게이트 유전체 층(131)은 (예를 들어, 3.9보다 더 큰 유전 상수를 가지는) 하나 이상의 하이-k 유전체 층을 포함한다. 예를 들어, 하나 이상의 게이트 유전체 층은 Hf, Al, Zr, 그 조합의 금속 산화물 또는 규화물 중 하나 이상의 층, 및 그 다수-층(multi-layer)을 포함할 수 있다. 다른 적합한 재료는 금속 산화물, 금속 합금 산화물 및 그 조합의 형태로 La, Mg, Ba, Ti, Pb, Zr을 포함한다. 예시적인 재료는 MgOx, BaTixOy, BaSrxTiyOz, PbTixOy, PbZrxTiyOz, SiCN, SiON, SiN, Al2O3, La2O3, Ta2O3, Y2O3, HfO2, ZrO2, HfSiON, YGexOy, YSixOy 및 LaAlO3 등을 포함한다. 게이트 유전체 층(131)의 형성 방법은 분자-빔 증착(molecular-beam deposition: MBD), ALD, PVD 등을 포함한다. 일부 실시예에서, 게이트 유전체 층(131)은 약 0.5 nm 내지 약 5 nm의 두께를 가진다. 일부 실시예에서, 게이트 유전체 층(131)이 또한 측벽 스페이서(132)의 측 상에 형성된다.After the dummy gate structure is removed, a gate dielectric layer 131 is formed over the channel region of the fin structure 104. In some embodiments, the gate dielectric layer 131 includes one or more high-k dielectric layers (e.g., having a dielectric constant greater than 3.9). For example, the one or more gate dielectric layers may comprise at least one layer of Hf, Al, Zr, a combination of the metal oxides or silicides thereof, and a multi-layer thereof. Other suitable materials include La, Mg, Ba, Ti, Pb, Zr in the form of metal oxides, metal alloy oxides and combinations thereof. Exemplary materials include MgO x , BaTi x O y , BaSr x Ti y O z , PbTi x O y , PbZr x Ti y O z , SiCN, SiON, SiN, Al 2 O 3 , La 2 O 3 , Ta 2 O 3 , Y 2 O 3 , HfO 2 , ZrO 2 , HfSiON, YGe x O y , YSi x O y, and LaAlO 3 . Methods of forming the gate dielectric layer 131 include molecular-beam deposition (MBD), ALD, PVD, and the like. In some embodiments, the gate dielectric layer 131 has a thickness of about 0.5 nm to about 5 nm. In some embodiments, a gate dielectric layer 131 is also formed on the side of the sidewall spacers 132.

일부 실시예에서, 계면 층(interfacial layer)(도시되지 않음)은 게이트 유전체 층(131)을 형성하기 전에 채널 구역(104) 위에 형성될 수 있고, 게이트 유전체 층(131)은 계면 층 위에 형성된다. 계면 층은 하부의 반도체 재료로부터 후속적으로 형성된 하이-k 유전체 층을 버퍼링하는데 도움이 된다. 일부 실시예에서, 계면 층은 화학 반응에 의해 형성될 수 있는 화학 실리콘 산화물이다. 예를 들어, 화학 실리콘 산화물은 탈이온화수(deionized water) + 오존(DIO3), NH4OH+H2O2+H2O(APM) 또는 다른 방법을 사용하여 형성될 수 있다. 다른 실시예는 계면 층을 위한 서로 다른 재료 또는 프로세스를 활용할 수 있다. 일 실시예에서, 계면 층은 약 0.2 nm 내지 약 1 nm의 두께를 가진다.In some embodiments, an interfacial layer (not shown) may be formed over the channel region 104 prior to forming the gate dielectric layer 131, and a gate dielectric layer 131 is formed over the interface layer . The interfacial layer aids in buffering the subsequently formed high-k dielectric layer from the underlying semiconductor material. In some embodiments, the interface layer is a chemical silicon oxide that can be formed by a chemical reaction. For example, chemical silicon oxides can be formed using deionized water + ozone (DIO 3 ), NH 4 OH + H 2 O 2 + H 2 O (APM), or other methods. Other embodiments may utilize different materials or processes for the interfacial layer. In one embodiment, the interface layer has a thickness from about 0.2 nm to about 1 nm.

게이트 유전체 층(131)이 형성된 후에, 게이트 전극(130)은 게이트 유전체 층(131) 위에 형성된다. 게이트 전극(130)은 W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, Co, Pd, Ni, Re, Ir, Ru, Pt 및 Zr의 그룹으로부터 선택된 금속일 수 있다. 일부 실시예에서, 게이트 전극(130)은 TiN, WN, TaN 및 Ru의 그룹으로부터 선택된 금속을 포함한다. Ti-Al, Ru-Ta, Ru-Zr, Pt-Ti, Co-Ni 및 Ni-Ta와 같은 금속 합금이 사용될 수 있고 및/또는 WNx, TiNx, MoNx, TaNx 및 TaSixNy와 같은 금속 질화물이 사용될 수 있다. 일부 실시예에서, 게이트 전극(130)은 약 5 nm 내지 약 100 nm의 범위에서의 두께를 가진다. 게이트 전극(130)은 ALD, CVD, PVD, 도금(plating) 또는 그 조합과 같은 적합한 프로세스를 사용하여 형성될 수 있다. 과잉 재료를 제거하기 위해 CMP와 같은 평탄화 프로세스가 수행될 수 있다.After the gate dielectric layer 131 is formed, a gate electrode 130 is formed over the gate dielectric layer 131. The gate electrode 130 may be a metal selected from the group of W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, Co, Pd, Ni, Re, Ir, Ru, have. In some embodiments, the gate electrode 130 comprises a metal selected from the group of TiN, WN, TaN, and Ru. Metal alloys such as Ti-Al, Ru-Ta, Ru-Zr, Pt-Ti, Co-Ni and Ni-Ta may be used and / or WN x , TiN x , MoN x , TaN x and TaSi x N y May be used. In some embodiments, the gate electrode 130 has a thickness in the range of about 5 nm to about 100 nm. The gate electrode 130 may be formed using a suitable process such as ALD, CVD, PVD, plating, or a combination thereof. A planarization process such as CMP may be performed to remove the excess material.

본 개시물의 특정 실시예에서, 게이트 전극(130)은 게이트 유전체 층(131) 상에 배치되는 하나 이상의 일 함수 조정 층(work function adjustment layer)(도시되지 않음)을 포함한다. 일 함수 조정 층은 TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi 또는 TiAlC의 단일 층 또는 이들 재료 중 2개 또는 그 이상의 다수층과 같은 도전성 재료로 제조된다. n-채널 FinFET에 대해, TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi 및 TaSi 중 하나 이상은 일 함수 조정 층으로서 사용되고, p-채널 FinFET에 대해, TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC 및 Co 중 하나 이상이 일 함수 조정 층으로서 사용된다.In certain embodiments of the disclosure, the gate electrode 130 includes one or more work function adjustment layers (not shown) disposed on the gate dielectric layer 131. The work function adjustment layer is made of a single layer of TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi or TiAlC or a conductive material such as multiple layers of two or more of these materials. At least one of TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi and TaSi is used as the work function adjustment layer for the n-channel FinFET and TiAlC, Al, TiAl, TaN, At least one of TaAlC, TiN, TiC and Co is used as a work function adjusting layer.

그 후에, 게이트 전극(130), 게이트 유전체 층(131) 및 일 함수 조정 층이 리세스되고, 게이트 캡 층(134)이 리세스된 게이트 전극(130) 상에 형성된다. 일부 실시예에서, 게이트 전극(130)이 주로 W로 제조될 때, 게이트 전극은 예를 들어, 24℃ 내지 150℃의 온도 범위에서, 그리고 1 Torr 미만의 압력에서 Cl2/O2/BCl3를 사용하여 건식 에칭 프로세스를 사용하여 리세스될 수 있다.Thereafter, the gate electrode 130, the gate dielectric layer 131, and the work function adjustment layer are recessed, and a gate cap layer 134 is formed on the recessed gate electrode 130. [ In some embodiments, when the gate electrode 130 is mainly made of W, the gate electrode may be formed, for example, in a temperature range of 24 占 폚 to 150 占 폚, and at a pressure of less than 1 Torr, Cl 2 / O 2 / BCl 3 May be recessed using a dry etch process.

게이트 전극(130)을 리세싱한 후에, 후속적인 프로세스 동안 게이트 전극(130)을 보호하기 위해 게이트 캡 층(134)이 리세스에 형성된다. 일부 실시예에서, 게이트 캡 층(134)은 SiO2, SiCN, SiON, SiN, Al2O3, La2O3, SiN, 그 조합 등을 포함하지만 다른 적합한 유전체 막이 사용될 수 있다. 게이트 캡 층(134)은 예를 들어, CVD, PVD, 스핀-온(spin-on) 등을 사용하여 형성될 수 있다. 다른 적합한 프로세스 단계가 사용될 수 있다. 과잉 재료를 제거하기 위해 CMP와 같은 평탄화 프로세스가 수행될 수 있다.After recessing the gate electrode 130, a gate cap layer 134 is formed in the recess to protect the gate electrode 130 during a subsequent process. In some embodiments, the gate cap layer 134 includes SiO 2, SiCN, SiON, SiN , Al 2 O 3, La 2 O 3, SiN, combinations thereof, etc., but may be another suitable dielectric film. The gate cap layer 134 may be formed using, for example, CVD, PVD, spin-on, or the like. Other suitable process steps may be used. A planarization process such as CMP may be performed to remove the excess material.

도 2a-2c는 본 개시물의 일부 실시예에 따른 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다.Figures 2A-2C illustrate an exemplary view of one of the various stages for fabricating a FinFET device according to some embodiments of the present disclosure.

도 2a-2c에 도시된 바와 같이, 제 1 희생 층(115)은 개구(116)를 형성하기 위해 S/D 구조체(120)의 양쪽 측면 구역으로부터 적어도 부분적으로 제거된다. 일부 실시예에서, 제 1 희생 층(115) 전부가 제거된다. 제 1 희생 층(115)은 건식 에칭 및/또는 습식 에칭과 같은 적합한 에칭 동작에 의해 제거될 수 있다. 에칭 동작은 실질적으로 제 1 절연 층(122)에서 중단한다. 일부 실시예에서, 제 1 절연 층(122)은 약 0.5 nm 내지 약 10 nm 사이의 두께를 가진다.As shown in Figs. 2A-2C, the first sacrificial layer 115 is at least partially removed from both side regions of the S / D structure 120 to form the opening 116. In some embodiments, all of the first sacrificial layer 115 is removed. The first sacrificial layer 115 may be removed by a suitable etching operation such as dry etching and / or wet etching. The etching operation substantially stops at the first insulating layer 122. [ In some embodiments, the first insulating layer 122 has a thickness between about 0.5 nm and about 10 nm.

도 3a-3c는 본 개시물의 일부 실시예에 따른 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다.Figures 3A-3C illustrate an exemplary view of one of the various stages for fabricating a FinFET device in accordance with some embodiments of the present disclosure.

개구(116)가 형성된 후에, 제 2 희생 층(140)이 개구(116)에 형성된다. 제 2 희생 층(140)은 제 1 절연 층(122) 및/또는 격리 절연 층(105)의 재료에 관하여 더 높은(예를 들어, 5 또는 그 이상) 에칭 선택성을 가지는 재료로 제조된다. 일부 실시예에서, 제 2 희생 층(140)은 결정성(crystalline), 다결정성(polycrystalline) 또는 아모르퍼스일 수 있고 도핑 또는 비-도핑(un-doped)될 수 있는 Si, SiGe, SiC, Ge, SiGeC 및 GeSn과 같은 Ⅳ족 재료의 하나 이상의 층으로 제조된다. 다른 실시예에서, 제 2 희생 층(140)은 SiOC, SiC, SiON, SiCN, SiOCN, SiN 및/또는 SiO2의 하나 이상의 실리콘 기반 유전체 층으로 제조된다. 알루미늄 산화물, 알루미늄 산화탄화물(oxy-carbide) 및 알루미늄 산화질화물과 같은 알루미늄 기반 유전체 재료가 사용될 수 있다. SOC(스핀-온-카본(spin-on-carbon))가 또한 사용될 수 있다. 특정 실시예에서, 제 2 희생 층(140)은 GaAs, GaN, InGaAs, InAs, InP, InSb, InAsSb, AlN 및/또는 AlGaN(이에 제한되지 않음)을 포함하는 Ⅲ-Ⅴ족 화합물 반도체의 하나 이상의 층으로 제조된다. 임의의 수용가능한 프로세스가 활용될 수 있더라도, 제 2 희생 층(140)은 PVD, CVD 또는 ALD와 같은 하나 이상의 프로세스를 통해 증착될 수 있다. 다른 재료 및/또는 프로세스가 사용될 수 있다. 일 실시예에서, Si는 제 2 희생 층으로서 사용된다.After the opening 116 is formed, a second sacrificial layer 140 is formed in the opening 116. The second sacrificial layer 140 is made of a material having a higher (e.g., 5 or more) etch selectivity with respect to the material of the first insulating layer 122 and / or the isolation insulating layer 105. In some embodiments, the second sacrificial layer 140 may be a crystalline, polycrystalline, or amorphous material and may be Si, SiGe, SiC, Ge (which may be doped or un- , SiGeC, and GeSn. ≪ / RTI > In another embodiment, the second sacrificial layer 140 is made of SiOC, SiC, SiON, SiCN, SiOCN, SiN and / or at least one silicon-based dielectric layer of SiO 2. Aluminum-based dielectric materials such as aluminum oxide, aluminum oxy-carbide and aluminum oxynitride may be used. SOC (spin-on-carbon) can also be used. In a particular embodiment, the second sacrificial layer 140 may comprise one or more of III-V compound semiconductors, including but not limited to GaAs, GaN, InGaAs, InAs, InP, InSb, InAsSb, AlN and / Layer. Although any acceptable process may be utilized, the second sacrificial layer 140 may be deposited via one or more processes such as PVD, CVD, or ALD. Other materials and / or processes may be used. In one embodiment, Si is used as the second sacrificial layer.

에칭-백 프로세스(etch-back process) 또는 CMP와 같은 평탄화 동작은 제 2 희생 층(140)의 상부 표면을 평탄화하기 위해 수행될 수 있다. 평탄화 동작에 의해, 게이트 캡 층(134)의 상부 표면이 노출된다. 평탄화 동작 후에, 격리 절연 층(105) 상의 제 1 절연 층(122)의 표면으로부터 측정된 제 2 희생 층의 높이(Hsacr)는 일부 실시예에서 약 100 nm 내지 약 350 nm의 범위에 있다.A planarization operation, such as an etch-back process or CMP, may be performed to planarize the top surface of the second sacrificial layer 140. By the planarization operation, the upper surface of the gate cap layer 134 is exposed. The height H sacr of the second sacrificial layer measured from the surface of the first insulating layer 122 on the isolated insulating layer 105 is in a range of about 100 nm to about 350 nm in some embodiments.

도 4a-4c는 본 개시물의 일부 실시예에 따른 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다.Figures 4A-4C illustrate an exemplary view of one of the various stages for fabricating a FinFET device in accordance with some embodiments of the present disclosure.

제 2 희생 층(140)이 형성된 후에, 마스크 패턴(142)은 제 2 희생 층(140) 위에 형성된다. 마스크 패턴(142)은 포토-에칭(photo-etching) 동작을 사용하여 적합한 마스크 재료의 층을 패터닝함으로써 형성될 수 있다. 마스크 패턴(142)은 X 방향으로 연장하고, 일부 실시예에서 약 5 nm 내지 약 100 nm의 범위에서, 그리고 다른 실시예에서 약 10 nm 내지 약 40 nm의 범위에서 Y 방향으로 폭 (Whm)을 가진다. 폭(Whm)은 설계 규칙 및/또는 반도체 디바이스의 타입에 따라 다른 값일 수 있다.After the second sacrificial layer 140 is formed, the mask pattern 142 is formed on the second sacrificial layer 140. The mask pattern 142 may be formed by patterning a layer of suitable mask material using a photo-etching operation. The mask pattern 142 extends in the X direction and has a width (W hm ) in the Y direction in the range of about 5 nm to about 100 nm in some embodiments, and in a range of about 10 nm to about 40 nm in other embodiments, . The width W hm may be a different value depending on the design rules and / or the type of semiconductor device.

마스크 패턴(142)은 SiO2, SiN 및/또는 SiON 및/또는 TiN과 같은 유전체 재료의 하나 이상의 층으로 제조된다. 임의의 수용가능한 프로세스가 활용될 수 있더라도, 마스크 패턴(142)을 위한 재료가 PVD, CVD 또는 ALD와 같은 하나 이상의 프로세스를 통해 증착될 수 있다. 다른 재료 및/또는 프로세스가 사용될 수 있다.A mask pattern 142 is made of one or more layers of dielectric material such as SiO 2, SiN and / or SiON and / or TiN. Although any acceptable process may be utilized, the material for the mask pattern 142 may be deposited through one or more processes such as PVD, CVD, or ALD. Other materials and / or processes may be used.

에칭 마스크로서 마스크 패턴(142)을 사용함으로써, 제 2 희생 층(140), 제 1 절연 층(122) 및 S/D 구조체(120)가 이방성으로 에칭됨으로써, 패터닝된 제 2 희생 층(140) 및 S/D 구조체(120)에 인접한 개구(144)를 형성한다. 에칭 동작은 서로 다른 플라즈마 가스를 사용하여 다수의 에칭 프로세스를 포함할 수 있다.The second sacrificial layer 140, the first insulating layer 122 and the S / D structure 120 are anisotropically etched by using the mask pattern 142 as an etching mask to form the patterned second sacrificial layer 140, And an opening 144 adjacent to the S / D structure 120. The etching operation may include a plurality of etching processes using different plasma gases.

Si 기반 재료(예를 들어, 폴리-Si 또는 아모르퍼스 Si)가 제 2 희생 층(140)으로서 사용될 때, 에칭은 예를 들어, HBr을 포함하는 가스 또는 Cl2 및 SF6를 포함하는 가스를 사용하여 플라즈마 건식 에칭에 의해 수행될 수 있다. SOC(스핀-온-카본)가 제 2 희생 층(140)으로서 사용될 때, 예를 들어, N2 및 H2를 포함하는 가스 또는 SO2 및 O2를 포함하는 가스를 사용하여 플라즈마 건식 에칭에 의해 에칭이 수행될 수 있다. FCVD에 의해 형성되는 Si 산화물 기반 재료가 제 2 희생 층(140)으로서 사용될 때, 예를 들어, 플루오로카본(fluorocarbon) 및/또는 플루오르를 포함하는 가스를 사용하여 플라즈마 건식 에칭에 의해 수행될 수 있다. Ge 기반 재료(예를 들어, Ge 또는 SiGe)가 제 2 희생 층(140)으로서 사용될 때, 예를 들어, 플루오로카본을 포함하는 가스 또는 할로겐을 포함하는 가스를 사용하여 플라즈마 건식 에칭에 의해 에칭이 수행될 수 있다. 에칭 동안, 기판은 약 20℃ 내지 약 200℃ 사이의 온도에서 가열될 수 있다.When a Si-based material (e.g., poly-Si or amorphous Si) is used as the second sacrificial layer 140, the etching may be performed by, for example, a gas containing HBr or a gas containing Cl 2 and SF 6 For example, by plasma dry etching. When SOC (spin-on-carbon) is used as the second sacrificial layer 140, for example, a gas containing N 2 and H 2 or a gas containing SO 2 and O 2 is used for plasma dry etching Etching can be performed. When a Si oxide based material formed by FCVD is used as the second sacrificial layer 140, it can be performed by plasma dry etching using a gas including, for example, fluorocarbon and / or fluorine have. When a Ge based material (e. G., Ge or SiGe) is used as the second sacrificial layer 140, it can be etched by plasma dry etching using, for example, a gas comprising fluorocarbon or a gas containing halogen Can be performed. During the etching, the substrate may be heated at a temperature between about 20 [deg.] C and about 200 [deg.] C.

이러한 에칭 동작에 의해, S/D 구조체(120)의 에칭된 측면이 상부 핀 구조체(104)의 측면에 실질적으로 병렬이도록 S/D 구조체(120)의 적어도 측면 부분이 제거된다. (도 1b에 도시된 WSD와 도 3b에 도시된 Whm 사이의 차이의 절반과 실질적으로 동일한) S/D 구조체(120)의 일 측 부분의 에칭량은 일부 실시예에서 약 5 nm 내지 약 40 nm의 범위에 있다. 양쪽 측면 부분이 에칭될 때, S/D 구조체(120)의 패터닝(에칭) 후에, Y 방향으로 패터닝된 S/D 구조체(120)의 폭은 약 10 nm 내지 약 40 nm의 범위에 있다.This etching operation removes at least a lateral portion of the S / D structure 120 such that the etched side of the S / D structure 120 is substantially parallel to the side of the upper fin structure 104. The amount of etching of one side portion of the S / D structure 120 (which is substantially equal to half the difference between W SD shown in FIG. 1B and W hm shown in FIG. 3B) is about 5 nm to about 5 nm in some embodiments 40 nm. After patterning (etching) of the S / D structure 120, when the both side portions are etched, the width of the S / D structure 120 patterned in the Y direction is in the range of about 10 nm to about 40 nm.

도 4a 및 4b에서, S/D 구조체(120)의 양쪽 측면 부분이 에칭되고 다른 실시예에서, 서로 다른 형상을 가지는 마스크 패턴(142)을 사용함으로써 S/D 구조체(120)의 일 측 부분만이 에칭된다.4A and 4B, only one side portion of the S / D structure 120 is etched by using mask patterns 142 having different shapes in both side portions of the S / D structure 120, Is etched.

도 4a에 도시된 바와 같이, 제 2 희생 층(140) 및 소스/드레인 구조체(120)의 패터닝 동안 게이트 캡 층(134)이 실질적으로 에칭되지 않는다. 다시 말해, 마스크 패턴(142)을 위한 재료는 게이트 캡 층(134)에 관하여 높은 에칭 선택성(예를 들어, 5 또는 그 이상)을 가진다.The gate cap layer 134 is not substantially etched during the patterning of the second sacrificial layer 140 and the source / drain structure 120, as shown in FIG. 4A. In other words, the material for the mask pattern 142 has a high etch selectivity (e.g., 5 or more) with respect to the gate cap layer 134.

도 5a-5c는 본 개시물의 일부 실시예에 따라 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다.Figures 5A-5C illustrate an exemplary view of one of the various stages for fabricating FinFET devices in accordance with some embodiments of the present disclosure.

마스크 패턴(142)은 CMP와 같은 적합한 에칭 동작 및/또는 평탄화 동작을 사용함으로써 제거된다. 일부 실시예에서 마스크 패턴(142)이 제거된 후에, 격리 절연 층(105)의 표면으로부터 제 2 희생 층(140)의 높이(Hetch)는 약 80 nm 내지 약 250 nm의 범위에 있다.The mask pattern 142 is removed by using a suitable etch and / or planarization operation such as CMP. In some embodiments, the height ( Etch ) of the second sacrificial layer 140 from the surface of the isolation dielectric layer 105 ranges from about 80 nm to about 250 nm after the mask pattern 142 is removed.

도 6a-6c는 본 개시물의 일부 실시예에 따른 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다.Figures 6A-6C illustrate an exemplary view of one of the various stages for fabricating a FinFET device in accordance with some embodiments of the present disclosure.

마스크 패턴(142)이 제거된 후에, 패터닝된 제 2 희생 층(140) 및 패터닝된 S/D 구조체(120) 위에 제 2 절연 층(146)이 형성된다. 도 6a에 도시된 바와 같이, 제 2 절연 층(146)은 또한 측벽 스페이서(132) 및 게이트 캡 층(134) 상에 형성된다.After the mask pattern 142 is removed, a second insulating layer 146 is formed over the patterned second sacrificial layer 140 and the patterned S / D structure 120. 6A, a second insulating layer 146 is also formed on the sidewall spacers 132 and the gate cap layer 134. As shown in FIG.

일부 실시예에서, 제 2 절연 층(146)은 SiO2, SiCN, SiON, SiCN, SiOCN 및 SiN을 포함하지만, 다른 적합한 유전체 재료가 사용될 수 있다. 일 실시예에서, SiN과 같은 실리콘 질화물 기반 재료가 사용된다. 제 2 절연 층(146)은 상기 언급된 재료의 조합을 포함하는 복수의 층으로 제조될 수 있다. 임의의 수용가능한 프로세스가 활용될 수 있더라도, 제 2 절연 층(146)은 PVD, CVD 또는 ALD와 같은 하나 이상의 프로세스를 통해 증착될 수 있다. 다른 재료 및/또는 프로세스가 사용될 수 있다. 일부 실시예에서, 제 2 절연 층(146)은 약 1 nm 내지 약 10 nm 사이의 두께를 가진다. 다른 두께가 다른 실시예에서 사용된다.In some embodiments, the second insulating layer 146 may include SiO 2, SiCN, SiON, SiCN , SiOCN and SiN, however, other suitable dielectric materials can be used. In one embodiment, a silicon nitride based material such as SiN is used. The second insulating layer 146 may be made of a plurality of layers including a combination of the above-mentioned materials. Although any acceptable process may be utilized, the second insulating layer 146 may be deposited through one or more processes such as PVD, CVD, or ALD. Other materials and / or processes may be used. In some embodiments, the second insulating layer 146 has a thickness between about 1 nm and about 10 nm. Other thicknesses are used in other embodiments.

일부 실시예에서, 도 6a 및 6b에 도시된 바와 같이, S/D 구조체와 그 후에 형성된 접촉 금속(contact metal) 사이의 Rc를 감소시키기 위해 제 2 절연 층(146)을 형성하기 전에 패터닝된 S/D 구조체(120) 상에 규화물 층(126)이 형성된다. 금속 규화물 형성 프로세스는 S/D 구조체의 측면 부분 상에 금속 규화물을 형성할 수 있다. 금속 규화물 형성 프로세스는 S/D 구조체(120) 상의 금속 막 증착, S/D 구조체(120)의 계면 또는 표면에서 금속 규화물을 형성하기 위한 열 처리(thermal treatment) 및 과잉 비반응 금속(excess unreacted metal)을 제거하기 위한 에칭 프로세스를 포함한다. 금속 규화물은 TiSix, NiSix, CoSix, NiCoSix 및 TaSix를 포함하지만, 다른 적합한 규화물 재료가 사용될 수 있다. 일부 실시예에서, 규화물 층(126)은 약 0.5 nm 내지 약 10 nm 사이의 두께를 가진다. 다른 실시예에서, 규화물 층은 이러한 제조 동작의 스테이지에서 형성되지 않고, 나중의 제조 스테이지에서 형성될 수 있다.In some embodiments, as illustrated in Figures 6a and 6b, the patterned before the formation of the S / D structure and then to reduce the R c between the formed contact metal (contact metal), the second insulating layer 146 A silicide layer 126 is formed on the S / D structure 120. The metal suicide formation process may form a metal suicide on the side portion of the S / D structure. The metal suicide formation process includes depositing a metal film on the S / D structure 120, thermal treatment to form a metal suicide at the interface or surface of the S / D structure 120, and excess unreacted metal ). ≪ / RTI > The metal silicide includes TiSi x , NiSi x , CoSi x , NiCoSi x and TaSi x , but other suitable silicide materials may be used. In some embodiments, the silicide layer 126 has a thickness between about 0.5 nm and about 10 nm. In another embodiment, the silicide layer is not formed in the stage of such a manufacturing operation, but can be formed in a later manufacturing stage.

도 7a-7c는 본 개시물의 일부 실시예에 따른 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다.Figures 7A-7C illustrate an exemplary view of one of the various stages for fabricating a FinFET device in accordance with some embodiments of the present disclosure.

제 2 절연 층(146)이 형성된 후에, 개구(144)를 충전하고 제 2 희생 층(140) 및 S/D 구조체(120) 위에 제 1 층간 유전체(interlayer dielectric: ILD)(145)가 형성된다.After the second insulating layer 146 is formed, a first interlayer dielectric (ILD) 145 is formed on the second sacrificial layer 140 and the S / D structure 120, filling the openings 144 .

ILD 층(145)은 단일 층 또는 다수층을 포함할 수 있다. 일부 실시예에서, ILD 층(145)은 SiO2, SiCN, SiOC, SiON, SiOCN, SiN 또는 로우-k 재료를 포함하지만, 다른 적합한 유전체 막이 사용될 수 있다. ILD 층(145)은 CVD, PECVD 또는 ALD, FCVD, 또는 스핀-온-글래스 프로세스에 의해 형성될 수 있다. CMP 프로세스와 같은 평탄화 프로세스는 과잉 재료를 제거하기 위해 수행될 수 있다. 평탄화 프로세스에 의해, 제 2 희생 층(140)(및 캡 절연 층(134))의 상부 표면은 일부 실시예에서 노출된다.The ILD layer 145 may comprise a single layer or multiple layers. In some embodiments, ILD layer 145 includes SiO 2, SiCN, SiOC, SiON , SiOCN, SiN or low -k material, but the film can be used other suitable dielectric. The ILD layer 145 may be formed by CVD, PECVD or ALD, FCVD, or spin-on-glass processes. A planarization process such as a CMP process can be performed to remove excess material. By the planarization process, the upper surface of the second sacrificial layer 140 (and the cap insulating layer 134) is exposed in some embodiments.

FCVD가 사용될 때, 일부 실시예에서 유동성 격리 유전체 전구체(precursor) 상에 큐어링 프로세스(curing process)가 수행된다. 큐어링 프로세스는 유동성 격리 유전체 전구체를 실리콘 산화물 층과 같은 유전체 층 내로 전달하도록, UV 큐어링, 오존(O3) 플라즈마 큐어링 또는 저온 O3 플라즈마 + UV 큐어링(LTB + UV 큐어링)을 포함할 수 있다. UV 큐어링 프로세스의 프로세싱 온도 범위는 일부 실시예에서 약 0℃ 내지 약 10℃ 사이에 있다. O3 플라즈마 큐어링 프로세스의 프로세싱 온도 범위는 일부 실시예에서 약 100℃ 내지 약 250℃ 사이에 있다. LTB+UV 큐어링 프로세스의 프로세싱 온도 범위는 일부 실시예에서 약 30℃ 내지 약 50℃ 사이에 있다. 일부 실시예에서, 큐어링 프로세스는 프로세스 시간(그러나 이에 제한되지 않음)을 절약하기 위한 증착 프로세스 후에 한번만 수행될 수 있다. 증착 프로세스 및 큐어링 프로세스는 교번하여 수행될 수 있다. 다른 실시예에서, 유동성 격리 유전체 전구체가 또한 질소, 산소, 오존 또는 증기(steam)를 직접 유입함으로써 산화 프로세스를 통해 유전체 층 내로 직접 전달될 수 있다.When FCVD is used, in some embodiments a curing process is performed on a flowable dielectric dielectric precursor. The curing process includes UV curing, ozone (O 3 ) plasma curing, or low temperature O 3 plasma + UV curing (LTB + UV curing) to transfer the flowable dielectric dielectric precursor into a dielectric layer such as a silicon oxide layer can do. The processing temperature range of the UV curing process is in some embodiments between about 0 캜 and about 10 캜. The processing temperature range of the O 3 plasma curing process is between about 100 ° C and about 250 ° C in some embodiments. The processing temperature range of the LTB + UV curing process is between about 30 [deg.] C and about 50 [deg.] C in some embodiments. In some embodiments, the curing process may be performed only once after the deposition process to save (but not limit) the process time. The deposition process and the curing process can be performed alternately. In another embodiment, the flowable isolating dielectric precursor may also be directly transferred into the dielectric layer through an oxidation process by direct introduction of nitrogen, oxygen, ozone, or steam.

ILD 층의 구조적 밀도(structural density)를 더 증가시키기 위해, 큐어링 프로세스 후에, 열 처리 프로세스가 격리 유전체 층 상에 수행될 수 있다. 열 처리 프로세스는 증기 함유 열 처리 프로세스(steam containing thermal treatment process)(습식 어닐링(wet annealing)) 및 질소-함유 열 처리 프로세스(nitrogen-containing thermal treatment process)(건식 어닐링(dry annealing))를 포함한다. 증기-함유 열 처리의 프로세싱 온도 범위는 일부 실시예에서 약 400℃ 내지 약 1000℃ 사이에 있고, 질소-함유 열 처리 프로세스의 프로세싱 온도는 약 1000℃ 내지 약 1200℃ 사이에 있다. 다른 실시예에서, 열 처리의 온도는 자외선(ultra-violet radiation), 예를 들어, 자외 열 처리(ultra violet thermal processing: UVTP) 프로세스에 막을 노출시킴으로써 약 400℃로 감소될 수 있다.To further increase the structural density of the ILD layer, after the curing process, a thermal treatment process may be performed on the isolation dielectric layer. The heat treatment process includes a steam containing thermal treatment process (wet annealing) and a nitrogen-containing thermal treatment process (dry annealing) . The processing temperature range of the steam-containing heat treatment is in some embodiments between about 400 ° C and about 1000 ° C, and the processing temperature of the nitrogen-containing heat treatment process is between about 1000 ° C and about 1200 ° C. In another embodiment, the temperature of the heat treatment may be reduced to about 400 占 폚 by exposing the film to ultra-violet radiation, for example, an ultra violet thermal processing (UVTP) process.

큐어링 또는 처리 후에, ILD 층은 일부 실시예에서 6보다 작은 상대적 투과율(permittivity)을 가질 수 있다.After curing or processing, the ILD layer may have a relative permittivity of less than 6 in some embodiments.

다른 실시예에서, ILD 층(145)을 형성하기 위해 스핀 온 유전체(spin on dielectric: SOD) 프로세스가 수행된다. 본 실시예에서, SOD 프로세스에 의해 접촉 격리 구역에서의 증착된 격리 유전체 층에 적합한 사이 층(inter layer)을 제공하기 위해 사전 프로세스에서 질화물-함유 라이너 층(nitride-containing liner layer)이 형성된다. 따라서, ILD 층은 적합한 전구체를 사용하여 SOD 프로세스에 의해 형성될 수 있다.In another embodiment, a spin on dielectric (SOD) process is performed to form the ILD layer 145. In this embodiment, a nitride-containing liner layer is formed in a pre-process to provide an inter layer suitable for the deposited isolated dielectric layer in the contact isolation region by the SOD process. Thus, the ILD layer can be formed by an SOD process using suitable precursors.

ILD 층(145)을 위한 SOD 프로세스에서, 전구체는 실록산(siloxane), 메틸실록산(methylsiloxane), 폴리실라잔(polysilazane) 및 하이드로젠실세스퀴옥산(hydrogensilsesquioxane), 퍼하이드로폴리실라잔(perhydro polysilazane: PHPS) 및 다른 적합한 재료(이에 제한되지 않음)와 같은 오르가노실리콘(organosilicon) 화합물일 수 있다. SOD 전구체는 스핀-온 화학물의 코팅 용액(coating solution)에 공통으로 사용되는 호환성 유기 용매에서 용해된다. 적합한 유기 용매는 예를 들어, 디부틸 에테르(dibutyl ether: DBE), 톨루엔(toluene), 크실렌(xylene), 프로필렌글리콜모노메틸에틸에테르아세테이트(propyleneglycol monomethyletheracetate: PGMEA), 에틸 락테이트(ethyl lactate) 및 이소프로필 알콜(isopropyl alcohol: IPA) 등을 포함하고, 바람직하게는 PHPS를 위한 용매로서 크실렌을 사용한다. 용액에서의 SOD 전구체의 농도는 용액의 농도(즉, 점도(viscosity)) 및 코팅의 두께를 조정하기 위해 변화될 수 있다. 일부 실시예에서, 약 4% 내지 약 30%의 SOD 전구체 중량을 함유하는 용액이 사용될 수 있다. 다른 실시예에서, 약 8% 내지 약 20%의 SOD 전구체 중량을 함유하는 용액이 사용된다. 계면 활성제 및 바인더(binder)와 같은 첨가물의 추가적인 미량이 용액에 포함될 수 있다.In an SOD process for ILD layer 145, the precursor may be selected from the group consisting of siloxane, methylsiloxane, polysilazane and hydrogensilsesquioxane, perhydro polysilazane, PHPS), and other suitable materials. ≪ RTI ID = 0.0 > [0031] < / RTI > SOD precursors are soluble in compatible organic solvents commonly used in coating solutions of spin-on chemicals. Suitable organic solvents include, for example, dibutyl ether (DBE), toluene, xylene, propyleneglycol monomethyletheracetate (PGMEA), ethyl lactate, Isopropyl alcohol (IPA), and the like, and preferably xylene is used as a solvent for PHPS. The concentration of the SOD precursor in the solution may be varied to adjust the concentration of the solution (i.e., viscosity) and the thickness of the coating. In some embodiments, a solution containing from about 4% to about 30% of the SOD precursor weight may be used. In another embodiment, a solution containing from about 8% to about 20% of the SOD precursor weight is used. Additional minor amounts of additives such as surfactants and binders may be included in the solution.

웨이퍼는 전구체 스핀-온 프로세스 동안 웨이퍼 중심으로부터 가장자리까지 SOD 전구체를 균일하게 확산시키기 위해 스피닝된다. 일부 실시예에서, 12 인치 웨이퍼에 대해 기판 상의 SOD 전구체 코팅을 위한 캐스트 회전(cast rotation)의 스핀 속도는 아마도 100 rpm 내지 3000 rpm이다. 일부 실시예에서 SOD 전구체의 동적 제공 속도(dynamic dispense rate)는 1 ml/sec 근방에 있고, 제공 퍼들(dispense puddle)은 메인 속도 전에 웨이퍼의 가장자리까지 완전히 확산할 것이다. SOD 전구체는 따라서 접촉 격리 홀의 바닥을 총체적으로 커버할 수 있고 개구(144)를 충전할 수 있다.The wafer is spinned to uniformly diffuse the SOD precursor from the center of the wafer to the edge during the precursor spin-on process. In some embodiments, the spin rate of the cast rotation for coating the SOD precursor on the substrate for a 12 inch wafer is from 100 rpm to 3000 rpm. In some embodiments, the dynamic dispense rate of the SOD precursor is near 1 ml / sec and the dispense puddle will fully diffuse to the edge of the wafer before the main speed. The SOD precursor can thus cover the bottom of the contact isolation hole collectively and fill the opening 144.

후속적으로, SOD 층을 안정화시키기 위해 SOD 증착 후에 프리베이킹 프로세스(prebaking process)가 수행된다. 일부 실시예에서, 주변 공기로 약 100℃ 내지 약 200℃ 범위의 저온에서 프리베이킹 프로세스가 수행된다. SOD 층을 치밀화(densify)하기 위해 프리베이킹 프로세스 후에 열 처리 프로세스가 수행된다. 일부 실시예에서, 열 처리 프로세스는 약 400℃ 내지 약 1100℃의 범위에서 고온으로 수행되는 어닐링 프로세스이다. 어닐링 프로세스는 증기를 포함하는 가스, O2 및 H2 가스를 사용하는 습식 어닐링 프로세스 또는 N2 및 O2 가스를 포함하는 가스를 사용하는 건식 어닐링 프로세스일 수 있다. 다른 실시예에서, 열 처리 프로세스는 약 150℃ 내지 약 400℃의 범위의 더 낮은 온도에서의 플라즈마를 사용한다. 수증기(H20) 대 수소(H2)의 부분 압력 비는 바람직하게는 약 1×10-11 내지 약 1.55 범위에서의 값으로 제어된다.Subsequently, a prebaking process is performed after SOD deposition to stabilize the SOD layer. In some embodiments, the pre-baking process is performed at ambient temperatures in the range of about 100 ° C to about 200 ° C. A heat treatment process is performed after the prebaking process to densify the SOD layer. In some embodiments, the heat treatment process is an annealing process performed at a high temperature ranging from about 400 [deg.] C to about 1100 [deg.] C. The annealing process may be a wet annealing process using a vapor containing gas, O 2 and H 2 gas, or a dry annealing process using a gas comprising N 2 and O 2 gas. In another embodiment, the heat treatment process uses a plasma at a lower temperature in the range of about 150 캜 to about 400 캜. The partial pressure ratio of water vapor (H 2 O) to hydrogen (H 2 ) is preferably controlled to a value in the range of about 1 × 10 -11 to about 1.55.

도 8a-8c는 본 개시물의 일부 실시예에 따른 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다.8A-8C illustrate an exemplary view of one of the various stages for fabricating a FinFET device according to some embodiments of the present disclosure.

후속적으로, 제 2 희생 층(140)이 제거된 후에, S/D 구조체(120)의 최상부 상에 남아있는 제 1 절연 층(122)을 제거함으로써, 접촉 개구(148)를 형성한다. 도 8a-8c에 도시된 바와 같이, 접촉 개구(148)는 제 2 절연 층(146) 및 측벽 스페이서(132)에 의해 정의된다. 제 2 희생 층(140)을 제거하기 위한 에칭 동작은 등방성 또는 이방성일 수 있다.Subsequently, the contact opening 148 is formed by removing the first insulating layer 122 remaining on top of the S / D structure 120, after the second sacrificial layer 140 is removed. 8A-8C, the contact openings 148 are defined by the second insulating layer 146 and the sidewall spacers 132. As shown in FIG. The etching operation for removing the second sacrificial layer 140 may be isotropic or anisotropic.

Si 기반 재료(예를 들어, 폴리-Si 또는 아모르퍼스 Si)가 제 2 희생 층(140)으로서 사용될 때, Cl2 및 NF3을 포함하는 가스 또는 F2를 포함하는 가스를 사용하는 플라즈마 건식 에칭(plasma dry etching) 또는 NH4OH 및/또는 테트라메틸암모늄(tetramethylammonium: TMAH)을 사용하는 습식 에칭에 의해 수행될 수 있다. SOC(스핀-온-카본)가 제 2 희생 층(140)으로서 사용될 때, 예를 들어, N2 및 H2를 포함하는 가스 또는 SO2 및 O2를 포함하는 가스를 사용하여 플라즈마 건식 에칭에 의해 수행될 수 있다. FCVD에 의해 형성되는 Si 산화물 기반 재료가 제 2 희생 층(140)으로서 사용될 때, 예를 들어, HF 또는 BHF를 사용하는 습식 에칭에 의해 에칭이 수행될 수 있다. Ge 기반 재료(예를 들어, Ge 또는 SiGe)는 제 2 희생 층(140)으로서 사용될 때, 예를 들어, 오존을 사용하는 플라즈마 건식 에칭 또는 NH4OH 및 H2O2를 함유하는 용액 또는 HCl 및 H2O2를 함유하는 용액을 사용하는 습식 에칭에 의해 에칭이 수행될 수 있다. 나머지 제 1 절연 층(122)은 적합한 에칭 동작을 사용함으로써 제거될 수 있다.When a Si-based material (e.g., poly-Si or amorphous Si) is used as the second sacrificial layer 140, a plasma dry etch using a gas comprising Cl 2 and NF 3 or a gas comprising F 2 plasma dry etching or wet etching using NH 4 OH and / or tetramethylammonium (TMAH). When SOC (spin-on-carbon) is used as the second sacrificial layer 140, for example, a gas containing N 2 and H 2 or a gas containing SO 2 and O 2 is used for plasma dry etching ≪ / RTI > When a Si oxide based material formed by FCVD is used as the second sacrificial layer 140, etching may be performed by wet etching using, for example, HF or BHF. When a Ge based material (e.g., Ge or SiGe) is used as the second sacrificial layer 140, for example, a plasma dry etching using ozone or a solution containing NH 4 OH and H 2 O 2 , or a solution containing HCl And H 2 O 2. The etching may be carried out by wet etching using a solution containing H 2 O 2 . The remaining first insulating layer 122 may be removed by using a suitable etching operation.

도 9a-9c는 본 개시물의 일부 실시예에 따른 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다.9A-9C illustrate an exemplary view of one of the various stages for fabricating a FinFET device according to some embodiments of the present disclosure.

제 2 희생 층(140) 및 나머지 제 1 절연 층(122)이 제거된 후에, 추가적인 규화물 층(127)이 S/D 구조체(120)의 노출된 최상부 상에 형성된다. 규화물 층(126)이 형성되지 않을 때, S/D 구조체(120)의 최상부 부분에만(제 2 절연 층(146) 및 측벽 스페이서(132)에 의해 정의되는 접촉 개구(148)의 바닥부에) 배치된다. 규화물 층(127)은 규화물 층(126)의 형성과 유사한 금속 규화물 형성 프로세스에 의해 형성될 수 있다. 일부 실시예에서, 규화물 층(127)은 약 0.5 nm내지 약 10 nm 사이의 두께를 가진다.After the second sacrificial layer 140 and the remaining first insulating layer 122 are removed an additional suicide layer 127 is formed on the exposed top of the S / D structure 120. When the silicide layer 126 is not formed, only the uppermost portion of the S / D structure 120 (at the bottom of the contact opening 148 defined by the second insulating layer 146 and the sidewall spacers 132) . The silicide layer 127 may be formed by a metal silicide formation process similar to the formation of the silicide layer 126. [ In some embodiments, silicide layer 127 has a thickness between about 0.5 nm and about 10 nm.

후속적으로, 접촉부(150)는 S/D 구조체(120)의 최상부 상에 형성되는 규화물 층(127)을 접촉하기 위해 접촉 개구(148)에 형성된다.Subsequently, the contact portion 150 is formed in the contact opening 148 to contact the silicide layer 127 formed on top of the S / D structure 120.

접촉부(150)는 단일 층 또는 다수-층 구조를 포함할 수 있다. 예를 들어, 일부 실시예에서, 접촉부(150)는 확산 배리어 층(diffusion barrier layer), 접착 층(adhesion layer) 등과 같은 접촉 라이너 층 및 접촉 개구(148)에서의 접촉 라이너 층 위에 형성되는 접촉 몸체를 포함한다. 접촉 라이너 층은 ALD, CVD 등에 의해 형성되는 Ti, TiN, Ta, TaN 등을 포함할 수 있다. 접촉 몸체는 Ni, Ta, TaN, W, Co, Ti, TiN, Al, Cu, Au, 그 합금, 그 조합 등의 하나 이상의 층과 같은 도전성 재료를 증착함으로써 형성될 수 있지만 다른 적합한 금속이 또한 사용될 수 있다. CMP와 같은 평탄화 프로세스는 ILD 층(145)의 표면으로부터 과잉 재료를 제거하기 위해 수행될 수 있다.Contact 150 may comprise a single layer or multi-layer structure. For example, in some embodiments, the contacts 150 may include a contact liner layer, such as a diffusion barrier layer, an adhesion layer, and the like, and a contact liner layer, . The contact liner layer may include Ti, TiN, Ta, TaN, etc. formed by ALD, CVD or the like. The contact body may be formed by depositing a conductive material such as one or more layers of Ni, Ta, TaN, W, Co, Ti, TiN, Al, Cu, Au, . A planarization process such as CMP may be performed to remove excess material from the surface of the ILD layer 145.

접촉부(150)가 형성된 후에, 핀 구조체(104)의 최상부로부터 측정된 게이트 캡 층(134)을 포함하는 게이트 구조체의 높이(Hg)는 약 20 nm 내지 100 nm의 범위에 있고 핀 구조체(104)의 최상부로부터 측정된 금속 게이트(130)의 높이(Hmg)는 일부 실시예에서 약 10 nm 내지 약 60 nm의 범위에 있다.The height H g of the gate structure including the gate cap layer 134 measured from the top of the fin structure 104 is in the range of about 20 nm to 100 nm after the contact portion 150 is formed, ) height (H mg) of the metal gate (130) measured from the top of the is in the range of from about 10 nm to about 60 nm in some embodiments.

접촉부(150)가 형성된 후에, 추가적인 층간 유전체 층, 접촉부/비아(via), 상호연결 금속 층(interconnect metal layer) 및 수동 층(passivation layer) 등과 같은 다양한 피처를 형성하기 위해 추가적인 CMOS 프로세스가 수행된다.After the contacts 150 are formed, additional CMOS processes are performed to form various features, such as additional inter-level dielectric layers, contact / via, interconnect metal and passivation layers, .

도 10a-21d는 본 개시물의 다른 실시예에 따른 반도체 디바이스 제조 프로세스에서의 다양한 프로세스를 도시한다. 도 10a-21d에 의해 도시되는 프로세스 전에, 프로세스 동안 및 프로세스 후에 추가적인 동작이 제공될 수 있고, 이하에 설명된 동작의 일부가 방법의 추가적인 실시예를 위해 교체 또는 제거될 수 있다. 동작/프로세스의 순서는 상호교환가능할 수 있다. 도 1a-9c에 관하여 설명된 전술한 실시예와 동일하거나 유사한 재료, 구성, 치수 및/또는 프로세스는 다음의 실시예에서 사용될 수 있고, 그 상세한 설명이 생략될 수 있다.Figures 10A-21D illustrate various processes in a semiconductor device manufacturing process according to another embodiment of the present disclosure. Additional operations may be provided before, during and after the process illustrated by Figures 10A-21D, and some of the operations described below may be replaced or removed for further embodiments of the method. The order of operations / processes may be interchangeable. Materials, configurations, dimensions, and / or processes that are the same as or similar to the above-described embodiments described with reference to Figs. 1A-9C may be used in the following embodiments, and the detailed description thereof may be omitted.

도 10a-21d는 FinFET에 의해 형성되는 정적 랜덤 액세스 메모리(static random access memory: SRAM) 셀의 반도체 디바이스 제조 프로세스에서의 다양한 프로세스를 도시한다. 도 10a-10e에 도시된 바와 같이, 소스/드레인 구조체(220 및 221) 및 금속 게이트(230)가 게이트 유전체 층(231)과 함께 기판(201) 위에 형성된다. 이 구조체는 상술한 바와 같은 제조 동작에 의해 형성될 수 있다.Figures 10A-21D illustrate various processes in a semiconductor device fabrication process for static random access memory (SRAM) cells formed by FinFETs. The source / drain structures 220 and 221 and the metal gate 230 are formed on the substrate 201 together with the gate dielectric layer 231, as shown in Figures 10A-10E. This structure can be formed by the manufacturing operation as described above.

도 10a는 SRAM 셀의 평면도를 도시한다. 도 10b는 도 10a의 면적(AR)에 대응하는 사시도이다. 하나의 SRAM 유닛 셀(unit cell)의 셀 경계가 SC에 의해 도시된다. 하나의 SRAM 유닛 셀 내에서, 2개의 게이트(230) 및 4개의 핀 구조체(202)가 존재한다. 제 1 도전성 타입의 S/D 구조체(220) 및 제 2 도전성 타입의 S/D 구조체(221)가 게이트 사이의 핀 구조체 위에 형성된다. 일 실시예에서, 제 1 도전성 타입은 p-타입이고 제 2 도전성 타입은 n-타입이다. 다른 실시예에서, 제 1 도전성 타입이 n-타입이고 제 2 도전성 타입은 p-타입이다.10A shows a top view of an SRAM cell. 10B is a perspective view corresponding to the area AR of FIG. 10A. The cell boundary of one SRAM unit cell is shown by SC. Within one SRAM unit cell, there are two gates 230 and four pin structures 202. A first conductive type S / D structure 220 and a second conductive type S / D structure 221 are formed on the fin structure between the gates. In one embodiment, the first conductivity type is p-type and the second conductivity type is n-type. In another embodiment, the first conductivity type is n-type and the second conductivity type is p-type.

도 1a-1c와 유사하게, 바닥 부분(203) 및 상부 부분(204)을 포함하는 핀 구조체(202)는 기판(201) 위에 배치된다. 바닥 부분(203)은 격리 절연 층(205)에 임베디드되고 상부 부분(204)은 격리 절연 층(205)으로부터 돌출한다. 게이트 캡 층(234)이 게이트(230) 상에 각각 형성되고, 게이트 캡 층(234) 및 게이트(230)는 측벽 스페이서(232) 사이에 배치된다. 제 1 절연 층(222)은 S/D 구조체를 커버하고 제 1 희생 층(215)은 게이트 구조체 사이의 제 1 절연 층(222)에 의해 커버되는 S/D 구조체 위에 형성된다.Similar to FIGS. 1A-1C, a fin structure 202 including a bottom portion 203 and an upper portion 204 is disposed on a substrate 201. The bottom portion 203 is embedded in the isolated insulating layer 205 and the top portion 204 protrudes from the isolated insulating layer 205. A gate cap layer 234 is formed on each gate 230 and a gate cap layer 234 and a gate 230 are disposed between the sidewall spacers 232. The first insulating layer 222 covers the S / D structure and the first sacrificial layer 215 is formed on the S / D structure that is covered by the first insulating layer 222 between the gate structures.

도 10c-10e는 도 10a의 라인 Y21-Y21, Y22-Y22 및 Y23-Y23 각각에 대응하는 단면도이다. 이러한 제조 동작의 스테이지에서, S/D 구조체의 일부는 인접한 핀 구조체 사이의 좁은 분리로 인해 하나 또는 2개의 인접한 S/D 구조체와 바람직하게 않게 병합된다. 예를 들어, 2개의 인접한 핀 구조체 사이의 공간(Sfin)은 약 100 nm보다 작을 때, 인접한 S/D 구조체의 에피택셜로 형성된 층이 병합되는 경향이 있다.Figs. 10C-10E are cross-sectional views corresponding to the lines Y21-Y21, Y22-Y22, and Y23-Y23 in Fig. 10A, respectively. In this stage of manufacturing operation, a portion of the S / D structure is undesirably merged with one or two adjacent S / D structures due to the narrow separation between adjacent pin structures. For example, when the space (S fin ) between two adjacent pin structures is less than about 100 nm, the layer formed with the epitaxial layer of the adjacent S / D structure tends to be merged.

도 10c에 도시된 라인 Y21-Y21에 대응하는 단면에서, 인접한 제 2 도전성 타입 S/D 구조체(221), 더 구체적으로, 에피택셜로 형성된 층이 병합된다. 도 10d에 도시되는 라인 Y22-Y22에 대응하는 단면에서, 인접한 제 2 도전성 타입 S/D 구조체(221), 더 구체적으로, 에피택셜로 형성된 층이 병합되고, 인접한 제 1 도전성 타입 S/D 구조체(220) 및 제 2 도전성 타입 S/D 구조체가 각각 병합된다. 도 10e에 도시된 라인 Y23-Y23에 대응하는 단면에서, 인접한 제 2 도전성 타입 S/D 구조체(221)가 병합되고, 인접한 제 1 도전성 타입 S/D 구조체(220)가 병합되고, 인접한 제 1 도전성 S/D 구조체 및 제 2 도전성 타입 S/D 구조체가 각각 병합된다. 병합된 S/D 구조체 중 일부가 다음의 동작에 의해 분리될 것이다. 일부 실시예에서, 보이드(void)(269)는 S/D 구조체의 병합 부분 아래에 형성된다. 다른 실시예에서, 하나의 S/D 구조체가 병합되지 않지만, 전기 브레이크다운(breakdown)에 의한 전류 누설을 야기시킬 수 있는 (예를 들어, 약 3 nm보다 작은) 인접한 S/D 구조체에 매우 가까이 위치된다. 본 개시물의 실시예는 그와 같이 가깝게 위치되는 S/D 구조체에 적용가능하다.In the cross section corresponding to the lines Y21-Y21 shown in Fig. 10C, the adjacent second conductive type S / D structure 221, more specifically the epitaxially formed layer, is merged. In the cross section corresponding to the line Y22-Y22 shown in Fig. 10D, the adjacent second conductive type S / D structure 221, more specifically, the epitaxially formed layer is merged and the adjacent first conductive type S / The first conductive type S / D structure 220 and the second conductive type S / D structure are merged. In the cross section corresponding to the line Y23-Y23 shown in FIG. 10E, the adjacent second conductive type S / D structures 221 are merged, the adjacent first conductive type S / D structures 220 are merged, The conductive S / D structure and the second conductive type S / D structure are merged, respectively. Some of the merged S / D structures will be separated by the following operation. In some embodiments, a void 269 is formed below the merging portion of the S / D structure. In other embodiments, one S / D structure is not merged, but is very close to an adjacent S / D structure (e.g., less than about 3 nm) that can cause current leakage due to electrical breakdown . Embodiments of the present disclosure are applicable to S / D structures that are positioned as such.

도 11a 및 11b는 본 개시물의 일부 실시예에 따른 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다. 도 11a는 사시도이고 도 11b는 도 10a 및 10b의 라인 Y23-Y23에 대응하는 단면도이다.11A and 11B illustrate an exemplary view of one of the various stages for fabricating a FinFET device according to some embodiments of the present disclosure. Fig. 11A is a perspective view, and Fig. 11B is a cross-sectional view corresponding to the line Y23-Y23 in Figs. 10A and 10B.

도 2a-2c와 유사하게, 개구(216)를 형성하기 위해 그리고 제 1 절연 층(222)을 노출시키기 위해 제 1 희생 층(215)은 S/D 구조체(220 및 221)의 양쪽 측면 구역으로부터 적어도 부분적으로 제거된다. 일부 실시예에서, 제 1 희생 층(215) 전부가 제거된다.Similar to FIGS. 2A-2C, the first sacrificial layer 215 is formed from both side regions of the S / D structures 220 and 221 to form the opening 216 and to expose the first insulating layer 222 At least partially. In some embodiments, all of the first sacrificial layer 215 is removed.

도 12a 및 12b는 본 개시물의 일부 실시예에 따른 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다. 도 12a는 사시도이고 도 12b는 도 10a 및 10b의 라인 Y23-Y23에 대응하는 단면도이다.12A and 12B illustrate an exemplary view of one of the various stages for fabricating a FinFET device according to some embodiments of the present disclosure. Fig. 12A is a perspective view, and Fig. 12B is a cross-sectional view corresponding to the line Y23-Y23 in Figs. 10A and 10B.

도 3a-3c와 유사하게, 개구(216)가 형성된 후에, 제 2 희생 층(240)은 개구(216)에 형성된다.Similar to FIGS. 3A-3C, after opening 216 is formed, a second sacrificial layer 240 is formed in opening 216.

도 13은 본 개시물의 일부 실시예에 따른 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다.Figure 13 illustrates an exemplary view of one of the various stages for fabricating a FinFET device in accordance with some embodiments of the present disclosure.

도 4a-4c와 유사하게, 제 2 희생 층(240)이 형성된 후에, 제 2 희생 층(240) 및 게이트 구조체 위에 마스크 패턴(242)이 형성된다. S/D 구조체 위의 마스크 패턴(142)의 일부분은 일부 실시예에서 약 10 nm 내지 약 40 nm의 범위에서의 폭(Whm)을 가진다.4A-4C, after the second sacrificial layer 240 is formed, a mask pattern 242 is formed on the second sacrificial layer 240 and the gate structure. A portion of the mask pattern 142 over the S / D structure has a width (W hm ) in the range of about 10 nm to about 40 nm in some embodiments.

마스크 패턴(242)을 에칭 마스크로서 사용함으로써, 제 2 희생 층(240), 제 1 절연 층(222) 및 S/D 구조체(220 및 221)는 이방성으로 에칭됨으로써, 패터닝된 제 2 희생 층(240) 및 S/D 구조체(220 및 221)에 인접한 개구(244)를 형성한다.By using the mask pattern 242 as an etching mask, the second sacrificial layer 240, the first insulating layer 222, and the S / D structures 220 and 221 are anisotropically etched to form a patterned second sacrificial layer 240 and S / D structures 220 and 221, respectively.

이러한 에칭 동작에 의해, S/D 구조체(220 및 221)의 에칭된 측면이 상부 핀 구조체(204)의 측면에 실질적으로 병렬이도록 S/D 구조체(220)의 측면 부분 중 적어도 하나가 제거된다.This etching operation removes at least one of the side portions of the S / D structure 220 such that the etched side surfaces of the S / D structures 220 and 221 are substantially parallel to the side surfaces of the upper fin structure 204.

도 14는 본 개시물의 일부 실시예에 따른 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다.Figure 14 illustrates an exemplary view of one of the various stages for fabricating a FinFET device in accordance with some embodiments of the present disclosure.

도 5a-5c와 유사하게, 마스크 패턴(242)은 CMP와 같은 적합한 에칭 동작 및/또는 평탄화 동작을 사용함으로써 제거된다.Similar to Figs. 5A-5C, the mask pattern 242 is removed by using a suitable etch and / or planarization operation such as CMP.

도 15a 및 15b는 본 개시물의 일부 실시예에 따른 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다. 도 15a는 사시도이고 도 15b는 도 10a 및 10b의 라인 Y23-Y23에 대응하는 단면도이다.15A and 15B illustrate an exemplary view of one of the various stages for fabricating a FinFET device according to some embodiments of the present disclosure. Fig. 15A is a perspective view, and Fig. 15B is a cross-sectional view corresponding to the line Y23-Y23 in Figs. 10A and 10B.

도 6a-6c와 유사하게, 마스크 패턴(242)이 제거된 후에, 패터닝된 제 2 희생 층(240) 및 패터닝된 S/D 구조체(220 및 221) 위에 제 2 절연 층(246)이 형성된다. 도 15a 및 15b에 도시된 바와 같이, 또한 측벽 스페이서(232) 및 게이트 캡 층(234) 상에 제 2 절연 층(246)이 형성된다. 일부 실시예에서, 이러한 제조 동작의 스테이지에서 패터닝된 S/D 구조체 상에 규화물 층이 형성되지 않는다. 다른 실시예에서, 제 2 절연 층을 형성하기 전에 패터닝된 S/D 구조체 상에 규화물 층이 형성된다.6A-6C, after the mask pattern 242 is removed, a second insulating layer 246 is formed over the patterned second sacrificial layer 240 and the patterned S / D structures 220 and 221 . 15A and 15B, a second insulating layer 246 is also formed on the sidewall spacers 232 and the gate cap layer 234. In some embodiments, a silicide layer is not formed on the patterned S / D structure in the stage of such fabrication operations. In another embodiment, a silicide layer is formed on the patterned S / D structure before forming the second insulating layer.

도 16a 및 16b는 본 개시물의 일부 실시예에 따른 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다. 도 16a는 사시도이고 도 16b는 도 10a 및 10b의 라인 Y23-Y23에 대응하는 단면도이다.16A and 16B illustrate an exemplary view of one of the various stages for fabricating a FinFET device according to some embodiments of the present disclosure. Fig. 16A is a perspective view, and Fig. 16B is a cross-sectional view corresponding to the line Y23-Y23 in Figs. 10A and 10B.

도 7a-7c와 유사하게, 제 2 절연 층(246)이 형성된 후에, 개구(244)를 충전시키기 위해 그리고 제 2 희생 층(240) 및 S/D 구조체를 커버하기 위해 제 1 층간 절연체(ILD) 층(245)이 형성된다. CMP 프로세스와 같은 평탄화 프로세스는 ILD 층(245)에 대한 과잉 재료 및 제 2 절연 층(246)의 일부를 제거하기 위해 수행된다. 평탄화 프로세스에 의해, 제 2 희생 층(240)의 상부 표면(및 캡 절연 층(234))이 일부 실시예에서 노출된다.7A-7C, after the second insulating layer 246 is formed, a first interlayer insulator (ILD) 244 is formed to fill the opening 244 and to cover the second sacrificial layer 240 and the S / D structure. ) Layer 245 is formed. A planarization process, such as a CMP process, is performed to remove the excess material for the ILD layer 245 and a portion of the second insulating layer 246. By the planarization process, the top surface (and the cap insulating layer 234) of the second sacrificial layer 240 is exposed in some embodiments.

도 17a 및 17b는 본 개시물의 일부 실시예에 따른 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다. 도 17a는 사시도이고 도 17b는 도 10a 및 10b의 라인 Y23-Y23에 대응하는 단면도이다.Figures 17A and 17B illustrate an exemplary view of one of the various stages for fabricating a FinFET device according to some embodiments of the present disclosure. Fig. 17A is a perspective view, and Fig. 17B is a cross-sectional view corresponding to the line Y23-Y23 in Figs. 10A and 10B.

도 8a-8c와 유사하게, 제 2 희생 층(240)이 제거된다.Similar to Figs. 8A-8C, the second sacrificial layer 240 is removed.

도 18은 본 개시물의 일부 실시예에 따른 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다.Figure 18 illustrates an exemplary view of one of the various stages for fabricating a FinFET device in accordance with some embodiments of the present disclosure.

도 8a-8c와 유사하게, 제 2 희생 층(240)이 제거된 후에, S/D 구조체의 최상부 또는 측면 상에 남아있는 제 1 절연 층(222)이 제거됨으로써 접촉 개구(248)를 형성한다. 도 18에 도시된 바와 같이, 접촉 개구(248) 각각이 제 2 절연 층(246) 및 측벽 스페이서(232)에 의해 정의된다.Similar to FIGS. 8A-8C, after the second sacrificial layer 240 is removed, the first insulating layer 222 remaining on the top or side of the S / D structure is removed to form contact openings 248 . 18, each of the contact openings 248 is defined by a second insulating layer 246 and a sidewall spacer 232.

도 19는 본 개시물의 실시예에 따른 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다.19 illustrates an exemplary view of one of the various stages for fabricating a FinFET device according to an embodiment of the present disclosure.

도 9a-9c와 유사하게, 접촉 개구(248)가 형성된 후에, S/D 구조체(220 및 221)의 노출된 최상부 및 측면 상에 규화물 층(227)이 형성된다.9A-9C, a silicide layer 227 is formed on the exposed top and sides of the S / D structures 220 and 221 after the contact openings 248 are formed.

도 20은 본 개시물의 일부 실시예에 따른 FinFET 디바이스를 제조하기 위한 다양한 스테이지 중 하나의 예시적인 뷰를 도시한다.Figure 20 illustrates an exemplary view of one of the various stages for fabricating a FinFET device in accordance with some embodiments of the present disclosure.

도 9a-9c와 유사하게, S/D 구조체의 최상부 및 측면 상에 형성되는 규화물 층(227)을 접촉하기 위해 접촉 개구(248)에 형성된다.Similar to FIGS. 9A-9C, the contact openings 248 are formed to contact the silicide layer 227 formed on top and sides of the S / D structure.

접촉부(250)를 형성한 후에, 추가적인 층간 유전체 층, 접촉부/비아, 상호연결 금속 층 및 수동 층 등과 같은 다양한 피처를 형성하기 위해 추가적인 CMOS 프로세스가 수행된다.After forming the contacts 250, additional CMOS processes are performed to form various features such as additional inter-level dielectric layers, contact / via, interconnect metal layers and passive layers.

도 21a는 접촉부가 형성된 후에 SRAM 셀의 평면도를 도시한다. 도 21b-21d는 도 20 및 21a 각각의 라인 Y21-Y21, Y22-Y22 및 Y23-Y23에 대응하는 단면도이다. 도 21a는 핀 구조체(202), 게이트(230) 및 S/D 구조체(220 및 221)만을 도시함이 주목된다.21A shows a top view of an SRAM cell after the contacts are formed. Figs. 21B to 21D are cross-sectional views corresponding to lines Y21 to Y21, Y22 to Y22, and Y23 to Y23 in Figs. 20 and 21A, respectively. It is noted that FIG. 21A shows only the fin structure 202, the gate 230, and the S / D structures 220 and 221. FIG.

도 21b에서, 제 1 도전성 타입 S/D 구조체(220)의 에피택셜 층의 측면 부분 중 하나만이 에칭 표면을 가지는 한편, 제 2 도전성 타입 S/D 구조체(221)의 에피택셜 층의 양쪽 측면이 에칭 표면을 가진다. 따라서, 제 1 도전성 타입 S/D 구조체(220)는 Y 방향을 따른 핀 구조체(204)에 관한 비대칭 단면을 가진다. 일부 실시예에서, 일 측에서의 에칭 표면과 핀 구조체(204) 사이의 거리(D1)는 타 측에서 비-에칭 표면(핀 구조체로부터 가장 먼 포인트)과 핀 구조체(204) 사이의 거리(D2)의 약 10% 내지 약 70%이다. 다른 실시예에서, 거리(D1)는 거리(D2)의 약 20% 내지 약 50%이다.In Figure 21B, only one of the side portions of the epitaxial layer of the first conductive type S / D structure 220 has an etched surface, while both sides of the epitaxial layer of the second conductive type S / Etched surface. Thus, the first conductive type S / D structure 220 has an asymmetrical cross section about the pin structure 204 along the Y direction. In some embodiments, the distance D 1 between the etched surface and the fin structure 204 on one side is greater than the distance (D 2 ) between the non-etched surface (the farthest point from the fin structure) ) To about 70%. In another embodiment, the distance D 1 is from about 20% to about 50% of the distance D 2 .

제 2 도전성 타입 S/D 구조체(221)는 Y 방향을 따라 핀 구조체(204)에 관하여 실질적으로 대칭인 단면을 가진다. 그러나, 포토리소그래피 동작(photo lithography operation)에서의 오버레이 에러(overlay error)와 같은 프로세스 변화에 의해, 제 2 도전성 타입 S/D 구조체(221)는 약간 비대칭인 단면을 가질 수 있다. 그와 같은 경우에, 일부 실시예에서, 일 측에서의 에칭 표면과 핀 구조체(204) 사이의 거리(D3)는 타 측에서 에칭 표면과 핀 구조체(204) 사이의 거리(D4)의 약 60% 내지 약 140%이다. 다른 실시예에서, 거리(D3)는 거리(D4)의 약 90% 내지 약 110%이다.The second conductive type S / D structure 221 has a substantially symmetrical cross section with respect to the pin structure 204 along the Y direction. However, due to process variations such as an overlay error in a photo lithography operation, the second conductive type S / D structure 221 may have a slightly asymmetric cross-section. In such a case, in some embodiments, the distance D 3 between the etched surface and the fin structure 204 on one side is greater than the distance D 4 between the etched surface and the fin structure 204 on the other side, % To about 140%. In another embodiment, the distance D 3 is from about 90% to about 110% of the distance D 4 .

도 21c에서, 도 21b와 유사하게, 제 1 도전성 타입 S/D 구조체(220)의 에피택셜 층의 측면 부분 중 하나만이 에칭 표면을 가진다. 제 2 도전성 타입 S/D 구조체(221)의 에피택셜 층은 일 측 상에 병합되는 한편, 타 측은 에칭 표면을 가진다.21C, only one of the side portions of the epitaxial layer of the first conductive type S / D structure 220 has an etched surface, similar to FIG. 21B. The epitaxial layer of the second conductivity type S / D structure 221 is merged on one side while the other side has an etching surface.

도 21d에서, 이러한 단면은 에피택셜 층의 측면 부분 중 하나만이 에칭 표면을 가지는 제 1 도전성 S/D 구조체(220)를 포함하고, 제 1 도전성 S/D 구조체(220)는 인접한 제 2 도전성 S/D 구조체(220)와 병합되고, 제 1 도전성 S/D 구조체(220) 및 제 2 도전성 S/D 구조체(220)의 각각은 에칭 표면을 가진다.21D, this cross section includes a first conductive S / D structure 220 in which only one of the side portions of the epitaxial layer has an etched surface, and the first conductive S / D structure 220 comprises an adjacent second conductive S / / D structure 220 and each of the first conductive S / D structure 220 and the second conductive S / D structure 220 has an etched surface.

도 21b-21d에 도시된 바와 같이, 보이드(270, 271 및 272)는 S/D 구조체 아래에 형성될 수 있음이 주목된다.It is noted that, as shown in FIGS. 21B-21D, voids 270, 271, and 272 may be formed below the S / D structure.

상술한 바와 같이, 도 21b-21d에 의해 도시된 S/D 구조체는 하나의 반도체 디바이스, 예를 들어, SRAM에 존재할 수 있다. 도 9a-9c에 의해 도시된 구조체는 동일한 반도체 디바이스에 포함될 수 있다. 더욱이, 동일한 반도체 디바이스는 또한 도 10c-10e에 도시된 구조체와 유사하게, 에칭 표면을 가지지 않는 S/D 구조체를 포함할 수 있다.As described above, the S / D structure shown by Figs. 21B-21D can exist in one semiconductor device, for example, SRAM. The structure shown by Figs. 9A-9C may be included in the same semiconductor device. Furthermore, the same semiconductor device may also include a S / D structure that does not have an etched surface, similar to the structure shown in Figures 10C-10E.

도 22a-22b는 본 개시물의 다른 실시예에 따른 반도체 디바이스의 예시적인 단면도를 도시한다.Figures 22A-22B illustrate exemplary cross-sectional views of a semiconductor device according to another embodiment of the present disclosure.

전술한 실시예에서, 하나 이상의 에피택셜 층이 S/D 구조체(120, 220 또는 221)로서 핀 구조체(104 또는 204)의 상부 부분 상에 형성된다. 도 22a에 도시된 실시예에서, 핀 구조체(104 또는 204)의 상부 부분이 ILD(205)의 상부 표면 밑에 또는 아래로 리세스되도록, 그리고 그 후에 하나 이상의 에피택셜 층(320 또는 321)이 리세스된 핀 구조체 상에 형성되도록 하나 이상의 에피택셜 층이 형성된다.In the above-described embodiment, one or more epitaxial layers are formed on the upper portion of the fin structure 104 or 204 as the S / D structure 120, 220 or 221. 22A, the upper portion of the fin structure 104 or 204 is recessed below or below the top surface of the ILD 205, and then one or more epitaxial layers 320 or 321 At least one epitaxial layer is formed to be formed on the sined pin structure.

도 22b에서, 핀 구조체(104 또는 204)는 게이트-올-어라운드(gate-all-around) FET에 대한 제 1 반도체 층(301) 및 제 2 반도체 층(302)의 스택된 층으로 교체되고, 여기서 FET의 채널은 제 1 반도체 층 또는 제 2 반도체 층의 나노-와이어(nano-wire)이고, 그 각각은 게이트 유전체 층 및 게이트 전극으로 랩핑(wrapped)된다.22B, the fin structure 104 or 204 is replaced with a stacked layer of a first semiconductor layer 301 and a second semiconductor layer 302 for a gate-all-around FET, Wherein the channel of the FET is a nano-wire of a first semiconductor layer or a second semiconductor layer, each of which is wrapped with a gate dielectric layer and a gate electrode.

도 23-28은 본 개시물의 하나 이상의 실시예에 따른 반도체 디바이스 제조 프로세스의 다양한 스테이지를 도시한다. 추가적인 동작이 도 23-28에 의해 도시된 프로세스 전에, 프로세스 동안 및 프로세스 후에 제공될 수 있고, 이하에 설명된 동작의 일부가 방법의 추가적인 실시예에 대해 교체될 수 있거나 제거될 수 있음이 이해된다. 동작/프로세스의 순서는 상호교환가능할 수 있다. 도 1a-22b에 관하여 설명된 전술한 실시예와 동일하거나 유사한 재료, 구성, 치수 및/또는 프로세스는 다음의 실시예에서 사용될 수 있고, 그 상세한 설명은 생략될 수 있다.23-28 illustrate various stages of a semiconductor device fabrication process according to one or more embodiments of the present disclosure. It is understood that additional operations may be provided before, during and after the process illustrated by Figures 23-28, and that some of the operations described below may be replaced or eliminated with respect to additional embodiments of the method . The order of operations / processes may be interchangeable. Materials, configurations, dimensions, and / or processes that are the same as or similar to the above-described embodiments described with reference to Figs. 1A-22B may be used in the following embodiments, and the detailed description thereof may be omitted.

도 10a-10e에 도시된 구조체가 형성된 후에, 도 23에 도시된 바와 같이, 마스크 패턴(342)이 제 1 희생 층(215) 상에 형성된다. 마스크 패턴(342)은 제 1 희생 층(215)과 다른 재료로 제조되고, SiO2, SiCN, SiON, Al2O3, SiN, TiN, TaN, TiO2, Si, Ge, SiGe, SiC 또는 다른 적합한 재료의 하나 이상의 층을 포함한다. 일부 실시예에서, 2개 또는 그 이상의 마스크 층을 사용하는 다수의 패터닝 동작이 마스크 패턴을 형성하기 위해 사용된다.After the structure shown in Figs. 10A to 10E is formed, a mask pattern 342 is formed on the first sacrificial layer 215, as shown in Fig. A mask pattern 342 is the first sacrificial layer 215 and is made of a different material, SiO 2, SiCN, SiON, Al 2 O 3, SiN, TiN, TaN, TiO 2, Si, Ge, SiGe, SiC , or other Includes one or more layers of suitable material. In some embodiments, a plurality of patterning operations using two or more mask layers are used to form the mask pattern.

마스크 패턴(342)을 에칭 마스크로서 사용함으로써, 제 1 희생 층(215), 제 1 절연 층(222) 및 S/D 구조체(220 및 221)는 이방성으로 에칭됨으로써, 개구(344)를 형성하고, 이 개구는 도 24에 도시된 바와 같이 인접한 S/D 구조체를 분리한다. 일부 실시예에서, 다수의 에칭 동작이 수행된다. 예를 들어, 초기의 에칭 동작은 제 1 희생 층(215)을 에칭하고 제 1 절연 층(222) 상에서 중단한다. 후속적인 에칭 동작은 S/D 구조체의 제 1 희생 층(215) 및 에피택셜 층을 에칭한다. 초기의 에칭 및 후속적인 에칭을 위한 에칭 마스크는 (마스크 패턴의 동일한 층을 사용하여) 동일할 수 있거나 (마스크 패턴의 서로 다른 층을 사용하여) 서로 다를 수 있다.By using the mask pattern 342 as an etching mask, the first sacrificial layer 215, the first insulating layer 222 and the S / D structures 220 and 221 are anisotropically etched to form the opening 344 , This opening separates the adjacent S / D structures as shown in Fig. In some embodiments, a plurality of etching operations are performed. For example, an initial etch operation etches the first sacrificial layer 215 and stops on the first insulating layer 222. Subsequent etching operations etch the first sacrificial layer 215 and the epitaxial layer of the S / D structure. The etch masks for the initial etch and subsequent etch may be the same (using the same layer of the mask pattern) or different (using different layers of the mask pattern).

S/D 구조체(220 및 221)의 에칭된 측면이 상부 핀 구조체(204)의 측면과 실질적으로 병렬이도록, 에칭 동작에 의해, S/D 구조체(220 및 221)의 측면 부분 중 적어도 하나가 제거된다. CMP와 같은 적합한 에칭 동작 및/또는 평탄화 동작을 사용함으로써 마스크 패턴(342)이 제거된다.At least one of the side portions of the S / D structures 220 and 221 is removed by an etching operation so that the etched side surfaces of the S / D structures 220 and 221 are substantially parallel to the side surfaces of the upper fin structure 204. [ do. Mask pattern 342 is removed by using suitable etching and / or planarization operations such as CMP.

마스크 패턴(342)이 제거된 후에, 도 25에 도시된 바와 같이, 패터닝된 제 1 희생 층(215) 및 패터닝된 S/D 구조체(220 및 221) 위에 제 2 절연 층(346)이 형성된다.After the mask pattern 342 is removed, a second insulating layer 346 is formed over the patterned first sacrificial layer 215 and the patterned S / D structures 220 and 221, as shown in FIG. 25 .

제 2 절연 층(346)이 형성된 후에, 개구(344)를 충전하고 제 1 희생 층(215) 및 S/D 구조체를 커버하기 위해 제 1 층간 유전체(ILD) 층(345)이 형성된다. CMP 프로세스와 같은 평탄화 프로세스는 ILD 층(345)에 대한 과잉 재료 및 제 2 절연 층(346)의 일부를 제거하기 위해 수행된다. 평탄화 프로세스에 의해, 도 26에 도시된 바와 같이, 제 1 희생 층(215)의 상부 표면이 일부 실시예에서 노출된다.After the second insulating layer 346 is formed, a first interlayer dielectric (ILD) layer 345 is formed to fill the opening 344 and cover the first sacrificial layer 215 and the S / D structure. A planarization process, such as a CMP process, is performed to remove the excess material for the ILD layer 345 and a portion of the second insulation layer 346. By the planarization process, the upper surface of the first sacrificial layer 215 is exposed in some embodiments, as shown in Fig.

후속적으로, 도 27에 도시된 바와 같이, 적합한 에칭 동작을 사용함으로써 개구(348)를 형성함으로써 제 1 희생 층(215)이 제거된다. 일부 실시예에서, 습식 에칭 동작이 사용된다.Subsequently, as shown in FIG. 27, the first sacrificial layer 215 is removed by forming the opening 348 by using an appropriate etching operation. In some embodiments, a wet etch operation is used.

제 1 희생 층(215)이 제거된 후에, S/D 구조체의 최상부 또는 측면 상에 남아있는 제 1 절연 층(222)이 제거됨으로써, S/D 구조체를 노출하고, S/D 구조체(220 및 221)의 노출된 최상부 및 측면 상에 규화물 층(227)이 형성된다. 후속적으로, S/D 구조체의 최상부 및 측면 상에 형성되는 규화물 층(227)을 접촉하기 위해 접촉부(250)가 형성된다.After the first sacrificial layer 215 is removed, the first insulating layer 222 remaining on the top or side of the S / D structure is removed to expose the S / D structure, and the S / D structure 220 and / A silicide layer 227 is formed on the exposed uppermost and side surfaces of the silicon carbide layer 221. [ Subsequently, a contact portion 250 is formed to contact the silicide layer 227 formed on the top and sides of the S / D structure.

접촉부(250)를 형성한 후에, 추가적인 층간 유전체 층, 접촉부/비아, 상호연결 금속 층 및 수동 층 등과 같은 다양한 피처를 형성하기 위해 추가적인 CMOS 프로세스가 수행된다.After forming the contacts 250, additional CMOS processes are performed to form various features such as additional inter-level dielectric layers, contact / via, interconnect metal layers and passive layers.

모든 장점이 반드시 본원에 논의되지는 않았고, 모든 실시예 또는 예에 대해 특정 장점이 요구되는 것은 아니며, 다른 실시예 또는 예가 서로 다른 장점을 제공할 수 있음이 이해될 것이다.It will be appreciated that not all advantages are necessarily discussed herein, that specific advantages are not required for all embodiments or examples, and that other embodiments or examples may provide different advantages.

예를 들어, 본 개시물에서, 일단 인접한 핀 구조체의 좁은 분리로 인해 소스/드레인 구조체의 병합된 에피택셜 층은 이후의 패터닝 동작에 의해 분리되면, 따라서 인접한 FinFET 사이의 단락(short-circuit) 문제점을 야기시키지 않고서 디바이스 면적을 감소시킬 수 있다. 추가로, 더 높은 에칭 선택성(예를 들어, Si)을 가지는 재료가 분리 패터닝에서 제 2 희생 층으로서 사용되기 때문에, 사후-에칭된 S/D 구조체의 크기를 더 정밀하게 제어할 수 있다.For example, in the present disclosure, once a merged epitaxial layer of a source / drain structure is separated by a subsequent patterning operation due to the narrow separation of an adjacent fin structure, and thus a short-circuit problem between adjacent FinFETs It is possible to reduce the device area without causing a problem. In addition, the size of the post-etched S / D structure can be more precisely controlled since a material having a higher etch selectivity (e.g., Si) is used as the second sacrificial layer in the separate patterning.

본 개시물의 일 양상에 따르면, 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에서, FinFET 구조체 및 격리 절연 층의 소스/드레인 구조체 위에 희생 층이 형성된다. 마스크 패턴은 희생 층 위에 형성된다. 마스크 패턴을 에칭 마스크로서 사용함으로써 희생 층 및 소스/드레인 구조체가 패터닝되고, 그에 의해 패터닝된 희생 층 및 소스/드레인 구조체에 인접한 개구를 형성한다. 유전체 층이 개구에 형성된다. 유전체 층이 형성된 후에, 패터닝된 소스/드레인 구조체 위에 접촉 개구를 형성하기 위해 패터닝된 희생 층이 제거된다. 도전성 층은 접촉 개구에 형성된다.According to one aspect of the disclosure, in a method of forming a semiconductor device including a fin field effect transistor (FinFET), a sacrificial layer is formed over a FinFET structure and a source / drain structure of an isolation dielectric layer. A mask pattern is formed on the sacrificial layer. By using the mask pattern as an etching mask, the sacrificial layer and the source / drain structure are patterned, thereby forming an opening adjacent to the patterned sacrificial layer and the source / drain structure. A dielectric layer is formed in the opening. After the dielectric layer is formed, the patterned sacrificial layer is removed to form a contact opening over the patterned source / drain structure. A conductive layer is formed in the contact opening.

본 개시물의 다른 양상에 따르면, 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에서, 제 1 FinFET 구조체의 제 1 소스/드레인 구조체, 제 2 FinFET 구조체의 제 2 소스/드레인 구조체 및 격리 절연 층 위에 희생 층이 형성된다. 제 1 소스/드레인 구조체 및 제 2 소스/드레인 구조체가 병합된다. 희생 층 위에 마스크 패턴이 형성된다. 마스크 패턴을 에칭 마스크로서 사용함으로써 희생 층 및 제 1 및 제 2 소스/드레인 구조체가 패터닝되고, 그에 의해 제 1 및 제 2 소스/드레인 구조체를 분리하고 패터닝된 희생 층 및 패터닝된 제 1 및 제 2 소스/드레인 구조체에 인접한 개구를 형성한다. 유전체 층은 개구에 형성된다. 유전체 층이 형성된 후에, 패터닝된 제 1 및 제 2 소스/드레인 구조체 위에 각각 접촉 개구를 형성하기 위해 패터닝된 희생 층이 제거된다. 도전성 층이 접촉 개구에 형성된다.According to another aspect of the present disclosure, there is provided a method of forming a semiconductor device including a FinFET, comprising: forming a first source / drain structure of a first FinFET structure, a second source / drain structure of a second FinFET structure, A sacrificial layer is formed on the isolated insulating layer. The first source / drain structure and the second source / drain structure are merged. A mask pattern is formed on the sacrificial layer. The sacrificial layer and the first and second source / drain structures are patterned by using the mask pattern as an etching mask, thereby separating the first and second source / drain structures and forming the patterned sacrificial layer and the patterned first and second Forming an opening adjacent the source / drain structure. A dielectric layer is formed in the opening. After the dielectric layer is formed, the patterned sacrificial layer is removed to form contact openings on the patterned first and second source / drain structures, respectively. A conductive layer is formed in the contact opening.

본 개시물의 다른 양상에 따르면, 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스는 제 1 방향으로 연장되는 제 1 핀 구조체 및 제 1 소스/드레인 구조체를 포함하는 제 1 FinFET, 제 1 FinFET에 인접하여 배치되고 제 1 방향으로 연장되는 제 2 핀 구조체 및 제 2 소스/드레인 구조체를 포함하는 제 2 FinFET, 및 제 1 소스/드레인 구조체 및 제 2 소스/드레인 구조체를 분리하는 유전체 층을 포함한다. 제 1 소스/드레인 구조체는 제 1 방향을 교차하는 제 2 방향을 따른 단면에서 제 1 핀 구조체에 관하여 비대칭이다.According to another aspect of the present disclosure, a semiconductor device including a FinFET includes a first fin structure including a first fin structure extending in a first direction and a first source / drain structure, a first FinFET adjacent to the first FinFET, And a second fin structure including a second fin structure and a second source / drain structure disposed in the first direction and extending in a first direction, and a dielectric layer separating the first source / drain structure and the second source / drain structure. The first source / drain structure is asymmetric with respect to the first fin structure in a cross-section along the second direction crossing the first direction.

1) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법은, FinFET 구조체의 소스/드레인 구조체 위의 희생 층 및 격리 절연 층을 형성하는 단계; 상기 희생 층 위에 마스크 패턴을 형성하는 단계; 상기 마스크 패턴을 에칭 마스크로서 사용함으로써 상기 희생 층 및 상기 소스/드레인 구조체를 패터닝하여, 상기 패터닝된 희생 층 및 소스/드레인 구조체에 인접한 개구들을 형성하는 단계; 상기 개구들에 유전체 층을 형성하는 단계; 상기 유전체 층이 형성된 후에, 상기 패터닝된 소스/드레인 구조체 위에 접촉 개구를 형성하기 위해 상기 패터닝된 희생 층을 제거하는 단계; 및 상기 접촉 개구에 도전성 층을 형성하는 단계를 포함할 수 있다.1) A method of forming a semiconductor device including a fin field effect transistor (FinFET) according to some embodiments of the present disclosure, comprising: forming a sacrificial layer and an insulative isolation layer over a source / drain structure of a FinFET structure; Forming a mask pattern on the sacrificial layer; Patterning the sacrificial layer and the source / drain structure by using the mask pattern as an etch mask to form openings adjacent the patterned sacrificial layer and the source / drain structure; Forming a dielectric layer in the openings; Removing the patterned sacrificial layer to form a contact opening over the patterned source / drain structure after the dielectric layer is formed; And forming a conductive layer in the contact opening.

2) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에 있어서, 상기 희생 층이 형성되기 전에, 제 1 절연 층은 상기 소스/드레인 구조체 및 상기 격리 절연 층 위에 형성될 수 있다.2) A method of forming a semiconductor device comprising a fin field effect transistor (FinFET) according to some embodiments of the present disclosure, wherein before the sacrificial layer is formed, a first insulating layer is formed between the source / May be formed over the insulating layer.

3) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에 있어서, 상기 희생 층은 Ⅳ 족 엘리먼트(elemental) 또는 화합물(compound) 재료들 중 하나 이상으로 제조될 수 있다.3) A method of forming a semiconductor device comprising a fin field effect transistor (FinFET) according to some embodiments of the present disclosure, the sacrificial layer comprising at least one of a Group IV elemental or compound materials .

4) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에 있어서, 상기 희생 층은 실리콘 기반 또는 알루미늄 기반 유전체 재료 중 하나 이상으로 제조될 수 있다.4) A method of forming a semiconductor device comprising a fin field effect transistor (FinFET) according to some embodiments of the present disclosure, wherein the sacrificial layer may be made of one or more of silicon based or aluminum based dielectric materials.

5) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법은, 상기 희생 층 및 상기 소스/드레인 구조체가 패터닝된 후에 그리고 상기 유전체 층이 형성되기 전에, 상기 패터닝된 희생 층 및 소스/드레인 구조체 위에 제 2 절연 층을 형성하는 단계를 더 포함할 수 있다.5) A method of forming a semiconductor device comprising a fin field effect transistor (FinFET) according to some embodiments of the present disclosure, wherein after the sacrificial layer and the source / drain structure are patterned and before the dielectric layer is formed, And forming a second insulating layer on the patterned sacrificial layer and the source / drain structure.

6) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법은, 상기 희생 층 및 상기 소스/드레인 구조체가 패터닝된 후에 그리고 상기 제 2 절연 층이 형성되기 전에, 상기 패터닝된 소스/드레인 구조체 위에 규화물 층을 형성하는 단계를 더 포함할 수 있다.6) A method of forming a semiconductor device comprising a fin field effect transistor (FinFET) in accordance with some embodiments of the present disclosure, wherein after the sacrificial layer and the source / drain structure are patterned and the second insulating layer is formed The method may further include forming a silicide layer on the patterned source / drain structure.

7) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에 있어서, 상기 희생 층은 상기 격리 절연 층, 상기 제 1 절연 층 및 상기 제 2 절연 층과 다른 재료로 제조될 수 있다.7) A method of forming a semiconductor device comprising a fin field effect transistor (FinFET) in accordance with some embodiments of the present disclosure, the sacrificial layer comprising: a first insulating layer, But may be made of other materials.

8) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에 있어서, 상기 소스/드레인 구조체는 핀 구조체, 및 대향하는 측면들 상에 그리고 상기 핀 구조체의 최상부 상에 형성되는 하나 이상의 에피택셜 층을 포함할 수 있고, 상기 소스/드레인 구조체는 상기 측면들 중 적어도 하나 상에 형성되는 상기 하나 이상의 에피택셜 층이 부분적으로 에칭되도록 패터닝될 수 있다.8) A method of forming a semiconductor device comprising a fin field effect transistor (FinFET) according to some embodiments of the present disclosure, wherein the source / drain structure comprises a fin structure, and on the opposite sides, The source / drain structure may be patterned such that the at least one epitaxial layer formed on at least one of the sides is partially etched.

9) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에 있어서, 상기 소스/드레인 구조체는 상기 측면들 양쪽 상에 형성되는 상기 하나 이상의 에피택셜 층이 부분적으로 에칭되도록 패터닝될 수 있다.9) A method of forming a semiconductor device comprising a fin field effect transistor (FinFET) according to some embodiments of the present disclosure, wherein the source / drain structure comprises at least one epitaxial layer formed on both sides And may be patterned to be partially etched.

10) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에 있어서, 상기 소스/드레인 구조체는 상기 격리 절연 층에 매립된(embedded) 핀 구조체 및 상기 핀 구조체의 최상부 상에 형성되는 하나 이상의 에피택셜 층을 포함할 수 있고, 상기 소스/드레인 구조체는 상기 하나 이상의 에피택셜 층이 부분적으로 에칭되도록 패터닝될 수 있다.10) A method of forming a semiconductor device comprising a fin field effect transistor (FinFET) according to some embodiments of the present disclosure, the source / drain structure including a pin structure embedded in the isolation layer, One or more epitaxial layers formed on top of the structure and the source / drain structures may be patterned such that the at least one epitaxial layer is partially etched.

11) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법은, 제 1 FinFET 구조체의 제 1 소스/드레인 구조체, 제 2 FinFET 구조체의 제 2 소스/드레인 구조체 ― 상기 제 1 소스/드레인 구조체 및 상기 제 2 소스/드레인 구조체는 병합(merged)됨 ― , 및 격리 절연 층 위에 희생 층을 형성하는 단계; 상기 희생 층 위에 마스크 패턴을 형성하는 단계; 상기 마스크 패턴을 에칭 마스크로서 사용함으로써 상기 희생 층 및 상기 제 1 및 제 2 소스/드레인 구조체를 패터닝하여, 상기 제 1 및 제 2 소스/드레인 구조체를 분리하고 상기 패터닝된 희생 층 및 상기 패터닝된 제 1 및 제 2 소스/드레인 구조체에 인접한 개구들을 형성하는 단계; 상기 개구들 내에 유전체 층을 형성하는 단계; 상기 유전체 층이 형성된 후에, 상기 패터닝된 제 1 및 제 2 소스/드레인 구조체 각각의 위에 접촉 개구들을 형성하기 위해 상기 패터닝된 희생 층을 제거하는 단계; 및 상기 접촉 개구들 내에 도전성 층을 형성하는 단계를 포함할 수 있다.11) A method of forming a semiconductor device comprising a fin field effect transistor (FinFET) according to some embodiments of the present disclosure includes forming a first source / drain structure of a first FinFET structure, a second source / drain of a second FinFET structure, Wherein the first source / drain structure and the second source / drain structure are merged, and forming a sacrificial layer over the isolation dielectric layer; Forming a mask pattern on the sacrificial layer; Patterning the sacrificial layer and the first and second source / drain structures by using the mask pattern as an etch mask to separate the first and second source / drain structures and to pattern the patterned sacrificial layer and the patterned 1 and the second source / drain structure; Forming a dielectric layer in the openings; Removing the patterned sacrificial layer to form contact openings on each of the patterned first and second source / drain structures after the dielectric layer is formed; And forming a conductive layer in the contact openings.

12) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에 있어서, 상기 제 1 소스/드레인 구조체는 상기 제 2 소스/드레인 구조체와 동일한 도전성 타입을 가질 수 있다.12) A method of forming a semiconductor device comprising a fin field effect transistor (FinFET) according to some embodiments of the present disclosure, wherein the first source / drain structure has the same conductivity type as the second source / drain structure .

13) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에 있어서, 상기 제 1 소스/드레인 구조체는 상기 제 2 소스/드레인 구조체와 다른 도전성 타입을 가질 수 있다.13) A method of forming a semiconductor device comprising a fin field effect transistor (FinFET) according to some embodiments of the present disclosure, the first source / drain structure having a conductivity type different from the second source / .

14) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에 있어서, 상기 희생 층이 형성되기 전에, 제 1 절연 층은 상기 병합된 제 1 및 제 2 소스/드레인 구조체 및 상기 격리 절연 층 위에 형성될 수 있다.14) A method of forming a semiconductor device comprising a fin field effect transistor (FinFET) according to some embodiments of the present disclosure, wherein before forming the sacrificial layer, a first insulating layer is formed over the first and second A source / drain structure and the isolation insulating layer.

15) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에 있어서, 상기 희생 층은 Si, SiGe 및 Ge 중 적어도 하나로 제조될 수 있다.15) A method of forming a semiconductor device comprising a fin field effect transistor (FinFET) according to some embodiments of the present disclosure, wherein the sacrificial layer may be made of at least one of Si, SiGe, and Ge.

16) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에 있어서, 상기 희생 층은 SiOC, SiC, SiON, SiCN, SiOCN, SiN 및 SiO2 중 적어도 하나로 제조될 수 있다.16) A method of forming a semiconductor device including a fin field effect transistor (FinFET) in accordance with some embodiments of the present disclosure, the sacrificial layer SiOC, SiC, SiON, SiCN, SiOCN, at least one of SiN and SiO 2 .

17) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법은, 상기 희생 층 및 상기 제 1 및 제 2 소스/드레인 구조체가 패터닝된 후에 그리고 상기 유전체 층이 형성되기 전에, 상기 패터닝된 희생 층 및 제 1 및 제 2 소스/드레인 구조체 위에 제 2 절연 층을 형성하는 단계를 더 포함할 수 있다.17) A method of forming a semiconductor device comprising a fin field effect transistor (FinFET) in accordance with some embodiments of the present disclosure, comprising the steps of: after the sacrificial layer and the first and second source / drain structures are patterned, And forming a second insulating layer on the patterned sacrificial layer and the first and second source / drain structures prior to forming the patterned sacrificial layer.

18) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에 있어서, 상기 희생 층은 상기 격리 절연 층, 상기 제 1 절연 층 및 상기 제 2 절연 층과 다른 재료로 제조될 수 있다.18) A method of forming a semiconductor device comprising a fin field effect transistor (FinFET) in accordance with some embodiments of the present disclosure, the sacrificial layer comprising a first insulating layer, a first insulating layer, But may be made of other materials.

19) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에 있어서, 상기 제 1 소스/드레인 구조체는 제 1 에피택셜 층(epitaxial layer)을 포함할 수 있고, 상기 제 2 소스/드레이 구조체는 제 2 에피택셜 층을 포함할 수 있고, 상기 제 1 에피택셜 층은 상기 제 2 에피택셜 층과 병합될(merged) 수 있고, 상기 제 1 및 제 2 소스/드레인 구조체는 상기 병합된 제 1 및 제 2 에피택셜 층이 분리되도록 패터닝될 수 있다.19) A method of forming a semiconductor device comprising a fin field effect transistor (FinFET) according to some embodiments of the present disclosure, wherein the first source / drain structure may comprise a first epitaxial layer And the second source / drain structure may include a second epitaxial layer, the first epitaxial layer may be merged with the second epitaxial layer, and the first and second source / / Drain structure may be patterned to separate the merged first and second epitaxial layers.

20) 본 개시의 일부 실시예에 따른 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스는, 제 1 방향으로 연장되는 제 1 핀 구조체 및 제 1 소스/드레인 구조체를 포함하는 제 1 FinFET; 상기 제 1 FinFET에 인접하여 배치되고, 상기 제 1 방향으로 연장되는 제 2 핀 구조체 및 제 2 소스/드레인 구조체를 포함하는 제 2 FinFET; 및 상기 제 1 소스/드레인 구조체 및 상기 제 2 소스/드레인 구조체를 분리시키는 유전체 층을 포함할 수 있고, 상기 제 1 소스/드레인 구조체는 상기 제 1 방향을 교차하는 제 2 방향을 따른 단면으로 상기 제 1 핀 구조체에 관하여 비대칭일 수 있다.20) A semiconductor device comprising a fin field effect transistor (FinFET) according to some embodiments of the present disclosure includes: a first FinFET including a first fin structure extending in a first direction and a first source / drain structure; A second FinFET disposed adjacent to the first FinFET and including a second fin structure and a second source / drain structure extending in the first direction; And a dielectric layer separating the first source / drain structure and the second source / drain structure, wherein the first source / drain structure is a cross-section along a second direction crossing the first direction, But may be asymmetric with respect to the first pin structure.

전술한 바는 당업자가 본 개시물의 양상을 더 잘 이해할 수 있도록 여러 실시예 또는 예의 피처를 개략한다. 당업자는 동일한 목적을 실행하고 및/또는 본원에 도입된 실시예 또는 예의 동일한 장점을 달성하기 위한 다른 프로세스 및 구조체를 설계하거나 수정하기 위한 기반으로서 본 개시물을 용이하게 사용할 수 있음을 인식해야 한다. 당업자는 또한 그와 같은 등가물 구성이 본 개시물의 정신 및 범위로부터 이탈하지 않고, 본 개시물의 정신 및 범위로부터 이탈하지 않고서 본원에서의 다양한 변경, 치환 및 개정을 행할 수 있음을 깨달아야 한다.The foregoing outlines features of the various embodiments or examples to enable those skilled in the art to better understand the aspects of the disclosure. Those skilled in the art should appreciate that the present disclosure can readily be used as a basis for designing or modifying other processes and structures to accomplish the same objectives and / or to achieve the same advantages of the embodiments or examples introduced herein. Those skilled in the art will also appreciate that such equivalents may be made without departing from the spirit and scope of this disclosure, and without departing from the spirit and scope of this disclosure, various changes, substitutions and alterations can be made herein.

Claims (10)

핀 전계 효과 트랜지스터(fin field effect transistor: FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에 있어서,
FinFET 구조체의 소스/드레인 구조체 및 격리 절연 층 위에 희생 층을 형성하는 단계;
상기 희생 층 위에 마스크 패턴을 형성하는 단계;
상기 마스크 패턴을 에칭 마스크로서 사용함으로써 상기 희생 층 및 상기 소스/드레인 구조체를 패터닝하여, 상기 패터닝된 희생 층 및 소스/드레인 구조체에 인접한 개구들을 형성하는 단계;
상기 개구들에 유전체 층을 형성하는 단계;
상기 유전체 층이 형성된 후에, 상기 패터닝된 소스/드레인 구조체 위에 접촉 개구를 형성하기 위해 상기 패터닝된 희생 층을 제거하는 단계; 및
상기 접촉 개구에 도전성 층을 형성하는 단계
를 포함하는, 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법.
A method of forming a semiconductor device comprising a fin field effect transistor (FinFET), the method comprising:
Forming a sacrificial layer over the source / drain structure and isolated isolation layer of the FinFET structure;
Forming a mask pattern on the sacrificial layer;
Patterning the sacrificial layer and the source / drain structure by using the mask pattern as an etch mask to form openings adjacent the patterned sacrificial layer and the source / drain structure;
Forming a dielectric layer in the openings;
Removing the patterned sacrificial layer to form a contact opening over the patterned source / drain structure after the dielectric layer is formed; And
Forming a conductive layer in the contact opening
Gt; (FinFET), < / RTI >
제1항에 있어서,
상기 희생 층이 형성되기 전에, 제 1 절연 층은 상기 소스/드레인 구조체 및 상기 격리 절연 층 위에 형성되는 것인, 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법.
The method according to claim 1,
Wherein the first insulating layer is formed on the source / drain structure and the isolation insulating layer before the sacrificial layer is formed.
제2항에 있어서,
상기 희생 층 및 상기 소스/드레인 구조체가 패터닝된 후에 그리고 상기 유전체 층이 형성되기 전에, 상기 패터닝된 희생 층 및 소스/드레인 구조체 위에 제 2 절연 층을 형성하는 단계를 더 포함하는, 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법.
3. The method of claim 2,
Further comprising forming a second insulating layer on the patterned sacrificial layer and the source / drain structure after the sacrificial layer and the source / drain structure are patterned and before the dielectric layer is formed. (FinFET). ≪ / RTI >
제3항에 있어서,
상기 희생 층 및 상기 소스/드레인 구조체가 패터닝된 후에 그리고 상기 제 2 절연 층이 형성되기 전에, 상기 패터닝된 소스/드레인 구조체 위에 규화물 층을 형성하는 단계를 더 포함하는, 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법.
The method of claim 3,
Further comprising forming a silicide layer on the patterned source / drain structure after the sacrificial layer and the source / drain structure are patterned and before the second insulating layer is formed. ≪ / RTI >
제3항에 있어서,
상기 희생 층은 상기 격리 절연 층, 상기 제 1 절연 층 및 상기 제 2 절연 층과 다른 재료로 제조되는 것인, 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법.
The method of claim 3,
Wherein the sacrificial layer is made of a material different from the isolation insulating layer, the first insulating layer, and the second insulating layer.
제1항에 있어서,
상기 소스/드레인 구조체는 핀 구조체, 및 대향하는 측면들 상에 그리고 상기 핀 구조체의 최상부 상에 형성되는 하나 이상의 에피택셜 층을 포함하고,
상기 소스/드레인 구조체는 상기 측면들 중 적어도 하나 상에 형성되는 상기 하나 이상의 에피택셜 층이 부분적으로 에칭되도록 패터닝되는 것인, 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법.
The method according to claim 1,
Wherein the source / drain structure comprises a fin structure, and at least one epitaxial layer formed on opposite sides and on top of the fin structure,
Wherein the source / drain structure is patterned such that the at least one epitaxial layer formed on at least one of the sides is partially etched. ≪ Desc / Clms Page number 19 >
제6항에 있어서,
상기 소스/드레인 구조체는 상기 측면들 양쪽 상에 형성되는 상기 하나 이상의 에피택셜 층이 부분적으로 에칭되도록 패터닝되는 것인, 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법.
The method according to claim 6,
Wherein the source / drain structure is patterned such that the at least one epitaxial layer formed on both sides of the sides is partially etched.
제1항에 있어서,
상기 소스/드레인 구조체는 상기 격리 절연 층에 매립된(embedded) 핀 구조체, 및 상기 핀 구조체의 최상부 상에 형성되는 하나 이상의 에피택셜 층을 포함하고,
상기 소스/드레인 구조체는 상기 하나 이상의 에피택셜 층이 부분적으로 에칭되도록 패터닝되는 것인, 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법.
The method according to claim 1,
Wherein the source / drain structure comprises a pin structure embedded in the isolation dielectric layer, and at least one epitaxial layer formed on top of the pin structure,
Wherein the source / drain structure is patterned such that the at least one epitaxial layer is partially etched. ≪ Desc / Clms Page number 20 >
핀 전계 효과 트랜지스터(fin field effect transistor: FinFET)를 포함하는 반도체 디바이스를 형성하는 방법에 있어서,
제 1 FinFET 구조체의 제 1 소스/드레인 구조체, 제 2 FinFET 구조체의 제 2 소스/드레인 구조체 ― 상기 제 1 소스/드레인 구조체 및 상기 제 2 소스/드레인 구조체는 병합(merged)됨 ― , 및 격리 절연 층 위에 희생 층을 형성하는 단계;
상기 희생 층 위에 마스크 패턴을 형성하는 단계;
상기 마스크 패턴을 에칭 마스크로서 사용함으로써 상기 희생 층 및 상기 제 1 및 제 2 소스/드레인 구조체를 패터닝하여, 상기 제 1 및 제 2 소스/드레인 구조체를 분리하고 상기 패터닝된 희생 층 및 상기 패터닝된 제 1 및 제 2 소스/드레인 구조체에 인접한 개구들을 형성하는 단계;
상기 개구들 내에 유전체 층을 형성하는 단계;
상기 유전체 층이 형성된 후에, 상기 패터닝된 제 1 및 제 2 소스/드레인 구조체 각각의 위에 접촉 개구들을 형성하기 위해 상기 패터닝된 희생 층을 제거하는 단계; 및
상기 접촉 개구들 내에 도전성 층을 형성하는 단계
를 포함하는, 핀 전계 효과 트랜지스터(FinFET)를 포함하는 반도체 디바이스를 형성하는 방법.
A method of forming a semiconductor device comprising a fin field effect transistor (FinFET), the method comprising:
The first source / drain structure of the first FinFET structure, the second source / drain structure of the second FinFET structure, the first source / drain structure and the second source / drain structure are merged, Forming a sacrificial layer over the layer;
Forming a mask pattern on the sacrificial layer;
Patterning the sacrificial layer and the first and second source / drain structures by using the mask pattern as an etch mask to separate the first and second source / drain structures and to pattern the patterned sacrificial layer and the patterned 1 and the second source / drain structure;
Forming a dielectric layer in the openings;
Removing the patterned sacrificial layer to form contact openings on each of the patterned first and second source / drain structures after the dielectric layer is formed; And
Forming a conductive layer in the contact openings
Gt; (FinFET), < / RTI >
반도체 디바이스를 형성하는 방법에 있어서,
핀 구조체의 소스/드레인 영역 위에 에피택셜 층을 형성하는 단계;
상기 에피택셜 층 위에 희생 층을 형성하는 단계;
상기 희생 층 및 상기 에피택셜 층을 패터닝하는 단계;
패터닝된 상기 희생 층 및 패터닝된 상기 에피택셜 층 위에 절연 층을 형성하는 단계;
상기 절연 층 위에 유전체 층을 형성하는 단계;
상기 유전체 층이 형성된 후에, 상기 패터닝된 희생 층을 제거하는 단계; 및
상기 패터닝된 에피택셜 층 위에 도전성 콘택(contact)을 형성하는 단계
를 포함하는, 반도체 디바이스를 형성하는 방법.
A method of forming a semiconductor device,
Forming an epitaxial layer on the source / drain regions of the fin structure;
Forming a sacrificial layer over the epitaxial layer;
Patterning the sacrificial layer and the epitaxial layer;
Forming an insulating layer on the patterned sacrificial layer and the patterned epitaxial layer;
Forming a dielectric layer over the insulating layer;
Removing the patterned sacrificial layer after the dielectric layer is formed; And
Forming a conductive contact over the patterned epitaxial layer;
/ RTI > A method of forming a semiconductor device,
KR1020170060358A 2016-11-29 2017-05-16 A method of manufacturing a semiconductor device with separated merged source/drain structure KR101954412B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427432P 2016-11-29 2016-11-29
US62/427,432 2016-11-29
US15/429,844 2017-02-10
US15/429,844 US10886268B2 (en) 2016-11-29 2017-02-10 Method of manufacturing a semiconductor device with separated merged source/drain structure

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020190022660A Division KR102073395B1 (en) 2016-11-29 2019-02-26 A method of manufacturing a semiconductor device with separated merged source/drain structure

Publications (2)

Publication Number Publication Date
KR20180060909A KR20180060909A (en) 2018-06-07
KR101954412B1 true KR101954412B1 (en) 2019-03-05

Family

ID=62117626

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170060358A KR101954412B1 (en) 2016-11-29 2017-05-16 A method of manufacturing a semiconductor device with separated merged source/drain structure
KR1020190022660A KR102073395B1 (en) 2016-11-29 2019-02-26 A method of manufacturing a semiconductor device with separated merged source/drain structure

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020190022660A KR102073395B1 (en) 2016-11-29 2019-02-26 A method of manufacturing a semiconductor device with separated merged source/drain structure

Country Status (5)

Country Link
US (2) US10886268B2 (en)
KR (2) KR101954412B1 (en)
CN (2) CN113782531A (en)
DE (1) DE102017103419B4 (en)
TW (1) TWI662600B (en)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101765387B1 (en) * 2015-06-24 2017-08-23 서강대학교산학협력단 Nanogap structure having ultrasmall void between metal cores and molecular sensing apparatus and method using the same, and method for preparing the nanogap structure by selective etching
US9871100B2 (en) * 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US9601567B1 (en) * 2015-10-30 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple Fin FET structures having an insulating separation plug
CN108122976B (en) * 2016-11-29 2020-11-03 中芯国际集成电路制造(上海)有限公司 Semiconductor structure, forming method thereof and SRAM
US10211045B1 (en) 2018-01-24 2019-02-19 Globalfoundries Inc. Microwave annealing of flowable oxides with trap layers
KR102476142B1 (en) * 2018-03-14 2022-12-09 삼성전자주식회사 Semiconductor devices
US10373875B1 (en) * 2018-03-22 2019-08-06 Globalfoundries Inc. Contacts formed with self-aligned cuts
KR102435522B1 (en) * 2018-03-27 2022-08-23 삼성전자주식회사 Integrated circuit devices and method for manufacturing the same
US10872892B2 (en) 2018-06-29 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10998310B2 (en) * 2018-07-09 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Fins with wide base in a FINFET
US11398474B2 (en) * 2018-09-18 2022-07-26 Intel Corporation Neighboring gate-all-around integrated circuit structures having disjoined epitaxial source or drain regions
US10797151B2 (en) * 2018-09-27 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structures for field effect transistors
US10804398B2 (en) * 2018-10-15 2020-10-13 Globalfoundries Inc. Method of forming wrap-around-contact and the resulting device
US11088337B2 (en) 2018-11-20 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing a field effect transistor using carbon nanotubes and field effect transistors
DE102019131057A1 (en) * 2018-11-29 2020-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. SEMICONDUCTOR DEVICE AND MANUFACTURING METHOD
US11121238B2 (en) 2018-11-29 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11189728B2 (en) * 2019-09-05 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US20210233764A1 (en) * 2020-01-28 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method of Forming Thereof
US11515211B2 (en) * 2020-02-27 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Cut EPI process and structures
DE102020115553A1 (en) * 2020-02-27 2021-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. CUT-EPI PROCEDURES AND STRUCTURES
CN113497145B (en) * 2020-04-01 2024-03-29 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
US11527533B2 (en) * 2020-05-29 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET pitch scaling
US11664423B2 (en) * 2020-08-18 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a source/drain of a semiconductor device having an insulating stack in a recess structure
US11349002B2 (en) * 2020-09-25 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure for for isolating epitaxially grown source/drain regions and method of fabrication thereof
US11862468B2 (en) * 2021-01-29 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120261829A1 (en) * 2011-04-15 2012-10-18 International Business Machines Corporation Middle of line structures and methods for fabrication
KR101581153B1 (en) * 2012-05-15 2015-12-30 인터내셔널 비지네스 머신즈 코포레이션 Preventing shorting of adjacent devices

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100051595A (en) * 2007-05-25 2010-05-17 알에프 나노 코포레이션 Integrated nanotube and cmos devices for system-on-chip (soc) applications and method for forming the same
KR101378469B1 (en) * 2008-05-07 2014-03-28 삼성전자주식회사 Method of forming a contact structure and method of manufacturing a semiconductor device using the same
JP5454139B2 (en) * 2008-05-12 2014-03-26 東レ株式会社 Carbon nanotube composite, organic semiconductor composite, and field effect transistor
DE102008059500B4 (en) 2008-11-28 2010-08-26 Advanced Micro Devices, Inc., Sunnyvale Method for producing a multi-gate transistor with homogeneously silicided land end regions
US8399931B2 (en) * 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
KR101872430B1 (en) 2011-08-25 2018-07-31 엘지디스플레이 주식회사 Liquid crystal display and its driving method
KR101650416B1 (en) * 2011-12-23 2016-08-23 인텔 코포레이션 Non-planar gate all-around device and method of fabrication thereof
DE112011106049B4 (en) * 2011-12-30 2018-02-15 Intel Corporation SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
US8809131B2 (en) * 2012-07-17 2014-08-19 International Business Machines Corporation Replacement gate fin first wire last gate all around devices
US8823065B2 (en) * 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8946029B2 (en) * 2012-11-12 2015-02-03 GlobalFoundries, Inc. Methods of manufacturing integrated circuits having FinFET structures with epitaxially formed source/drain regions
CN103928328B (en) * 2013-01-10 2016-12-28 中芯国际集成电路制造(上海)有限公司 The forming method of fin formula field effect transistor
US9231106B2 (en) 2013-03-08 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with an asymmetric source/drain structure and method of making same
US9324870B2 (en) * 2013-09-09 2016-04-26 Globalfoundries Inc. Fin field effect transistor including asymmetric raised active regions
US8993406B1 (en) * 2013-09-10 2015-03-31 International Business Machines Corporation FinFET device having a merged source drain region under contact areas and unmerged fins between contact areas, and a method of manufacturing same
KR102146469B1 (en) 2014-04-30 2020-08-21 삼성전자 주식회사 Semiconductor device and method for fabricating the same
US9349649B2 (en) 2014-06-26 2016-05-24 Globalfoundries Inc. Low resistance and defect free epitaxial semiconductor material for providing merged FinFETs
KR102265956B1 (en) * 2014-09-29 2021-06-17 삼성전자주식회사 Semiconductor devices having a source/drain and Method for fabricating the same
US9472470B2 (en) * 2014-12-09 2016-10-18 GlobalFoundries, Inc. Methods of forming FinFET with wide unmerged source drain EPI
US9515071B2 (en) 2014-12-24 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain depths
US9899268B2 (en) * 2015-03-11 2018-02-20 Globalfoundries Inc. Cap layer for spacer-constrained epitaxially grown material on fins of a FinFET device
KR102330757B1 (en) 2015-03-30 2021-11-25 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
KR102251060B1 (en) * 2015-04-06 2021-05-14 삼성전자주식회사 Semiconductor devices and methods of manufacturing semiconductor devices
KR102290538B1 (en) 2015-04-16 2021-08-19 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US10032910B2 (en) 2015-04-24 2018-07-24 GlobalFoundries, Inc. FinFET devices having asymmetrical epitaxially-grown source and drain regions and methods of forming the same
US9455331B1 (en) * 2015-07-10 2016-09-27 International Business Machines Corporation Method and structure of forming controllable unmerged epitaxial material
US9589851B2 (en) * 2015-07-16 2017-03-07 International Business Machines Corporation Dipole-based contact structure to reduce metal-semiconductor contact resistance in MOSFETs
US9397197B1 (en) 2015-09-23 2016-07-19 International Business Machines Corporation Forming wrap-around silicide contact on finFET
TWI660438B (en) * 2015-09-23 2019-05-21 聯華電子股份有限公司 Semiconductor device and method of fabricating the same
US9812400B1 (en) * 2016-05-13 2017-11-07 Globalfoundries Inc Contact line having insulating spacer therein and method of forming same
US10388576B2 (en) * 2016-06-30 2019-08-20 International Business Machines Corporation Semiconductor device including dual trench epitaxial dual-liner contacts
US10535652B2 (en) 2016-10-27 2020-01-14 International Business Machines Corporation Fabrication of vertical fin field effect transistors having top air spacers and a self-aligned top junction

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120261829A1 (en) * 2011-04-15 2012-10-18 International Business Machines Corporation Middle of line structures and methods for fabrication
KR101581153B1 (en) * 2012-05-15 2015-12-30 인터내셔널 비지네스 머신즈 코포레이션 Preventing shorting of adjacent devices

Also Published As

Publication number Publication date
TW201830491A (en) 2018-08-16
DE102017103419B4 (en) 2021-09-23
US20180337176A1 (en) 2018-11-22
US20180151564A1 (en) 2018-05-31
CN108122846A (en) 2018-06-05
CN113782531A (en) 2021-12-10
KR102073395B1 (en) 2020-02-05
KR20190025589A (en) 2019-03-11
TWI662600B (en) 2019-06-11
CN108122846B (en) 2021-10-08
DE102017103419A1 (en) 2018-05-30
US11127740B2 (en) 2021-09-21
US10886268B2 (en) 2021-01-05
KR20180060909A (en) 2018-06-07

Similar Documents

Publication Publication Date Title
KR101954412B1 (en) A method of manufacturing a semiconductor device with separated merged source/drain structure
US11189522B2 (en) Semiconductor device and method of manufacturing the same
US11695006B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
US11728414B2 (en) Semiconductor device including a Fin-FET and method of manufacturing the same
US20160111532A1 (en) Source/drain contacts for non-planar transistors
KR102303953B1 (en) Semiconductor device and method of manufacturing the same
CN106992154B (en) Semiconductor device and method for manufacturing the same
US11211492B2 (en) Method of manufacturing semiconductor devices having a SiGe epitaxtial layer containing Ga

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant