KR101920999B1 - Polymer, negative resist composition, and pattern forming process - Google Patents

Polymer, negative resist composition, and pattern forming process Download PDF

Info

Publication number
KR101920999B1
KR101920999B1 KR1020170069123A KR20170069123A KR101920999B1 KR 101920999 B1 KR101920999 B1 KR 101920999B1 KR 1020170069123 A KR1020170069123 A KR 1020170069123A KR 20170069123 A KR20170069123 A KR 20170069123A KR 101920999 B1 KR101920999 B1 KR 101920999B1
Authority
KR
South Korea
Prior art keywords
group
carbon atoms
polymer
branched
linear
Prior art date
Application number
KR1020170069123A
Other languages
Korean (ko)
Other versions
KR20170138941A (en
Inventor
준 하타케야마
다이스케 도몬
Original Assignee
신에쓰 가가꾸 고교 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 신에쓰 가가꾸 고교 가부시끼가이샤 filed Critical 신에쓰 가가꾸 고교 가부시끼가이샤
Publication of KR20170138941A publication Critical patent/KR20170138941A/en
Application granted granted Critical
Publication of KR101920999B1 publication Critical patent/KR101920999B1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/38Esters containing sulfur
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • C08F212/22Oxygen
    • C08F212/24Phenols or alcohols
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/32Monomers containing only one unsaturated aliphatic radical containing two or more rings
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F216/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an alcohol, ether, aldehydo, ketonic, acetal or ketal radical
    • C08F216/12Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an alcohol, ether, aldehydo, ketonic, acetal or ketal radical by an ether radical
    • C08F216/14Monomers containing only one unsaturated aliphatic radical
    • C08F216/1466Monomers containing sulfur
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F216/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an alcohol, ether, aldehydo, ketonic, acetal or ketal radical
    • C08F216/12Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an alcohol, ether, aldehydo, ketonic, acetal or ketal radical by an ether radical
    • C08F216/14Monomers containing only one unsaturated aliphatic radical
    • C08F216/1466Monomers containing sulfur
    • C08F216/1475Monomers containing sulfur and oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/38Esters containing sulfur
    • C08F220/382Esters containing sulfur and containing oxygen, e.g. 2-sulfoethyl (meth)acrylate
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers

Abstract

[과제] 종래의 네거티브형 레지스트 재료를 웃도는 고해상도이며 라인 엣지 러프니스가 작은 네거티브형 레지스트 재료의 베이스 수지로서 적합한 폴리머, 이것을 포함하는 네거티브형 레지스트 재료 및 이것을 이용한 패턴 형성 방법을 제공한다.
[해결수단] 하기 식(a)으로 표시되는 반복 단위, 하기 식(b)으로 표시되는 반복 단위 및 하기 식(c)으로 표시되는 반복 단위를 포함하고, 중량 평균 분자량이 1,000~500,000인 폴리머.

Figure 112017053088264-pat00030
[PROBLEMS] To provide a polymer suitable as a base resin of a negative type resist material having a high resolution and a small line edge roughness over conventional negative resist materials, a negative type resist material containing the same, and a pattern forming method using the same.
A polymer comprising a repeating unit represented by the following formula (a), a repeating unit represented by the following formula (b) and a repeating unit represented by the following formula (c) and having a weight average molecular weight of 1,000 to 500,000.
Figure 112017053088264-pat00030

Description

폴리머, 네거티브형 레지스트 재료 및 패턴 형성 방법{POLYMER, NEGATIVE RESIST COMPOSITION, AND PATTERN FORMING PROCESS}POLYMER, NEGATIVE RESIST COMPOSITION, AND PATTERN FORMING PROCESS [0002]

본 발명은 폴리머, 네거티브형 레지스트 재료 및 패턴 형성 방법에 관한 것이다. The present invention relates to a polymer, a negative type resist material and a pattern forming method.

LSI의 고집적화와 고속도화에 따라 패턴 룰의 미세화가 급속히 진행되고 있다. 10 nm 노드 로직 디바이스의 양산이나 DRAM에 관해서는 20 nm 이하의 디바이스 양산이 눈앞이다. 이들은 더블 패터닝 ArF 리소그래피에 의해서 형성된다. 또한, 파장 13.5 nm의 극단자외선(EUV) 리소그래피의 검토가 진행되고 있다. With the increasingly high integration and high speed of LSI, the pattern rule is becoming finer. We are seeing mass production of 10-nm node logic devices and mass production of devices under 20 nm for DRAM. These are formed by double patterned ArF lithography. In addition, extreme ultraviolet (EUV) lithography with a wavelength of 13.5 nm is being studied.

한편, 플래시 메모리는, 15 nm까지의 미세화를 견인한 후, 입체적인 적층에 의해서 용량을 늘리는 3차원 메모리로 시프트되어 오고 있다. 이 경우는, 다단의 적층막을 가공하기 위한 10 ㎛를 넘는 초후막의 가공 기술이 필요하게 된다. On the other hand, the flash memory has been shifted to a three-dimensional memory which pulls up the miniaturization up to 15 nm and then increases the capacity by three-dimensional lamination. In this case, it is necessary to fabricate a superfine film having a thickness of more than 10 mu m for processing a multi-layered laminated film.

ArF 더블 패터닝 리소그래피에 있어서, 마스크의 매수가 증가함과 더불어, 복수의 마스크의 위치 정렬 정밀도 향상이나 패턴 치수의 고정밀도화가 필요하다. EUV 리소그래피용 마스크에 있어서도, ArF 리소그래피용보다도 한층 더 미세한 패턴의 형성과 각각의 패턴의 고정밀도화가 필요하다. In ArF double patterning lithography, it is necessary to improve the alignment accuracy of a plurality of masks and to increase the precision of pattern dimensions, in addition to the increase in the number of masks. Even in the case of the mask for EUV lithography, it is necessary to form finer patterns and to make each pattern more accurate than that for ArF lithography.

마스크 패턴 제작에 있어서는, 전자선(EB) 리소그래피에 의해 레지스트 패턴을 형성하고 있다. EB 리소그래피의 스루풋 향상을 위해서, 화학 증폭 레지스트가 일반적으로 이용되고 있다. 상기 화학 증폭 레지스트로서는, 예컨대 폴리히드록시스티렌의 히드록시기의 일부를 산불안정기로 치환한 폴리머를 베이스 수지로 하고, 이것에 산발생제, 산의 확산을 제어하는 켄처, 계면활성제 및 유기 용제를 배합한 것을 들 수 있다. 화학 증폭 레지스트는 고감도화의 메리트가 있지만, 한편으로 산 확산의 상의 흐려짐에 의해서 해상도나 패턴의 정밀도가 저하해 버린다고 하는 결점도 갖고 있다. In the production of a mask pattern, a resist pattern is formed by electron beam (EB) lithography. In order to improve the throughput of EB lithography, chemically amplified resists are generally used. As the chemically amplified resist, for example, a polymer obtained by substituting a part of a hydroxyl group of a polyhydroxystyrene with an acid labile group is used as a base resin, and an acid generator, a quencher for controlling diffusion of acid, a surfactant and an organic solvent are blended ≪ / RTI > The chemically amplified resist has merits of high sensitivity, but also has the drawback that resolution of the resolution and pattern is degraded by blurring of the image of the acid diffusion.

EB 리소그래피에 의한 레지스트 패턴의 해상성의 향상과 함께, 레지스트 패턴의 종횡비가 증가하고, 이에 따라 현상 후의 린스 건조 시의 응력에 의해서 패턴의 붕괴가 발생한다고 하는 문제가 생기고 있다. 이것을 막기 위해서, 레지스트막의 박막화가 진행되고 있다. 이와 함께 드라이 에칭 내성의 향상이 필요하게 되고, 레지스트막의 드라이 에칭 내성 향상을 위해서, 산불안정기로 치환된 폴리히드록시스티렌과, 인덴(특허문헌 1)이나 아세나프틸렌(특허문헌 2)을 공중합한 폴리머 베이스의 포지티브형 레지스트가 제안되었다. 인덴이나 아세나프틸렌을 공중합함으로써, 드라이 에칭 내성이 향상되었을 뿐만 아니라, 산 확산을 제어하는 메리트도 있어, 해상성의 향상에도 기여했다. There is a problem that the resist pattern resolution by EB lithography is improved and the aspect ratio of the resist pattern is increased and consequently the pattern is collapsed due to the stress at the time of rinsing drying after development. In order to prevent this, the resist film is being thinned. In addition, in order to improve dry etching resistance, a polyhydroxystyrene substituted with an acid labile group and indene (Patent Document 1) or acenaphthylene (Patent Document 2) are copolymerized in order to improve dry etching resistance of the resist film A polymer-based positive resist has been proposed. The copolymerization of indene and acenaphthylene not only improved the dry etching resistance but also contributed to the control of acid diffusion, thereby contributing to an improvement in the resolution.

네거티브형 레지스트의 경우에 있어서도, 가교제 혹은 가교 유닛을 포함하는 베이스 폴리머를 이용하는 네거티브형 레지스트뿐만 아니라, 산에 의한 탈수 반응에 의해서 친수성이 저하하는 네거티브형 레지스트에 있어서도, 인덴이나 아세나프틸렌에 유래하는 반복 단위를 포함하는 폴리머가 이용되었다(특허문헌 4). Even in the case of a negative resist, not only a negative type resist using a base polymer including a crosslinking agent or a crosslinking unit but also a negative type resist having a hydrophilicity lowered by a dehydration reaction with an acid, A polymer containing repeating units has been used (Patent Document 4).

최근, 마스크 기판으로서 산화막계의 하드마스크가 적용되게 되고 있으며, 레지스트막의 과도한 드라이 에칭 내성의 향상은 필요 없게 되었다. 드라이 에칭 내성을 향상시키기보다도 해상성이 우수한 레지스트가 요구되게 되고 있고, 해상성의 향상에 더하여 최근에는 엣지 러프니스(LER, LWR)의 저감이 중요하게 되고 있다. In recent years, an oxide-based hard mask has been applied as a mask substrate, and it is no longer necessary to improve the dry etching resistance of the resist film. A resist having an excellent resolution has been demanded rather than improving dry etching resistance. In addition to improvement in resolution, in recent years, reduction of edge roughness (LER, LWR) has become important.

특허문헌 1: 일본 특허공개 2004-115630호 공보Patent Document 1: Japanese Patent Application Laid-Open No. 2004-115630 특허문헌 2: 일본 특허공개 2006-169302호 공보Patent Document 2: Japanese Unexamined Patent Application Publication No. 2006-169302 특허문헌 3: 일본 특허공개 2004-61794호 공보Patent Document 3: Japanese Patent Application Laid-Open No. 2004-61794 특허문헌 4: 일본 특허공개 2013-164588호 공보Patent Document 4: JP-A-2013-164588

본 발명은, 상기한 사정에 감안하여 이루어진 것으로, 종래의 네거티브형 레지스트 재료를 웃도는 고해상도이며 엣지 러프니스가 작은 네거티브형 레지스트 재료의 베이스 수지로서 적합한 폴리머, 이 폴리머를 이용한 네거티브형 레지스트 재료, 특히, i선, ArF 엑시머 레이저, EB, EUV 노광에 적합한 네거티브형 레지스트 재료 및 이것을 이용한 패턴 형성 방법을 제공하는 것을 목적으로 한다. SUMMARY OF THE INVENTION The present invention has been made in view of the above circumstances, and it is an object of the present invention to provide a polymer suitable as a base resin of a negative type resist material having a high resolution and a small edge roughness over a conventional negative resist material, i-line, ArF excimer laser, EB, EUV exposure, and a pattern forming method using the same.

본 발명자들은, 최근 요망되는, 고해상도를 가지며, 엣지 러프니스가 작은 네거티브형 레지스트 재료를 얻기 위해 예의 검토를 거듭한 결과, 이것에는 특정 반복 단위를 포함하는 폴리머를 네거티브형 레지스트 재료의 베이스 수지로서 이용하면 매우 유효하다는 것을 지견하여, 본 발명을 완성시켰다. The inventors of the present invention have conducted intensive investigations to obtain a negative resist material having a high resolution and a small edge roughness as recently desired. As a result, a polymer containing a specific repeating unit is used as a base resin of a negative resist composition The present inventors have completed the present invention.

특허문헌 4에 기재된 인덴이나 아세나프틸렌에 유래하는 반복 단위를 포함하는 폴리머는, 산 확산 제어가 우수하고, 엣지 러프니스가 저감했지만, 한층 더 성능의 향상이 필요하다. 인덴이나 아세나프틸렌을 공중합함으로써 주쇄가 강직하게 되어, 폴리머의 유리 전이점이 높아지고, 이에 따라 산 확산 거리가 짧아진다. 상기 공중합체는 스티렌 공중합체보다도 산 확산 제어 효과가 높다. 한편, 인덴이나 아세나프틸렌은 소수성의 방향족 화합물이기 때문에, 폴리머 내에서 친수성 부분과 소수성 부분이 혼재하여, 알칼리 현상액의 용해성이 불균일하게 되어 팽윤을 야기하고, 엣지 러프니스 열화의 요인이 된다. The polymer containing repeating units derived from indene or acenaphthylene described in Patent Document 4 has excellent acid diffusion control and reduced edge roughness, but further improvement in performance is required. Copolymerization of indene or acenaphthylene causes the main chain to become rigid and the glass transition point of the polymer to be high, thereby shortening the acid diffusion distance. The copolymer has higher acid diffusion control effect than the styrene copolymer. On the other hand, since indene and acenaphthylene are hydrophobic aromatic compounds, the hydrophilic part and the hydrophobic part are mixed in the polymer, and the solubility of the alkaline developer becomes uneven, causing swelling and deteriorating the edge roughness.

특허문헌 3에 기재된 산불안정기로 부분 치환된 히드록시스티렌과, 쿠마린과의 공중합 폴리머는, 쿠마린이 에스테르기를 갖고 있는 만큼 인덴이나 아세나프틸렌보다 소수성이 낮아, 알칼리 현상액 내에서의 팽윤을 억제하여 엣지 러프니스를 저감시킨다. 그러나, 쿠마린의 중합성이 낮기 때문에, 이것을 폴리머 내에 균일하게 도입하기는 어려워, 바라는 만큼의 엣지 러프니스 저감으로는 이어지지 않는다. The copolymerized polymer of the hydroxystyrene and the coumarin partially substituted by the acid labile group described in Patent Document 3 has hydrophobicity lower than that of indene or acenaphthylene because coumarin has an ester group and suppresses swelling in an alkali developing solution, Thereby reducing the roughness. However, since the polymerizability of coumarin is low, it is difficult to uniformly introduce it into the polymer, which does not lead to reduction of edge roughness as desired.

본 발명자들은, 더욱 산 확산을 억제하여 알칼리 용해 균일성을 향상시켜 엣지 러프니스를 저감시키기 위해서 예의 검토를 거듭한 결과, 비닐안트라퀴논에 유래하는 반복 단위, 히드록시기 함유 3급 알킬기가 결합한 벤젠환을 포함하는 반복 단위, 및 히드록시스티렌에 유래하는 반복 단위를 포함하는 폴리머를 네거티브형 레지스트 재료의 베이스 수지로서 이용함으로써, 노광 전후의 알칼리 용해 속도 콘트라스트가 높고, 산 확산을 억제하는 효과가 높고, 고해상성을 가지며, 노광 후의 패턴 형상과 엣지 러프니스가 양호한, 특히 초LSI 제조용 혹은 포토마스크의 미세 패턴 형성 재료로서 적합한 네거티브형 레지스트 재료를 얻을 수 있다는 것을 지견했다. The inventors of the present invention have conducted intensive investigations to further suppress acid diffusion and improve alkaline dissolution uniformity and reduce edge roughness. As a result, it has been found that a composition comprising a repeating unit derived from vinyl anthraquinone, a benzene ring bonded with a tertiary alkyl group containing a hydroxy group And a polymer containing a repeating unit derived from hydroxystyrene are used as a base resin of a negative type resist material, it is possible to obtain a high alkali dissolution rate contrast before and after exposure, a high effect of suppressing acid diffusion, It is possible to obtain a negative resist material which is excellent in pattern shape after exposure and good in edge roughness, particularly suitable for use in ultra LSI manufacture or as a material for forming fine patterns of a photomask.

비닐안트라퀴논은 스티렌 유도체와 마찬가지로 중합성이 높기 때문에, 폴리머 내에 균일하게 도입할 수 있다. 카르보닐기를 2개 가지며 적절히 친수성을 갖고 있기 때문에, 폴리머 내의 친수성과 소수성의 차가 작고, 이로써 알칼리 용해성이 균일화된다. 2개의 카르보닐기는 산 확산을 제어하는 특성도 있다. 이상의 특성에 의해, 고해상도이며 엣지 러프니스가 작은 레지스트 패턴을 얻을 수 있다. Since vinyl anthraquinone is highly polymerizable similarly to styrene derivatives, it can be uniformly introduced into the polymer. Since it has two carbonyl groups and suitably has a hydrophilic property, the difference in hydrophilicity and hydrophobicity in the polymer is small, thereby making the alkali solubility uniform. The two carbonyl groups also have the property of controlling acid diffusion. By the above characteristics, a resist pattern with high resolution and small edge roughness can be obtained.

본 발명의 네거티브형 레지스트 재료는, 특히 레지스트막으로 했을 때의 용해 콘트라스트가 높고, 산 확산을 억제하는 효과가 높고, 고해상성을 가지고, 노광 여유도가 있고, 프로세스 적응성이 우수하고, 노광 후의 패턴 형상이 양호하다. 따라서, 이들의 우수한 특성을 가지므로 실용성이 매우 높아, 초LSI용 레지스트 재료 마스크 패턴 형성 재료로서 매우 유효하다. The negative resist material of the present invention is excellent in processability, and has a high dissolution contrast when used as a resist film, high effect of suppressing acid diffusion, high resolution, exposure margin, excellent process adaptability, The shape is good. Therefore, since they have excellent properties, they are very practical and are very effective as a material for forming a mask pattern for a resist material for a super LSI.

즉, 본 발명은, 하기 폴리머, 네거티브형 레지스트 재료 및 패턴 형성 방법을 제공한다. That is, the present invention provides the following polymer, negative type resist material and pattern forming method.

1. 하기 식(a)으로 표시되는 반복 단위, 하기 식(b)으로 표시되는 반복 단위 및 하기 식(c)으로 표시되는 반복 단위를 포함하고, 중량 평균 분자량이 1,000~500,000인 폴리머. 1. A polymer comprising a repeating unit represented by the following formula (a), a repeating unit represented by the following formula (b) and a repeating unit represented by the following formula (c) and having a weight average molecular weight of 1,000 to 500,000.

Figure 112017053088264-pat00001
Figure 112017053088264-pat00001

(식에서, RA는 각각 독립적으로 수소 원자 또는 메틸기이다. R1은 히드록시기, 직쇄상 혹은 분기상의 탄소수 1~4의 알킬기, 직쇄상 혹은 분기상의 탄소수 1~4의 알콕시기, 아세톡시기, 또는 할로겐 원자를 나타낸다. R2 및 R5는 각각 독립적으로 직쇄상 혹은 분기상의 탄소수 1~6의 알킬기, 또는 할로겐 원자이다. R3 및 R4는 각각 독립적으로 탄소수 1~6의 직쇄상, 분기상 또는 환상의 알킬기이고, R3과 R4가 결합하여, 이들이 결합하는 탄소 원자와 함께 고리를 형성하고 있어도 좋다. X1 및 X2는 각각 독립적으로 단결합 또는 에스테르기이다. m은 1 또는 2이다. p 및 q은 각각 독립적으로 0 또는 1이다. r은 0~4의 정수이다.)(Wherein, R A are each independently a hydrogen atom or a methyl group. R 1 is a hydroxy group, a straight-chain or an alkoxy group, an acetoxy group having from 1 to 4 carbon atoms on the alkyl group, linear or branched having from 1 to 4 carbon atoms on the branch, or R 2 and R 5 are each independently a linear or branched alkyl group having 1 to 6 carbon atoms or a halogen atom, R 3 and R 4 are each independently a linear, branched, or cyclic alkyl group having 1 to 6 carbon atoms, Or a cyclic alkyl group, R 3 and R 4 may combine to form a ring with the carbon atoms to which they are bonded, X 1 and X 2 are each independently a single bond or an ester group, m is 1 or 2 P and q are each independently 0 or 1. r is an integer of 0 to 4.)

2. 추가로 하기 식(f1)~식(f3)으로 표시되는 반복 단위에서 선택되는 적어도 1종을 포함하는 1의 폴리머. 2. A polymer comprising at least one member selected from the repeating units represented by the following formulas (f1) to (f3).

Figure 112017053088264-pat00002
Figure 112017053088264-pat00002

(식에서, RA는 각각 독립적으로 수소 원자 또는 메틸기이다. R21은 단결합, 페닐렌기, -O-R31- 또는 -C(=O)-Z1-R31-이고, Z1은 -O- 또는 -NH-이고, R31은 직쇄상, 분기상 혹은 환상의 탄소수 1~6의 알킬렌기, 직쇄상, 분기상 혹은 환상의 탄소수 2~6의 알케닐렌기, 또는 페닐렌기이고, 카르보닐기, 에스테르기, 에테르기 또는 히드록시기를 포함하고 있어도 좋다. Rf1~Rf4는 각각 독립적으로 불소 원자, 수소 원자 또는 트리플루오로메틸기이지만, Rf1~Rf4 중 적어도 하나는 불소 원자이다. R22~R29는 각각 독립적으로 카르보닐기, 에스테르기 혹은 에테르기를 포함하고 있어도 좋은 직쇄상, 분기상 혹은 환상의 탄소수 1~12의 알킬기, 탄소수 6~12의 아릴기, 탄소수 7~20의 아랄킬기, 또는 머캅토페닐기이다. Y1은 단결합, 또는 에스테르기, 에테르기 혹은 락톤환을 포함하고 있어도 좋은 탄소수 1~12의 연결기이다. Y2는 단결합, 메틸렌기, 에틸렌기, 페닐렌기, 불소화페닐렌기, -O-R32- 또는 -C(=O)-Z2-R32-이고, Z2는 -O- 또는 -NH-이고, R32는 직쇄상, 분기상 혹은 환상의 탄소수 1~6의 알킬렌기, 페닐렌기, 또는 직쇄상, 분기상 혹은 환상의 탄소수 2~6의 알케닐렌기이고, 카르보닐기, 에스테르기, 에테르기 또는 히드록시기를 포함하고 있어도 좋다. M-는 비구핵성 카운터 이온이다.). (Wherein, R A are each, independently, a hydrogen atom or a methyl group, R 21 represents a single bond, phenylene group, -OR 31 -, or -C (= O) -Z 1 -R 31 - and, Z 1 is -O- Or -NH-, and R 31 is an alkylene group having 1 to 6 carbon atoms, which is linear, branched or cyclic, a linear, branched or cyclic alkenylene group having 2 to 6 carbon atoms, or a phenylene group, Rf 1 to Rf 4 are each independently a fluorine atom, a hydrogen atom or a trifluoromethyl group, but at least one of Rf 1 to Rf 4 is a fluorine atom, R 22 to R 29 each independently represents a linear, branched or cyclic alkyl group having 1 to 12 carbon atoms, an aryl group having 6 to 12 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, or a mercapto group, which may have a carbonyl group, an ester group or an ether group, It is a phenyl group. Y 1 represents a single bond, or an ester group, an ether group or a lactone ring And optionally also a good linkage group having a carbon number of 1 ~ 12 Y 2 is a single bond, a methylene group, an ethylene group, a phenylene group, a fluorinated phenyl group, -OR 32 -. Or -C (= O) -Z 2 -R 32 - , and , Z 2 is -O- or -NH-, R 32 is a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms, a phenylene group, or a linear, branched or cyclic C2- An ether group or a hydroxyl group, and M - is a non-nucleophilic counter ion).

3. 1 또는 2의 폴리머를 포함하는 베이스 수지를 포함하는 네거티브형 레지스트 재료. 3. A negative type resist material comprising a base resin comprising 1 or 2 polymers.

4. 추가로 유기 용제 및 산발생제를 포함하는 화학 증폭 레지스트 재료인 2 또는 3의 네거티브형 레지스트 재료. 4. A 2 or 3 negative type resist material which is a chemically amplified resist material further comprising an organic solvent and an acid generator.

5. 추가로 염기성 화합물을 포함하는 2~4 중 어느 한 항의 네거티브형 레지스트 재료. 5. The negative resist composition according to any one of 2 to 4, further comprising a basic compound.

6. 추가로 계면활성제를 포함하는 2~5 중 어느 한 항의 네거티브형 레지스트 재료. 6. A negative resist composition according to any one of claims 2 to 5, further comprising a surfactant.

7. 2~6 중 어느 한 항의 네거티브형 레지스트 재료를 기판 상에 도포하고, 가열 처리를 하여 레지스트막을 형성하는 공정과, 상기 레지스트막을 고에너지선으로 노광하는 공정과, 현상액을 이용하여 노광한 레지스트막을 현상하는 공정을 포함하는 패턴 형성 방법. 7. A resist composition comprising: a step of applying a negative resist material of any one of items 2 to 6 onto a substrate to form a resist film by performing heat treatment; a step of exposing the resist film to high energy radiation; And a step of developing the film.

8. 상기 기판이 포토마스크 블랭크인 7의 패턴 형성 방법. 8. A method of forming a pattern in which the substrate is a photomask blank.

9. 상기 고에너지선이 파장 180~400 nm의 자외선인 7 또는 8의 패턴 형성 방법. 9. The pattern formation method of 7 or 8 wherein the high energy ray is ultraviolet ray having a wavelength of 180 to 400 nm.

10. 상기 고에너지선이 EB 또는 파장 3~15 nm의 EUV인 7 또는 8의 패턴 형성 방법. 10. The pattern formation method of claim 7, wherein the high energy beam is EB or EUV having a wavelength of 3 to 15 nm.

11. 3~6 중 어느 한 항의 네거티브형 레지스트 재료를 도포한 포토마스크 블랭크. 11. A photomask blank in which a negative resist material of any one of 3 to 6 is applied.

본 발명의 폴리머를 포함하는 네거티브형 레지스트 재료는, 노광 전후의 알칼리 용해 속도 콘트라스트가 대폭 높고, 고해상성을 가지고, 노광 후의 패턴 형상과 라인 엣지 러프니스가 양호하며, 나아가서는 특히 산 확산 속도를 억제할 수 있다. 따라서, 특히 초LSI 제조용 혹은 포토마스크의 미세 패턴 형성 재료, EB 노광용, EUV 노광용, ArF 엑시머 레이저 노광용의 패턴 형성 재료로서 적합하다. 또한, 본 발명의 네거티브형 레지스트 재료는, 반도체 회로 형성에 있어서의 리소그래피뿐만 아니라, 마스크 회로 패턴의 형성 혹은 마이크로 머신, 박막 자기 헤드 회로 형성 등에도 응용할 수 있다. The negative resist material comprising the polymer of the present invention has a remarkably high alkaline dissolution rate contrast before and after exposure, has a high resolution, has a favorable pattern shape after exposure and good line edge roughness, can do. Therefore, it is particularly suitable as a material for forming fine patterns for ultra-LSI manufacture or photomask, pattern forming material for EB exposure, EUV exposure, and ArF excimer laser exposure. Further, the negative resist material of the present invention can be applied not only to lithography in the formation of a semiconductor circuit, but also to formation of a mask circuit pattern, formation of a micromachine, a thin film magnetic head circuit, and the like.

[폴리머][Polymer]

본 발명의 폴리머는, 하기 식(a)으로 표시되는 반복 단위(이하, 반복 단위 a라고 한다.), 하기 식(b)으로 표시되는 반복 단위(이하, 반복 단위 b라고 한다.) 및 하기 식(c)으로 표시되는 반복 단위(이하, 반복 단위 c라고 한다.)를 포함하고, 중량 평균 분자량(Mw)이 1,000~500,000이다. The polymer of the present invention is a polymer comprising a repeating unit represented by the following formula (a) (hereinafter referred to as repeating unit a), a repeating unit represented by the following formula (b) (hereinafter referred to as repeating unit b) (hereinafter referred to as repeating unit c) and has a weight average molecular weight (Mw) of 1,000 to 500,000.

Figure 112017053088264-pat00003
Figure 112017053088264-pat00003

식에서, RA는 각각 독립적으로 수소 원자 또는 메틸기이다. R1은 히드록시기, 직쇄상 혹은 분기상의 탄소수 1~4의 알킬기, 직쇄상 혹은 분기상의 탄소수 1~4의 알콕시기, 아세톡시기, 또는 할로겐 원자를 나타낸다. R2 및 R5는 각각 독립적으로 직쇄상 혹은 분기상의 탄소수 1~6의 알킬기, 또는 할로겐 원자이다. R3 및 R4는 각각 독립적으로 탄소수 1~6의 직쇄상, 분기상 또는 환상의 알킬기이고, R3과 R4가 결합하여 이들이 결합하는 탄소 원자와 함께 고리를 형성하고 있어도 좋다. X1 및 X2는 각각 독립적으로 단결합 또는 에스테르기이다. m은 1 또는 2이다. p 및 q은 각각 독립적으로 0 또는 1이다. r은 0~4의 정수이다. In the formula, each R A is independently a hydrogen atom or a methyl group. R 1 represents a hydroxy group, a linear or branched alkyl group having 1 to 4 carbon atoms, a linear or branched alkoxy group having 1 to 4 carbon atoms, an acetoxy group, or a halogen atom. R 2 and R 5 are each independently a linear or branched alkyl group having 1 to 6 carbon atoms or a halogen atom. R 3 and R 4 are each independently a straight, branched or cyclic alkyl group of 1 to 6 carbon atoms, and R 3 and R 4 may bond to form a ring together with the carbon atoms to which they are bonded. X 1 and X 2 are each independently a single bond or an ester group. m is 1 or 2; p and q are each independently 0 or 1; r is an integer of 0 to 4;

반복 단위 a를 얻기 위한 모노머로서는 이하에 나타내는 것을 들 수 있지만, 이들에 한정되지 않는다. Monomers for obtaining the repeating unit a include, but are not limited to, those shown below.

Figure 112017053088264-pat00004
Figure 112017053088264-pat00004

반복 단위 b를 얻기 위한 모노머로서는 이하에 나타내는 것을 들 수 있지만, 이들에 한정되지 않는다. 또, 하기 식에서, RA는 상기와 동일하다. Monomers for obtaining the repeating unit b include, but are not limited to, those shown below. In the following formulas, R A is the same as the above.

Figure 112017053088264-pat00005
Figure 112017053088264-pat00005

반복 단위 c를 얻기 위한 모노머로서는 이하에 나타내는 것을 들 수 있지만, 이들에 한정되지 않는다. 또, 하기 식에서, RA는 상기와 동일하다. Monomers for obtaining the repeating unit c include, but are not limited to, those shown below. In the following formulas, R A is the same as the above.

Figure 112017053088264-pat00006
Figure 112017053088264-pat00006

본 발명의 폴리머는, 추가로 히드록시기, 락톤환, 에테르기, 에스테르기, 카르보닐기 및 시아노기에서 선택되는 밀착성기를 포함하는 반복 단위 d를 포함하여도 좋다. 반복 단위 d를 부여하는 모노머로서는 이하에 나타내는 것을 들 수 있지만, 이들에 한정되지 않는다. 또, 하기 식에서, RA는 상기와 동일하다. The polymer of the present invention may further contain a repeating unit d comprising a bonding group selected from a hydroxyl group, a lactone ring, an ether group, an ester group, a carbonyl group and a cyano group. Examples of the monomer giving the repeating unit d include, but are not limited to, the following. In the following formulas, R A is the same as the above.

Figure 112017053088264-pat00007
Figure 112017053088264-pat00007

Figure 112017053088264-pat00008
Figure 112017053088264-pat00008

Figure 112017053088264-pat00009
Figure 112017053088264-pat00009

Figure 112017053088264-pat00010
Figure 112017053088264-pat00010

Figure 112017053088264-pat00011
Figure 112017053088264-pat00011

Figure 112017053088264-pat00012
Figure 112017053088264-pat00012

Figure 112017053088264-pat00013
Figure 112017053088264-pat00013

Figure 112017053088264-pat00014
Figure 112017053088264-pat00014

히드록시기를 포함하는 모노머의 경우, 중합 시에 히드록시기를 에톡시에톡시기 등의 산에 의해서 탈보호하기 쉬운 아세탈기로 치환해 두고 중합 후에 약산과 물에 의해서 탈보호를 행하여도 좋고, 아세틸기, 포르밀기, 피발로일기 등으로 치환해 두고 중합 후에 알칼리 가수분해를 행하여도 좋다. In the case of a monomer containing a hydroxy group, the hydroxy group may be replaced with an acetal group which is easily deprotected by an acid such as an ethoxyethoxy group during the polymerization, deprotected by a weak acid and water after polymerization, And the alkali hydrolysis may be carried out after the polymerization by replacing the alkali by hydrolysis.

본 발명의 폴리머는, 중합성 올레핀을 포함하는 오늄염에 유래하는 반복 단위 f를 포함하여도 좋다. 일본 특허공개 평4-230645호 공보, 일본 특허공개 2005-84365호 공보, 일본 특허공개 2006-045311호 공보에는, 특정 술폰산이 발생하는 중합성 올레핀을 갖는 술포늄염, 요오도늄염이 제안되어 있다. 일본 특허공개 2006-178317호 공보에는, 술폰산이 주쇄에 직결된 술포늄염이 제안되어 있다. The polymer of the present invention may contain a repeating unit f derived from an onium salt containing a polymerizable olefin. JP-A-4-230645, JP-A-2005-84365 and JP-A-2006-045311 propose sulfonium salts and iodonium salts having polymerizable olefins in which specific sulfonic acids are generated. Japanese Patent Laid-Open Publication No. 2006-178317 proposes a sulfonium salt in which a sulfonic acid is directly bonded to a main chain.

바람직한 반복 단위 f로서는, 하기 식(f1)으로 표시되는 반복 단위(이하, 반복 단위 f1이라고 한다.), 하기 식(f2)으로 표시되는 반복 단위(이하, 반복 단위 f2라고 한다.) 및 하기 식(f3)으로 표시되는 반복 단위(이하, 반복 단위 f3이라고 한다.)를 들 수 있다. 또, 반복 단위 f1~f3은 1종 단독으로 사용하여도 2종 이상을 조합시켜 사용하여도 좋다. The preferable repeating unit f is preferably a repeating unit represented by the following formula (f1) (hereinafter referred to as repeating unit f1), a repeating unit represented by the following formula (f2) (hereinafter referred to as repeating unit f2) (hereinafter referred to as repeating unit f3) represented by the following formula (f3). The repeating units f1 to f3 may be used singly or in combination of two or more.

Figure 112017053088264-pat00015
Figure 112017053088264-pat00015

식에서, RA는 각각 독립적으로 수소 원자 또는 메틸기이다. R21은 단결합, 페닐렌기, -O-R31- 또는 -C(=O)-Z1-R31-이고, Z1은 -O- 또는 -NH-이고, R31은 직쇄상, 분기상 혹은 환상의 탄소수 1~6의 알킬렌기, 직쇄상, 분기상 혹은 환상의 탄소수2~6의 알케닐렌기, 또는 페닐렌기이고, 카르보닐기, 에스테르기, 에테르기 또는 히드록시기를 포함하고 있어도 좋다. Rf1~Rf4는 각각 독립적으로 불소 원자, 수소 원자 또는 트리플루오로메틸기이지만, Rf1~Rf4 중 적어도 하나는 불소 원자이다. R22~R29는 각각 독립적으로 카르보닐기, 에스테르기 혹은 에테르기를 포함하고 있어도 좋은 직쇄상, 분기상 혹은 환상의 탄소수 1~12의 알킬기, 탄소수 6~12의 아릴기, 탄소수 7~20의 아랄킬기, 또는 머캅토페닐기이다. Y1은 단결합, 또는 에스테르기, 에테르기 혹은 락톤환을 포함하고 있어도 좋은 탄소수 1~12의 연결기이다. Y2는 단결합, 메틸렌기, 에틸렌기, 페닐렌기, 불소화페닐렌기, -O-R32- 또는 -C(=O)-Z2-R32-이고, Z2는 -O- 또는 -NH-이고, R32는 직쇄상, 분기상 혹은 환상의 탄소수 1~6의 알킬렌기, 페닐렌기, 또는 직쇄상, 분기상 혹은 환상의 탄소수 2~6의 알케닐렌기이고, 카르보닐기, 에스테르기, 에테르기 또는 히드록시기를 포함하고 있어도 좋다. M-는 비구핵성 카운터 이온이다. In the formula, each R A is independently a hydrogen atom or a methyl group. R 21 is a single bond, a phenylene group, -OR 31 - or -C (= O) -Z 1 -R 31 -, Z 1 is -O- or -NH-, and R 31 is a linear, An alkylene group having 1 to 6 carbon atoms which is cyclic, an alkenylene group having 2 to 6 carbon atoms, which is linear, branched or cyclic, or a phenylene group, and may contain a carbonyl group, an ester group, an ether group or a hydroxyl group. Rf 1 to Rf 4 each independently represent a fluorine atom, a hydrogen atom or a trifluoromethyl group, but at least one of Rf 1 to Rf 4 is a fluorine atom. R 22 to R 29 each independently represents a linear, branched or cyclic alkyl group having 1 to 12 carbon atoms, an aryl group having 6 to 12 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, which may contain a carbonyl group, an ester group or an ether group, , Or a mercaptophenyl group. Y 1 is a single bond or a linking group having 1 to 12 carbon atoms which may contain an ester group, an ether group or a lactone ring. Y 2 is a single bond, a methylene group, an ethylene group, a phenylene group, a fluorinated phenylene group, -OR 32 - or -C (= O) -Z 2 -R 32 -, Z 2 is -O- or -NH- , R 32 is a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms, a phenylene group, or a linear, branched or cyclic alkenylene group having 2 to 6 carbon atoms, and is a carbonyl group, an ester group, And may contain a hydroxy group. M - is an unconjugated counter ion.

M-로 나타내어지는 비구핵성 카운터 이온으로서는, 염화물 이온, 브롬화물 이온 등의 할라이드 이온, 트리플레이트, 1,1,1-트리플루오로에탄술포네이트, 노나플루오로부탄술포네이트 등의 플루오로알킬술포네이트, 토실레이트, 벤젠술포네이트, 4-플루오로벤젠술포네이트, 1,2,3,4,5-펜타플루오로벤젠술포네이트 등의 아릴술포네이트, 메실레이트, 부탄술포네이트 등의 알킬술포네이트, 비스(트리플루오로메틸술포닐)이미드, 비스(퍼플루오로에틸술포닐)이미드, 비스(퍼플루오로부틸술포닐)이미드 등의 이미드산, 트리스(트리플루오로메틸술포닐)메티드, 트리스(퍼플루오로에틸술포닐)메티드 등의 메티드산을 들 수 있다. Examples of the non-nucleophilic counter ion represented by M - include halide ions such as chloride ion and bromide ion, fluoroalkyl sulfone such as triflate, 1,1,1-trifluoroethanesulfonate and nonafluorobutane sulfonate Alkylsulfonates such as methanesulfonate, butanesulfonate, and the like; arylsulfonates such as benzylsulfonate, naphthylsulfonate, benzylsulfonate, 4-fluorobenzenesulfonate and 1,2,3,4,5-pentafluorobenzenesulfonate; Imide such as bis (trifluoromethylsulfonyl) imide, bis (perfluoroethylsulfonyl) imide and bis (perfluorobutylsulfonyl) imide, and tris (trifluoromethylsulfonyl) Methide, and tris (perfluoroethylsulfonyl) methide.

상기 비구핵성 카운터 이온으로서는, 추가로 하기 식(K-1)으로 표시되는 α 위치가 플루오로 치환된 술폰산 이온, 하기 식(K-2)으로 표시되는 α 및 β 위치가 플루오로 치환된 술폰산 이온 등을 들 수 있다. As the non-nucleophilic counter ion, a sulfonic acid ion represented by the following formula (K-1) wherein the? -Position is fluoro-substituted, a sulfonic acid ion represented by the following formula (K-2) And the like.

Figure 112017053088264-pat00016
Figure 112017053088264-pat00016

식(K-1)에서, R101은 수소 원자, 직쇄상, 분기상 혹은 환상의 탄소수 1~30의 알킬기, 직쇄상, 분기상 혹은 환상의 탄소수 2~30의 아실기, 직쇄상, 분기상 혹은 환상의 탄소수 2~20의 알케닐기, 탄소수 6~20의 아릴기, 또는 아릴옥시기이고, 에테르기, 에스테르기, 카르보닐기, 락톤환, 락탐환, 술톤환, 아미노기, 술폰기, 술폰산에스테르기, 카르보네이트기, 히드록시기, 티올기, 카르복실기, 카바메이트기, 아미드기, 이미드기를 포함하고 있어도 좋다. In the formula (K-1), R 101 is a hydrogen atom, a linear, branched or cyclic C1-30 alkyl group, a linear, branched or cyclic C2-30 acyl group, Or an aryl group having 6 to 20 carbon atoms or an aryloxy group and is preferably an ether group, an ester group, a carbonyl group, a lactone ring, a lactam ring, a sultone ring, an amino group, a sulfone group, , A carbonate group, a hydroxy group, a thiol group, a carboxyl group, a carbamate group, an amide group, and an imide group.

식(K-2)에서, R102는 수소 원자, 직쇄상, 분기상 혹은 환상의 탄소수 1~30의 알킬기, 직쇄상, 분기상 혹은 환상의 탄소수 2~30의 아실기, 직쇄상, 분기상 혹은 환상의 탄소수 2~20의 알케닐기, 탄소수 6~20의 아릴기, 또는 아릴옥시기이고, 에테르기, 에스테르기, 카르보닐기, 락톤환, 락탐환, 술톤환, 아미노기, 술폰기, 술폰산에스테르기, 카르보네이트기, 히드록시기, 티올기, 카르복실기, 카바메이트기, 아미드기, 이미드기를 포함하고 있어도 좋다. R103은 수소 원자, 메틸기, 에틸기 또는 트리플루오로메틸기이다. In the formula (K-2), R 102 represents a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 30 carbon atoms, a straight chain, branched or cyclic C2-30 acyl group, Or an aryl group having 6 to 20 carbon atoms or an aryloxy group and is preferably an ether group, an ester group, a carbonyl group, a lactone ring, a lactam ring, a sultone ring, an amino group, a sulfone group, , A carbonate group, a hydroxy group, a thiol group, a carboxyl group, a carbamate group, an amide group, and an imide group. R 103 is a hydrogen atom, a methyl group, an ethyl group or a trifluoromethyl group.

반복 단위 f는 산발생제로서 기능한다. 폴리머 주쇄에 산발생제를 결합시킴으로써 산 확산을 작게 하고, 산 확산의 흐려짐에 의한 해상성의 저하를 방지할 수 있다. 또한, 산발생제가 균일하게 분산됨으로써 엣지 러프니스가 개선된다. The repeating unit f functions as an acid generator. By bonding an acid generator to the polymer main chain, it is possible to reduce the acid diffusion and prevent degradation of resolution due to clouding of acid diffusion. Further, the acid generator is uniformly dispersed, thereby improving the edge roughness.

본 발명의 폴리머 중, 반복 단위 a~d 및 f의 비율은 각각 0<a<1.0, 0<b<1.0, 0<c<1.0, 0≤d≤0.7, 및 0≤f≤0.3이 바람직하고, 0.03≤a≤0.5, 0.05≤b≤0.6, 0.1≤c≤0.9, 0≤d≤0.6 및 0≤f≤0.25가 보다 바람직하고, 0.05≤a≤0.4, 0.1≤b≤0.5, 0.2≤c≤0.8, 0≤d≤0.5 및 0≤f≤0.2가 더욱 바람직하다. 또, 반복 단위 f가 반복 단위 f1~f3에서 선택되는 적어도 1종인 경우, f=f1+f2+f3이다. 또한, a+b+c+d+f≤1이다. 또, 예컨대, a+b+c=1이란, 반복 단위 a, b 및 c를 포함하는 폴리머에 있어서, 반복 단위 a, b 및 c의 합계량이 전체 반복 단위 중 100 몰%임을 나타내고, a+b+c<1이란, 반복 단위 a, b 및 c의 합계량이 전체 반복 단위 중 100 몰% 미만이고 반복 단위 a, b 및 c 이외에 다른 반복 단위를 포함하고 있음을 나타낸다. The ratio of the repeating units a to d and f in the polymer of the present invention is preferably 0 <a <1.0, 0 <b <1.0, 0 <c <1.0, 0≤d≤0.7, and 0≤f≤0.3 , 0.03? A? 0.5, 0.05? B? 0.6, 0.1? C? 0.9, 0? D? 0.6 and 0? F? 0.25 are more preferable and 0.05? A? 0.4, 0.1? B? 0.5, ? 0.8, 0? D? 0.5 and 0? F? 0.2 are more preferable. When the repeating unit f is at least one selected from the repeating units f1 to f3, f = f1 + f2 + f3. Further, a + b + c + d + f? 1. For example, a + b + c = 1 means that the total amount of the repeating units a, b, and c in the polymer containing the repeating units a, b, and c is 100 mol% + c < 1 means that the total amount of the repeating units a, b and c is less than 100 mol% of the total repeating units and contains other repeating units other than the repeating units a, b and c.

본 발명의 폴리머는 Mw가 1,000~500,000이고, 바람직하게는 2,000~30,000이다. Mw가 지나치게 작으면 레지스트 재료가 내열성이 뒤떨어지는 것으로 되고, 지나치게 크면 알칼리 용해성이 저하하여, 패턴 형성 후에 풋팅 현상이 생기기 쉽게 되어 버린다. 또, Mw는 용제로서 테트라히드로푸란(THF)을 이용한 겔 투과 크로마토그래피(GPC)에 의한 폴리스티렌 환산 측정치이다. The polymer of the present invention has a Mw of 1,000 to 500,000, preferably 2,000 to 30,000. If the Mw is too small, the resist material tends to have poor heat resistance. If the Mw is excessively large, the alkali solubility tends to deteriorate, and the patterning after the pattern formation tends to occur. Mw is a polystyrene reduced value measured by gel permeation chromatography (GPC) using tetrahydrofuran (THF) as a solvent.

더욱이, 본 발명의 폴리머에 있어서 분자량 분포(Mw/Mn)가 넓은 경우는, 저분자량이나 고분자량의 폴리머가 존재하기 때문에, 노광 후, 패턴 상에 이물이 보이거나 패턴의 형상이 악화하거나 할 우려가 있다. 패턴 룰이 미세화됨에 따라서, Mw나 분자량 분포의 영향이 커지기 쉬우므로, 미세한 패턴 치수에 적합하게 이용되는 레지스트 재료를 얻기 위해서는, 본 발명의 폴리머의 분자량 분포는 1.0~2.0, 특히 1.0~1.5로 협분산(狹分散)인 것이 바람직하다. Further, when the polymer of the present invention has a large molecular weight distribution (Mw / Mn), there is a polymer having a low molecular weight or a high molecular weight, so that foreign matter may be seen on the pattern or the pattern may be deteriorated after exposure . The molecular weight distribution of the polymer of the present invention is 1.0 to 2.0, particularly 1.0 to 1.5, in order to obtain a resist material suitably used for a fine pattern dimension, since the influence of Mw and the molecular weight distribution tends to increase as the pattern rule becomes finer. It is preferable that the dispersion is (dispersion).

본 발명의 폴리머를 합성하기 위해서는, 예컨대, 반복 단위 a~d 및 f를 부여하는 모노머 중 원하는 모노머를, 유기 용제 중, 라디칼 중합개시제를 가하여 가열하여, 중합을 행하면 된다. In order to synthesize the polymer of the present invention, for example, polymerization may be carried out by adding a desired monomer among the monomers imparting repeating units a to d and f to a radical polymerization initiator in an organic solvent.

중합 시에 사용하는 유기 용제로서는, 톨루엔, 벤젠, 테트라히드로푸란, 디에틸에테르, 디옥산 등을 들 수 있다. 중합개시제로서는, 2,2'-아조비스이소부티로니트릴(AIBN), 2,2'-아조비스(2,4-디메틸발레로니트릴), 디메틸2,2-아조비스(2-메틸프로피오네이트), 벤조일퍼옥시드, 라우로일퍼옥시드 등을 들 수 있다. 중합 시의 온도는 바람직하게는 50~80℃이다. 반응 시간은 바람직하게는 2~100 시간, 보다 바람직하게는 5~20 시간이다. Examples of the organic solvent used in the polymerization include toluene, benzene, tetrahydrofuran, diethyl ether, and dioxane. Examples of the polymerization initiator include 2,2'-azobisisobutyronitrile (AIBN), 2,2'-azobis (2,4-dimethylvaleronitrile), dimethyl 2,2-azobis Nate), benzoyl peroxide, and lauroyl peroxide. The temperature at the time of polymerization is preferably 50 to 80 占 폚. The reaction time is preferably 2 to 100 hours, more preferably 5 to 20 hours.

히드록시스티렌이나 히드록시비닐나프탈렌을 공중합하는 경우는, 히드록시스티렌이나 히드록시비닐나프탈렌 대신에 아세톡시스티렌이나 아세톡시비닐나프탈렌을 이용하여, 중합 후, 알칼리 가수분해에 의해서 아세톡시기를 탈보호하여 히드록시스티렌이나 히드록시비닐나프탈렌으로 하여도 좋다. When hydroxystyrene or hydroxyvinylnaphthalene is copolymerized, acetoxystyrene or acetoxyvinylnaphthalene is used instead of hydroxystyrene or hydroxyvinylnaphthalene. After the polymerization, the acetoxy group is deprotected by alkaline hydrolysis And hydroxystyrene or hydroxyvinylnaphthalene may be used.

알칼리 가수분해 시의 염기로서는 암모니아수, 트리에틸아민 등을 사용할 수 있다. 또한, 반응 온도는 바람직하게는 -20~100℃, 보다 바람직하게는 0~60℃이다. 반응 시간은 바람직하게는 0.2~100 시간, 보다 바람직하게는 0.5~20 시간이다. As the base upon alkali hydrolysis, ammonia water, triethylamine and the like can be used. The reaction temperature is preferably -20 to 100 占 폚, more preferably 0 to 60 占 폚. The reaction time is preferably 0.2 to 100 hours, more preferably 0.5 to 20 hours.

본 발명의 폴리머는 네거티브형 레지스트 재료의 베이스 수지로서 적합하다. The polymer of the present invention is suitable as a base resin of a negative type resist material.

[네거티브형 레지스트 재료][Negative Resist Material]

본 발명의 네거티브형 레지스트 재료는, 상술한 폴리머를 포함하는 베이스 수지를 포함하는 것이다. 상기 폴리머를 네거티브형 레지스트 재료의 베이스 수지로서 이용하는 경우, 상기 베이스 수지는, 조성 비율이나 분자량 분포나 분자량이 다른 2개 이상의 상기 폴리머를 블렌드한 것이라도 좋다. The negative resist material of the present invention includes a base resin containing the above-mentioned polymer. When the polymer is used as a base resin of a negative resist composition, the base resin may be a blend of two or more polymers having different composition ratios, molecular weight distributions and molecular weights.

본 발명의 네거티브형 레지스트 재료는, 추가로 유기 용제, 산발생제, 용해제어제, 염기성 화합물, 계면활성제 등을 목적에 따라 적절하게 조합하여 포함하는 것이 바람직하다. 이와 같이 하여 네거티브형 레지스트 재료를 구성함으로써, 노광부에서는 상기 폴리머가 촉매 반응에 의해 현상액에 대한 용해 속도가 저하하기 때문에, 매우 고감도의 네거티브형 레지스트 재료로 할 수 있다. 그 때문에, 레지스트막의 용해 콘트라스트 및 해상성이 높고, 노광 여유도가 있어, 프로세스 적응성이 우수하고, 노광 후의 패턴 형상이 양호하면서 보다 우수한 에칭 내성을 보이고, 특히 산 확산을 억제할 수 있으므로 조밀 치수차가 작고, 이 때문에 실용성이 높아, 초LSI용 레지스트 재료로서 매우 유효한 것으로 할 수 있다. 특히, 산발생제를 함유시켜, 산 촉매 반응을 이용한 화학 증폭 네거티브형 레지스트 재료로 하면, 보다 고감도의 것으로 할 수 있음과 더불어 제반 특성이 한층 더 우수한 것으로 되어 매우 유용한 것으로 된다. The negative resist material of the present invention preferably contains an organic solvent, an acid generator, a dissolving agent, a basic compound, a surfactant, and the like in appropriate combination depending on the purpose. By constituting the negative resist material in this manner, the dissolution rate of the polymer in the developing solution by the catalytic reaction is lowered in the exposure section, and therefore, a negative resist material with extremely high sensitivity can be obtained. Therefore, the resist film has high dissolution contrast and resolution, exposure latitude, excellent process adaptability, good pattern shape after exposure, excellent etching resistance, particularly, acid diffusion can be suppressed, It is very effective as a resist material for a super LSI. In particular, when a chemically amplified negative resist material containing an acid generator is incorporated and subjected to an acid catalysis reaction, the sensitivity can be made higher, and furthermore all properties are further improved, which is very useful.

상기 유기 용제로서는, 일본 특허공개 2008-111103호 공보의 단락[0144]~[0145]에 기재된, 시클로헥사논, 메틸-2-n-아밀케톤 등의 케톤류, 3-메톡시부탄올, 3-메틸-3-메톡시부탄올, 1-메톡시-2-프로판올, 1-에톡시-2-프로판올 등의 알코올류, 프로필렌글리콜모노메틸에테르, 에틸렌글리콜모노메틸에테르, 프로필렌글리콜모노에틸에테르, 에틸렌글리콜모노에틸에테르, 프로필렌글리콜디메틸에테르, 디에틸렌글리콜디메틸에테르 등의 에테르류, 프로필렌글리콜모노메틸에테르아세테이트, 프로필렌글리콜모노에틸에테르아세테이트, 젖산에틸, 피루브산에틸, 아세트산부틸, 3-메톡시프로피온산메틸, 3-에톡시프로피온산에틸, 아세트산tert-부틸, 프로피온산tert-부틸, 프로필렌글리콜모노tert-부틸에테르아세테이트 등의 에스테르류, γ-부티로락톤 등의 락톤류 및 이들의 혼합 용제를 들 수 있다. 상기 유기 용제의 배합량은, 베이스 수지 100 질량부에 대하여 50~10,000 질량부가 바람직하고, 100~5,000 질량부가 보다 바람직하다. Examples of the organic solvent include ketones such as cyclohexanone and methyl-2-n-amyl ketone described in paragraphs [0144] to [0145] of JP-A No. 2008-111103, 3-methoxybutanol, 3- Methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol, and other alcohols, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol mono Propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, 3- Esters such as ethyl ethoxypropionate, tert-butyl acetate, tert-butyl propionate and propylene glycol mono-tert-butyl ether acetate, lactones such as gamma -butyrolactone, There may be mentioned a mixed solvent. The blending amount of the organic solvent is preferably 50 to 10,000 parts by mass, more preferably 100 to 5,000 parts by mass with respect to 100 parts by mass of the base resin.

본 발명의 네거티브형 레지스트 재료는, 화학 증폭 네거티브형 레지스트 재료를 기능시키기 위해서 산발생제를 포함하여도 좋다. 상기 산발생제로서는, 활성 광선 또는 방사선에 감응하여 산을 발생하는 화합물(광산발생제)을 들 수 있다. 광산발생제의 성분으로서는, 고에너지선 조사에 의해 산을 발생하는 화합물이라면 어느 것이라도 상관없다. 적합한 광산발생제로서는 술포늄염, 요오도늄염, 술포닐디아조메탄, N-술포닐옥시이미드, 옥심-O-술포네이트형 산발생제 등이 있다. 이들은 1종 단독으로 또는 2종 이상 조합하여 이용할 수 있다. 산발생제의 구체예로서는, 일본 특허공개 2008-111103호 공보의 단락 [0122]~[0142]에 기재되어 있는 것을 들 수 있다. 산발생제의 배합량은, 베이스 수지 100 질량부에 대하여 0.01~100 질량부가 바람직하고, 0.1~80 질량부가 보다 바람직하다. The negative resist material of the present invention may contain an acid generator in order to function as a chemically amplified negative resist material. Examples of the acid generator include a compound (photo-acid generator) that generates an acid in response to an actinic ray or radiation. The component of the photoacid generator may be any compound that generates an acid by irradiation with high energy radiation. Suitable photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate acid generators, and the like. These may be used singly or in combination of two or more. Specific examples of the acid generator include those described in paragraphs [0122] to [0142] of Japanese Patent Application Laid-Open No. 2008-111103. The blending amount of the acid generator is preferably 0.01 to 100 parts by mass, more preferably 0.1 to 80 parts by mass, per 100 parts by mass of the base resin.

본 발명의 네거티브형 레지스트 재료는 용해제어제를 포함하여도 좋다. 용해제어제를 배합함으로써, 노광부와 미노광부의 용해 속도의 차를 한층 더 크게 할 수 있어, 해상도를 한층 더 향상시킬 수 있다. 용해제어제의 구체예로서는, 일본 특허공개 2008-122932호 공보의 단락 [0155]~[0178]에 기재된 것을 들 수 있다. 용해제어제의 배합량은, 베이스 수지 100 질량부에 대하여 0~50 질량부가 바람직하고, 0~40 질량부가 보다 바람직하다. The negative resist material of the present invention may contain a solubilizer agent. By mixing the dissolving agent, the difference in dissolution rate between the exposed portion and the unexposed portion can be further increased, and the resolution can be further improved. Specific examples of the dissolving agent yesterday include those described in paragraphs [0155] to [0178] of JP-A No. 2008-122932. The blending amount of the dissolving agent is preferably 0 to 50 parts by mass, more preferably 0 to 40 parts by mass with respect to 100 parts by mass of the base resin.

본 발명의 네거티브형 레지스트 재료는 염기성 화합물을 포함하여도 좋다. 염기성 화합물을 배합함으로써, 예컨대, 레지스트막 내에서의 산의 확산 속도를 억제하여 해상도를 한층 더 향상시킬 수 있다. 염기성 화합물로서는, 일본 특허공개 2008-111103호 공보의 단락 [0146]~[0164]에 기재된, 1급, 2급 또는 3급 아민 화합물, 특히, 히드록시기, 에테르기, 에스테르기, 락톤환, 시아노기, 술폰산에스테르기를 갖는 아민 화합물이나 일본 특허 제3790649호 공보에 기재된 카르바메이트기를 갖는 화합물을 들 수 있다. 또한, 염기성 화합물로서, 일본 특허공개 2008-239918호 공보에 기재된 폴리머형의 켄처도 들 수 있다. 이것은, 코트 후의 레지스트 표면에 배향함으로써 패턴 후의 레지스트의 구형성(矩形性)을 높일 수 있다. 폴리머형 켄처는, 액침 노광용의 보호막을 적용했을 때의 패턴의 막 감소나 패턴 톱의 라운딩을 방지하는 효과도 있다. 염기성 화합물의 배합량은, 베이스 수지 100 질량부에 대하여 0~100 질량부가 바람직하고, 0.001~50 질량부가 보다 바람직하다. The negative resist material of the present invention may contain a basic compound. By mixing a basic compound, for example, the diffusion rate of the acid in the resist film can be suppressed to further improve the resolution. Examples of the basic compound include primary, secondary or tertiary amine compounds described in paragraphs [0146] to [0164] of Japanese Patent Laid-Open Publication No. 2008-111103, especially hydroxyl group, ether group, ester group, lactone ring, cyano group , An amine compound having a sulfonic acid ester group, and a compound having a carbamate group described in Japanese Patent No. 3790649. As a basic compound, a polymer type quencher described in JP-A-2008-239918 is also exemplified. This can increase the squareness of the resist after the pattern by orienting it on the surface of the resist after coating. The polymer-type retainer also has an effect of preventing film thickness reduction and rounding of the pattern top when a protective film for liquid immersion exposure is applied. The blending amount of the basic compound is preferably from 0 to 100 parts by mass, more preferably from 0.001 to 50 parts by mass, per 100 parts by mass of the base resin.

본 발명의 네거티브형 레지스트 재료는 계면활성제를 포함하여도 좋다. 계면활성제를 배합함으로써, 레지스트 재료의 도포성을 한층 더 향상시키거나 혹은 제어할 수 있다. 계면활성제의 구체예로서는, 일본 특허공개 2008-111103호 공보의 단락[0165]~[0166]에 기재된 것을 들 수 있다. 계면활성제의 배합량은, 베이스 수지 100 질량부에 대하여 0~10 질량부가 바람직하고, 0.0001~5 질량부가 보다 바람직하다. The negative resist material of the present invention may contain a surfactant. By adding the surfactant, the applicability of the resist material can be further improved or controlled. Specific examples of the surfactant include those described in paragraphs [0165] to [0166] of JP-A No. 2008-111103. The blending amount of the surfactant is preferably 0 to 10 parts by mass, more preferably 0.0001 to 5 parts by mass, per 100 parts by mass of the base resin.

본 발명의 레지스트 재료는 추가로 아세틸렌알코올류를 함유할 수 있다. 아세틸렌알코올류로서는 일본 특허공개 2008-122932호 공보의 단락 [0179]~[0182]에 기재된 것을 들 수 있다. 아세틸렌알코올류의 배합량은 베이스 수지 100 질량부에 대하여 0~5 질량부가 바람직하다. The resist material of the present invention may further contain acetylene alcohols. Examples of the acetylene alcohols include those described in paragraphs [0179] to [0182] of JP-A-2008-122932. The blending amount of acetylene alcohols is preferably 0 to 5 parts by mass based on 100 parts by mass of the base resin.

[패턴 형성 방법][Pattern formation method]

본 발명의 네거티브형 레지스트 재료를 다양한 집적 회로 제조에 이용하는 경우는, 공지된 리소그래피 기술을 적용할 수 있다. When the negative resist material of the present invention is used in the manufacture of various integrated circuits, known lithography techniques can be applied.

예컨대, 본 발명의 네거티브형 레지스트 재료를, 집적 회로 제조용의 기판(Si, SiO2, SiN, SiON, TiN, WSi, BPSG, SOG, 유기 반사방지막 등) 혹은 마스크 회로 제조용의 기판(Cr, CrO, CrON, MoSi2, SiO2 등) 상에 스핀 코트, 롤 코트, 플로우 코트, 딥 코트, 스프레이 코트, 닥터 코트 등의 적당한 도포 방법에 의해 도포막 두께가 0.01~2 ㎛가 되도록 도포한다. 이것을 핫플레이트 상에서 바람직하게는 60~150℃, 10초~30분간, 보다 바람직하게는 80~120℃, 30초~20분간 프리베이크한다. 이어서, 자외선, 원자외선, EB, EUV, X선, 연X선, 엑시머 레이저, γ선, 싱크로트론방사선 등의 고에너지선으로 목적으로 하는 패턴을 소정의 마스크를 통하여 또는 직접 노광을 행한다. 노광량은 1~200 mJ/㎠ 정도, 특히 10~100 mJ/㎠ 정도, 또는 0.1~100 μC/㎠ 정도, 특히 0.5~50 μC/㎠ 정도가 되도록 노광하는 것이 바람직하다. 이어서, 핫플레이트 상에서, 바람직하게는 60~150℃, 10초~30분간, 보다 바람직하게는 80~120℃, 30초~20분간 포스트 익스포져 베이크(PEB)한다. For example, the negative resist material of the present invention can be used as a substrate for producing integrated circuits (Si, SiO 2 , SiN, SiON, TiN, WSi, BPSG, SOG, organic anti- Such as spin coating, roll coating, flow coating, dip coating, spray coating, doctor coat, etc., on the surface of the substrate (e.g., CrON, MoSi 2 or SiO 2 ) This is prebaked on a hot plate, preferably at 60 to 150 ° C for 10 seconds to 30 minutes, more preferably at 80 to 120 ° C for 30 seconds to 20 minutes. Subsequently, a desired pattern is exposed to high-energy radiation such as ultraviolet rays, far ultraviolet rays, EB, EUV, X-rays, soft X-rays, excimer lasers,? -Rays or synchrotron radiation through a predetermined mask or directly. The exposure dose is preferably about 1 to 200 mJ / cm 2, particularly about 10 to 100 mJ / cm 2, or about 0.1 to 100 μC / cm 2, particularly about 0.5 to 50 μC / cm 2. Then, post-exposure baking (PEB) is performed on a hot plate, preferably at 60 to 150 ° C for 10 seconds to 30 minutes, more preferably at 80 to 120 ° C for 30 seconds to 20 minutes.

레지스트막 상에 폴리티오펜이나 폴리아닐린 베이스의 대전방지막을 설치하더라도 좋고, 이외의 탑코트막을 형성하여도 좋다. An antistatic film based on polythiophene or polyaniline may be provided on the resist film, or a top coat film other than the antistatic film may be formed.

추가로, 바람직하게는 0.1~10 질량%, 보다 바람직하게는 2~5 질량%의 테트라메틸암모늄히드록시드(TMAH), 테트라에틸암모늄히드록시드(TEAH), 테트라프로필암모늄히드록시드(TPAH), 테트라부틸암모늄히드록시드(TBAH) 등의 알칼리 수용액의 현상액을 이용하여, 3초~3분간, 바람직하게는 5초~2분간, 침지(dip)법, 퍼들(puddle)법, 스프레이(spray)법 등의 통상의 방법에 의해 현상함으로써, 빛을 조사한 부분은 현상액에 용해되지 않고, 노광되지 않은 부분은 용해되는, 목적으로 하는 네거티브형의 패턴이 기판 상에 형성된다. 또, 본 발명의 레지스트 재료는, 특히 고에너지선 중에서도 EB, EUV, 연X선, X선, γ선, 싱크로트론방사선에 의한 미세 패터닝에 최적이다. (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), tetraethylammonium hydroxide (TPAH), and the like are further added, preferably in an amount of 0.1 to 10 mass%, more preferably 2 to 5 mass% A dip method, a puddle method, a spraying method (spraying method), or the like using a developer of an aqueous alkaline solution such as tetrabutylammonium hydroxide (TBAH) or tetrabutylammonium hydroxide (TBAH) for 3 seconds to 3 minutes, preferably 5 seconds to 2 minutes spraying) method, a target negative pattern is formed on the substrate, in which the light-irradiated portion is not dissolved in the developer and the unexposed portion is dissolved. Further, the resist material of the present invention is particularly suitable for fine patterning by EB, EUV, soft X-ray, X-ray,? -Ray and synchrotron radiation even in a high energy beam.

일반적으로 널리 이용되고 있는 TMAH 수용액보다도 알킬쇄가 긴 TEAH, TPAH 및 TBAH는, 현상 중의 팽윤을 저감시켜 패턴의 붕괴를 막는 효과가 있다. TMAH 현상액으로서는 2.38 질량%의 수용액이 가장 널리 이용되고 있다. 이것은 0.26 N에 상당하고, TEAH, TPAH 또는 TBAH 수용액도 동일한 규정도인 것이 바람직하다. 0.26 N이 되는 TEAH, TPAH 및 TBAH의 농도는 각각 3.84 질량%, 5.31 질량% 및 6.78 질량% 이다. In general, TEAH, TPAH and TBAH having longer alkyl chains than the widely used TMAH aqueous solution have an effect of reducing the swelling in the development and preventing the collapse of the pattern. As the TMAH developing solution, an aqueous solution of 2.38% by mass is most widely used. This corresponds to 0.26 N, and it is preferable that the aqueous solution of TEAH, TPAH or TBAH is also the same. The concentrations of TEAH, TPAH, and TBAH, which are 0.26 N, are 3.84 mass%, 5.31 mass%, and 6.78 mass%, respectively.

EB 또는 EUV로 해상되는 32 nm 이하의 패턴에 있어서, 라인이 꼬이거나 라인끼리 달라붙거나 달라붙은 라인이 쓰러지거나 하는 현상이 일어나고 있다. 이것은, 현상액 중에 팽윤되어 팽창된 라인끼리 달라붙는 것이 원인이라고 생각된다. 팽윤된 라인은, 현상액을 함유하여 스폰지와 같이 부드럽기 때문에, 린스의 응력으로 쓰러지기 쉽게 되고 있다. 알킬쇄가 긴 TEAH, TPAH 및 TBAH를 포함하는 현상액은, 팽윤을 막아 패턴 붕괴를 막는 효과가 있다. In a pattern of 32 nm or less resolved by EB or EUV, a phenomenon occurs in which lines become twisted, lines stick together, or lines sticking together collapse. This is thought to be caused by the swelling of the swelled lines in the developing solution. The swelled line contains developer and is so soft as a sponge that it is easy to fall due to the stress of the rinse. Developing solutions containing long alkyl chains of TEAH, TPAH and TBAH have the effect of preventing swelling and preventing pattern collapse.

[실시예][Example]

이하, 실시예 및 비교예를 나타내어 본 발명을 구체적으로 설명하지만, 본 발명은 하기의 실시예에 제한되는 것은 아니다. 여기서, 중량 평균 분자량(Mw)은, THF를 용제로서 이용한 GPC에 의한 폴리스티렌 환산 측정치이다. 또한, 하기 예에서 이용한 모노머 1~3 및 PAG 모노머 1~4는 다음과 같다. Hereinafter, the present invention will be described in detail with reference to examples and comparative examples, but the present invention is not limited to the following examples. Here, the weight average molecular weight (Mw) is measured by GPC using polystyrene conversion using THF as a solvent. The monomers 1 to 3 and PAG monomers 1 to 4 used in the following examples are as follows.

Figure 112017053088264-pat00017
Figure 112017053088264-pat00017

[1] 폴리머의 합성[1] Synthesis of polymer

[실시예 1-1] 폴리머 1의 합성[Example 1-1] Synthesis of polymer 1

2 L 플라스크에, 2-비닐안트라퀴논 3.5 g, 모노머 1 4.1 g, 4-히드록시스티렌 7.2 g, 및 용제로서 THF 20 g을 첨가했다. 이 반응 용기를, 질소 분위기 하에, -70℃까지 냉각하여, 감압 탈기, 질소 블로우를 3회 반복했다. 실온까지 승온 후, 중합개시제로서 아조비스이소부티로니트릴(AIBN) 1.2 g을 가하여 60℃까지 승온 후, 15시간 반응시켰다. 이 반응 용액을 1/2까지 농축하고, 메탄올 1 L 및 물 0.1 L의 혼합 용제에 첨가한 바, 백색 고체가 침전되었다. 얻어진 백색 고체를 여과 분별 후, 60℃에서 감압 건조하여 폴리머 1을 얻었다. 폴리머 1의 조성은 13C-NMR 및 1H-NMR에 의해, Mw 및 Mw/Mn은 GPC에 의해 확인했다. 3.5 g of 2-vinyl anthraquinone, 4.1 g of monomer 1, 7.2 g of 4-hydroxystyrene, and 20 g of THF as a solvent were added to a 2 L flask. The reaction vessel was cooled to -70 占 폚 in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of azobisisobutyronitrile (AIBN) as a polymerization initiator was added, the temperature was raised to 60 ° C, and the reaction was carried out for 15 hours. The reaction solution was concentrated to ½ and added to a mixed solvent of 1 L of methanol and 0.1 L of water, whereby a white solid precipitated. The resulting white solid was separated by filtration and dried under reduced pressure at 60 DEG C to obtain polymer 1. The composition of the polymer 1 was confirmed by 13 C-NMR and 1 H-NMR, and Mw and Mw / Mn by GPC.

Figure 112017053088264-pat00018
Figure 112017053088264-pat00018

[실시예 1-2] 폴리머 2의 합성[Example 1-2] Synthesis of polymer 2

2 L 플라스크에, 2-비닐안트라퀴논 3.5 g, 모노머 2 4.1 g, 4-히드록시스티렌 7.8 g 및 용제로서 THF 40 g을 첨가했다. 이 반응 용기를, 질소 분위기 하에, -70℃까지 냉각하여, 감압 탈기, 질소 블로우를 3회 반복했다. 실온까지 승온 후, 중합개시제로서 AIBN 1.2 g을 가하고, 60℃까지 승온 후, 15시간 반응시켰다. 이 반응 용액을 1/2까지 농축하여, 메탄올 1 L 및 물 0.1 L의 혼합 용제에 첨가한 바, 백색 고체가 침전되었다. 얻어진 백색 고체를 여과 분별한 후, 60℃에서 감압 건조하여 폴리머 2를 얻었다. 폴리머 2의 조성은 13C-NMR 및 1H-NMR에 의해, Mw 및 Mw/Mn은 GPC에 의해 확인했다. 3.5 g of 2-vinyl anthraquinone, 4.1 g of monomer 2, 7.8 g of 4-hydroxystyrene and 40 g of THF as a solvent were added to a 2 L flask. The reaction vessel was cooled to -70 占 폚 in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN was added as a polymerization initiator, and the temperature was raised to 60 DEG C, and the reaction was carried out for 15 hours. The reaction solution was concentrated to ½ and added to a mixed solvent of 1 L of methanol and 0.1 L of water, whereby a white solid precipitated. The obtained white solid was separated by filtration and dried under reduced pressure at 60 ° C to obtain polymer 2. The composition of polymer 2 was confirmed by 13 C-NMR and 1 H-NMR, and Mw and Mw / Mn by GPC.

Figure 112017053088264-pat00019
Figure 112017053088264-pat00019

[실시예 1-3] 폴리머 3의 합성[Example 1-3] Synthesis of polymer 3

2 L 플라스크에, 2-비닐안트라퀴논 3.5 g, 모노머 3 5.1 g, 4-히드록시스티렌 4.2 g, 메타크릴산-4-히드로페닐 3.6 g 및 용제로서 THF 40 g을 첨가했다. 이 반응 용기를, 질소 분위기 하에, -70℃까지 냉각하여, 감압 탈기, 질소 블로우를 3회 반복했다. 실온까지 승온 후, 중합개시제로서 AIBN 1.2 g을 가하고, 60℃까지 승온 후, 15시간 반응시켰다. 이 반응 용액을 1/2까지 농축하여, 메탄올 1 L 및 물 0.1 L의 혼합 용제에 첨가한 바, 백색 고체가 침전되었다. 얻어진 백색 고체를 여과 분별한 후, 60℃에서 감압 건조하여 폴리머 3을 얻었다. 폴리머 3의 조성은 13C-NMR 및 1H-NMR에 의해, Mw 및 Mw/Mn은 GPC에 의해 확인했다. 3.5 g of 2-vinyl anthraquinone, 5.1 g of monomer 3, 4.2 g of 4-hydroxystyrene, 3.6 g of methacrylic acid-4-hydrophenyl and 40 g of THF as a solvent were added to a 2 L flask. The reaction vessel was cooled to -70 占 폚 in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN was added as a polymerization initiator, and the temperature was raised to 60 DEG C, and the reaction was carried out for 15 hours. The reaction solution was concentrated to ½ and added to a mixed solvent of 1 L of methanol and 0.1 L of water, whereby a white solid precipitated. The obtained white solid was separated by filtration and dried under reduced pressure at 60 캜 to obtain polymer 3. The composition of polymer 3 was confirmed by 13 C-NMR and 1 H-NMR, and Mw and Mw / Mn by GPC.

Figure 112017053088264-pat00020
Figure 112017053088264-pat00020

[실시예 1-4] 폴리머 4의 합성[Example 1-4] Synthesis of polymer 4

2 L 플라스크에, 2-비닐안트라퀴논 3.5 g, 모노머 1 4.9 g, 4-히드록시스티렌 4.8 g, PAG 모노머 1 6.8 g 및 용제로서 THF 40 g을 첨가했다. 이 반응 용기를, 질소 분위기 하에, -70℃까지 냉각하여, 감압 탈기, 질소 블로우를 3회 반복했다. 실온까지 승온 후, 중합개시제로서 AIBN 1.2 g을 가하고, 60℃까지 승온 후, 15시간 반응시켰다. 이 반응 용액을 1/2까지 농축하여, 메탄올 1 L 및 물 0.1 L의 혼합 용제에 첨가한 바, 백색 고체가 침전되었다. 얻어진 백색 고체를 여과 분별한 후, 60℃에서 감압 건조하여 폴리머 4를 얻었다. 폴리머 4의 조성은 13C-NMR 및 1H-NMR에 의해, Mw 및 Mw/Mn은 GPC에 의해 확인했다. 3.5 g of 2-vinyl anthraquinone, 4.9 g of monomer 1, 4.8 g of 4-hydroxystyrene, 6.8 g of PAG monomer 1 and 40 g of THF as a solvent were added to a 2 L flask. The reaction vessel was cooled to -70 占 폚 in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN was added as a polymerization initiator, and the temperature was raised to 60 DEG C, and the reaction was carried out for 15 hours. The reaction solution was concentrated to ½ and added to a mixed solvent of 1 L of methanol and 0.1 L of water, whereby a white solid precipitated. The resulting white solid was separated by filtration and dried under reduced pressure at 60 캜 to obtain polymer 4. The composition of polymer 4 was confirmed by 13 C-NMR and 1 H-NMR, and Mw and Mw / Mn by GPC.

Figure 112017053088264-pat00021
Figure 112017053088264-pat00021

[실시예 1-5] 폴리머 5의 합성[Example 1-5] Synthesis of polymer 5

2 L 플라스크에, 2-비닐안트라퀴논 4.5 g, 모노머 1 4.9 g, 4-히드록시스티렌 4.8 g, PAG 모노머 2 5.9 g 및 용제로서 THF 40 g을 첨가했다. 이 반응 용기를, 질소 분위기 하에, -70℃까지 냉각하여, 감압 탈기, 질소 블로우를 3회 반복했다. 실온까지 승온 후, 중합개시제로서 AIBN 1.2 g을 가하고, 60℃까지 승온 후, 15시간 반응시켰다. 이 반응 용액을 1/2까지 농축하여, 메탄올 1 L 및 물 0.1 L의 혼합용제에 첨가한 바, 백색 고체가 침전되었다. 얻어진 백색 고체를 여과 분별한 후, 60℃에서 감압 건조하여 폴리머 5를 얻었다. 폴리머 5의 조성은 13C-NMR 및 1H-NMR에 의해, Mw 및 Mw/Mn은 GPC에 의해 확인했다. 4.5 g of 2-vinyl anthraquinone, 4.9 g of monomer 1, 4.8 g of 4-hydroxystyrene, 5.9 g of PAG monomer 2 and 40 g of THF as a solvent were added to a 2 L flask. The reaction vessel was cooled to -70 占 폚 in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN was added as a polymerization initiator, and the temperature was raised to 60 DEG C, and the reaction was carried out for 15 hours. The reaction solution was concentrated to ½ and added to a mixed solvent of 1 L of methanol and 0.1 L of water, whereby a white solid precipitated. The obtained white solid was separated by filtration and dried under reduced pressure at 60 占 폚 to obtain polymer 5. The composition of polymer 5 was confirmed by 13 C-NMR and 1 H-NMR, and Mw and Mw / Mn by GPC.

Figure 112017053088264-pat00022
Figure 112017053088264-pat00022

[실시예 1-6] 폴리머 6의 합성[Example 1-6] Synthesis of polymer 6

2 L 플라스크에, 2-비닐안트라퀴논 4.5 g, 모노머 1 4.9 g, 메타크릴산-4-히드록시페닐 5.3 g, 메타크릴산3-옥소-2,7-디옥사트리시클로[4.2.1.04,8]노난-9-일 2.2 g, PAG 모노머 3 7.4 g 및 용제로서 THF 40 g을 첨가했다. 이 반응 용기를, 질소 분위기 하에, -70℃까지 냉각하여, 감압 탈기, 질소 블로우를 3회 반복했다. 실온까지 승온 후, 중합개시제로서 AIBN 1.2 g을 가하고, 60℃까지 승온 후, 15시간 반응시켰다. 이 반응 용액을 1/2까지 농축하여, 메탄올 1 L 및 물 0.1 L의 혼합 용제에 첨가한 바, 백색 고체가 침전되었다. 얻어진 백색 고체를 여과 분별한 후, 60℃에서 감압 건조하여 폴리머 6을 얻었다. 폴리머 6의 조성은 13C-NMR 및 1H-NMR에 의해, Mw 및 Mw/Mn은 GPC에 의해 확인했다. 2 L flask, 2-vinyl-anthraquinone 4.5 g, 1 4.9 g monomer, methacrylic acid-4-hydroxyphenyl 5.3 g, methacrylic acid 3-oxo-2,7-dioxa-tricyclo [4.2.1.0 4 , 8 ] nonan-9-yl, 7.4 g of PAG monomer 3 and 40 g of THF as a solvent. The reaction vessel was cooled to -70 占 폚 in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN was added as a polymerization initiator, and the temperature was raised to 60 DEG C, and the reaction was carried out for 15 hours. The reaction solution was concentrated to ½ and added to a mixed solvent of 1 L of methanol and 0.1 L of water, whereby a white solid precipitated. The obtained white solid was separated by filtration and dried under reduced pressure at 60 DEG C to obtain polymer 6. The composition of polymer 6 was confirmed by 13 C-NMR and 1 H-NMR, and Mw and Mw / Mn by GPC.

Figure 112017053088264-pat00023
Figure 112017053088264-pat00023

[실시예 1-7] 폴리머 7의 합성[Example 1-7] Synthesis of polymer 7

2 L 플라스크에, 2-비닐안트라퀴논 2.3 g, 모노머 1 4.9 g, 메타크릴산-4-히드록시페닐 5.3 g, α-메틸렌-γ-부티로락톤 2.0 g, PAG 모노머 4 7.4 g, 용제로서 THF 40 g을 첨가했다. 이 반응 용기를, 질소 분위기 하에, -70℃까지 냉각하여, 감압 탈기, 질소 블로우를 3회 반복했다. 실온까지 승온 후, 중합개시제로서 AIBN 1.2 g을 가하고, 60℃까지 승온 후, 15시간 반응시켰다. 이 반응 용액을 1/2까지 농축하여, 메탄올 1 L 및 물 0.1 L의 혼합 용제에 첨가한 바, 백색 고체가 침전되었다. 얻어진 백색 고체를 여과 분별한 후, 60℃에서 감압 건조하여, 폴리머 7을 얻었다. 폴리머 7의 조성은 13C-NMR 및 1H-NMR에 의해, Mw 및 Mw/Mn은 GPC에 의해 확인했다. 2.3 g of 2-vinyl anthraquinone, 4.9 g of monomer 1, 5.3 g of methacrylic acid-4-hydroxyphenyl, 2.0 g of? -Methylene-? -Butyrolactone, 7.4 g of PAG monomer 4, 40 g of THF were added. The reaction vessel was cooled to -70 占 폚 in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN was added as a polymerization initiator, and the temperature was raised to 60 DEG C, and the reaction was carried out for 15 hours. The reaction solution was concentrated to ½ and added to a mixed solvent of 1 L of methanol and 0.1 L of water, whereby a white solid precipitated. The resulting white solid was separated by filtration and dried under reduced pressure at 60 캜 to obtain polymer 7. The composition of the polymer 7 was confirmed by 13 C-NMR and 1 H-NMR, and Mw and Mw / Mn by GPC.

Figure 112017053088264-pat00024
Figure 112017053088264-pat00024

[비교예 1-1] 비교 폴리머 1의 합성[Comparative Example 1-1] Synthesis of comparative polymer 1

2-비닐안트라퀴논 대신에 아세나프틸렌 2.3 g을 이용한 것 이외에는, 실시예 1-1과 같은 방법으로 합성을 하여, 비교 폴리머 1을 얻었다. 비교 폴리머 1의 조성은 13C-NMR 및 1H-NMR에 의해, Mw 및 Mw/Mn은 GPC에 의해 확인했다. Synthesis was conducted in the same manner as in Example 1-1 except that acenaphthylene (2.3 g) was used instead of 2-vinyl anthraquinone to obtain Comparative Polymer 1. The composition of comparative polymer 1 was confirmed by 13 C-NMR and 1 H-NMR, and Mw and Mw / Mn by GPC.

Figure 112017053088264-pat00025
Figure 112017053088264-pat00025

[2] 네거티브형 레지스트 재료의 조제[2] Preparation of Negative Resist Material

[실시예 2-1~2-9, 비교예 2-1][Examples 2-1 to 2-9, Comparative Example 2-1]

계면활성제로서 쓰리엠사 제조 계면활성제 FC-4430을 100 ppm 용해시킨 용제에, 표 1에 나타내는 조성으로 각 성분을 용해시킨 용액을, 0.2 ㎛ 사이즈의 필터로 여과하여 네거티브형 레지스트 재료를 조제했다. A solution obtained by dissolving each component in the composition shown in Table 1 was filtered with a filter having a size of 0.2 mu m by using a solvent in which 100 ppm of surfactant FC-4430 manufactured by 3M Co., Ltd. was dissolved as a surfactant, and a negative type resist material was prepared.

표 1에서의 각 성분은 다음과 같다. The components in Table 1 are as follows.

·폴리머 1~7: 실시예 1-1~1-7에서 얻어진 폴리머Polymers 1 to 7: The polymers obtained in Examples 1-1 to 1-7

·비교 폴리머 1: 비교예 1에서 얻어진 폴리머Comparative polymer 1: The polymer obtained in Comparative Example 1

·유기 용제: PGMEA(프로필렌글리콜모노메틸에테르아세테이트) · Organic solvents: PGMEA (propylene glycol monomethyl ether acetate)

CyH(시클로헥사논)CyH (cyclohexanone)

·산발생제: PAG1(하기 구조식 참조)· Acid generator: PAG1 (see the following structural formula)

·염기성 화합물: 켄처 1(하기 구조식 참조)· Basic compound: Kureta 1 (see the following formula)

Figure 112017053088264-pat00026
Figure 112017053088264-pat00026

[3] EB 리소그래피 평가[3] EB lithography evaluation

실시예 2-1~2-9 및 비교예 2-1에서 조제한 각 네거티브형 레지스트 재료를, 직경 6 인치φ의 Si 기판 상에, 클린트랙 Mark 5(도쿄일렉트론(주) 제조)를 이용하여 스핀코트하고, 핫플레이트 상에서 110℃에서 60초간 프리베이크하여 100 nm의 레지스트막을 제작했다. 이것에, (주)히타치세이사쿠쇼 제조 HL-800D를 이용하여, HV 전압 50 kV으로 진공 챔버 내 묘화를 행했다. Each of the negative resist materials prepared in Examples 2-1 to 2-9 and Comparative Example 2-1 was spin-coated on a Si substrate having a diameter of 6 inches by using Clean Track Mark 5 (manufactured by Tokyo Electron Co., Ltd.) Coated and baked on a hot plate at 110 DEG C for 60 seconds to prepare a 100 nm resist film. To this, HL-800D (manufactured by Hitachi, Ltd.) was used, and the film was drawn in a vacuum chamber at an HV voltage of 50 kV.

묘화 후, 즉시 클린트랙 Mark 5(도쿄일렉트론(주) 제조)를 이용하여 핫플레이트 상에서 표 1에 나타내는 온도에서 60초간 PEB를 행하고, 2.38 질량%의 TMAH 수용액으로 30초간 퍼들 현상을 행하여, 네거티브형 패턴을 얻었다. Immediately after drawing, PEB was immediately performed on a hot plate at a temperature shown in Table 1 for 60 seconds using a clean track Mark 5 (manufactured by Tokyo Electron Co., Ltd.), and puddle development was performed for 30 seconds with a 2.38 mass% aqueous TMAH solution, Pattern.

얻어진 레지스트 패턴을 다음과 같이 평가했다. The obtained resist pattern was evaluated as follows.

100 nm의 라인&스페이스를 1:1로 해상하는 노광량에 있어서의, 최소의 치수를 해상력으로 하여, 100 nmLS의 라인 엣지 러프니스(LER)를 SEM으로 측정했다. The line edge roughness (LER) of 100 nmLS was measured by SEM with the minimum dimension as the resolution at the exposure amount for resolving the line and space of 100 nm at 1: 1.

결과를 표 1에 병기한다. The results are shown in Table 1.

Figure 112017053088264-pat00027
Figure 112017053088264-pat00027

표 1에 나타내는 결과로부터, 본 발명의 폴리머를 이용한 레지스트 재료는 충분한 해상력과 감도를 가지고, LER가 저감되는 것을 알 수 있었다. From the results shown in Table 1, it was found that the resist material using the polymer of the present invention had sufficient resolution and sensitivity, and the LER was reduced.

Claims (11)

하기 식(a)으로 표시되는 반복 단위, 하기 식(b)으로 표시되는 반복 단위 및 하기 식(c)으로 표시되는 반복 단위를 포함하고, 중량 평균 분자량이 1,000~500,000인 폴리머.
Figure 112017053088264-pat00028

(식에서, RA는 각각 독립적으로 수소 원자 또는 메틸기이다. R1은 히드록시기, 직쇄상 혹은 분기상의 탄소수 1~4의 알킬기, 직쇄상 혹은 분기상의 탄소수 1~4의 알콕시기, 아세톡시기, 또는 할로겐 원자를 나타낸다. R2 및 R5는 각각 독립적으로 직쇄상 혹은 분기상의 탄소수 1~6의 알킬기, 또는 할로겐 원자이다. R3 및 R4는 각각 독립적으로 탄소수 1~6의 직쇄상, 분기상 또는 환상의 알킬기이고, R3과 R4가 결합하여, 이들이 결합하는 탄소 원자와 함께 고리를 형성하고 있어도 좋다. X1 및 X2는 각각 독립적으로 단결합 또는 에스테르기이다. m은 1 또는 2이다. p 및 q은 각각 독립적으로 0 또는 1이다. r은 0~4의 정수이다.)
A polymer comprising a repeating unit represented by the following formula (a), a repeating unit represented by the following formula (b), and a repeating unit represented by the following formula (c) and having a weight average molecular weight of 1,000 to 500,000.
Figure 112017053088264-pat00028

(Wherein, R A are each independently a hydrogen atom or a methyl group. R 1 is a hydroxy group, a straight-chain or an alkoxy group, an acetoxy group having from 1 to 4 carbon atoms on the alkyl group, linear or branched having from 1 to 4 carbon atoms on the branch, or R 2 and R 5 are each independently a linear or branched alkyl group having 1 to 6 carbon atoms or a halogen atom, R 3 and R 4 are each independently a linear, branched, or cyclic alkyl group having 1 to 6 carbon atoms, Or a cyclic alkyl group, R 3 and R 4 may combine to form a ring with the carbon atoms to which they are bonded, X 1 and X 2 are each independently a single bond or an ester group, m is 1 or 2 P and q are each independently 0 or 1. r is an integer of 0 to 4.)
제1항에 있어서, 추가로 하기 식(f1)~식(f3)으로 표시되는 반복 단위에서 선택되는 적어도 1종을 포함하는 폴리머.
Figure 112017053088264-pat00029

(식에서, RA는 각각 독립적으로 수소 원자 또는 메틸기이다. R21은 단결합, 페닐렌기, -O-R31- 또는 -C(=O)-Z1-R31-이고, Z1은 -O- 또는 -NH-이고, R31은 직쇄상, 분기상 혹은 환상의 탄소수 1~6의 알킬렌기, 직쇄상, 분기상 혹은 환상의 탄소수2~6의 알케닐렌기, 또는 페닐렌기이고, 카르보닐기, 에스테르기, 에테르기 또는 히드록시기를 포함하고 있어도 좋다. Rf1~Rf4는 각각 독립적으로 불소 원자, 수소 원자 또는 트리플루오로메틸기이지만, Rf1~Rf4 중 적어도 하나는 불소 원자이다. R22~R29는 각각 독립적으로 카르보닐기, 에스테르기 혹은 에테르기를 포함하고 있어도 좋은 직쇄상, 분기상 혹은 환상의 탄소수 1~12의 알킬기, 탄소수 6~12의 아릴기, 탄소수 7~20의 아랄킬기, 또는 머캅토페닐기이다. Y1은 단결합, 또는 에스테르기, 에테르기 또는 락톤환을 포함하고 있어도 좋은 탄소수 1~12의 연결기이다. Y2는 단결합, 메틸렌기, 에틸렌기, 페닐렌기, 불소화페닐렌기, -O-R32- 또는 -C(=O)-Z2-R32-이고, Z2는 -O- 또는 -NH-이고, R32는 직쇄상, 분기상 혹은 환상의 탄소수 1~6의 알킬렌기, 페닐렌기, 또는 직쇄상, 분기상 혹은 환상의 탄소수 2~6의 알케닐렌기이고, 카르보닐기, 에스테르기, 에테르기 또는 히드록시기를 포함하고 있어도 좋다. M-는 비구핵성 카운터 이온이다.)
The polymer according to claim 1, further comprising at least one member selected from the repeating units represented by the following formulas (f1) to (f3).
Figure 112017053088264-pat00029

. (Wherein, R A are each, independently, a hydrogen atom or a methyl group, R 21 represents a single bond, phenylene group, -OR 31 -, or -C (= O) -Z 1 -R 31 - and, Z 1 is -O- Or -NH-, and R 31 is an alkylene group having 1 to 6 carbon atoms, which is linear, branched or cyclic, a linear, branched or cyclic alkenylene group having 2 to 6 carbon atoms, or a phenylene group, Rf 1 to Rf 4 are each independently a fluorine atom, a hydrogen atom or a trifluoromethyl group, but at least one of Rf 1 to Rf 4 is a fluorine atom, R 22 to R 29 each independently represents a linear, branched or cyclic alkyl group having 1 to 12 carbon atoms, an aryl group having 6 to 12 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, or a mercapto group, which may have a carbonyl group, an ester group or an ether group, Y 1 is a single bond, or an ester group, an ether group or a lactone ring. Y 2 is a single bond, a methylene group, an ethylene group, a phenylene group, a phenylene group, a fluorinated phenylene group, -OR 32 -, or -C (= O) -Z 2 -R 32 - , Z 2 is -O- or -NH-, R 32 is a linear, branched or cyclic alkylene group having 1 to 6 carbon atoms, a phenylene group, or a linear, branched or cyclic C2- An ether group or a hydroxyl group, and M - is a non-nucleophilic counter ion).
제1항에 기재한 폴리머를 포함하는 베이스 수지를 포함하는 네거티브형 레지스트 재료. A negative resist composition comprising a base resin containing the polymer according to claim 1. 제3항에 있어서, 추가로 유기 용제 및 산발생제를 포함하는 화학 증폭 레지스트 재료인 네거티브형 레지스트 재료. 4. The negative resist composition according to claim 3, which further comprises a chemical amplification resist material comprising an organic solvent and an acid generator. 제3항에 있어서, 추가로 염기성 화합물을 포함하는 네거티브형 레지스트 재료. The negative resist composition according to claim 3, further comprising a basic compound. 제3항에 있어서, 추가로 계면활성제를 포함하는 네거티브형 레지스트 재료. The negative resist composition according to claim 3, further comprising a surfactant. 제3항에 기재한 네거티브형 레지스트 재료를 기판 상에 도포하고, 가열 처리를 하여 레지스트막을 형성하는 공정과, 자외선, 원자외선, 전자선(EB), 극단자외선(UV), X선, 연X선, 엑시머 레이저, γ선 또는 싱크로트론방사선으로부터 선택되는 고에너지선으로 상기 레지스트막을 노광하는 공정과, 현상액을 이용하여 노광한 레지스트막을 현상하는 공정을 포함하는 패턴 형성 방법. A method for forming a resist film, comprising the steps of applying the negative resist composition described in claim 3 onto a substrate to form a resist film by performing a heat treatment, and a step of forming a resist film by exposing the substrate to ultraviolet light, deep ultraviolet light, electron beam (EB) , A step of exposing the resist film to a high energy line selected from an excimer laser,? -Ray or synchrotron radiation, and a step of developing the resist film exposed using a developer. 제7항에 있어서, 상기 기판이 포토마스크 블랭크인 패턴 형성 방법. 8. The method of claim 7, wherein the substrate is a photomask blank. 제7항에 있어서, 상기 고에너지선이 파장 180~400 nm의 자외선인 패턴 형성 방법. The pattern forming method according to claim 7, wherein the high energy ray is an ultraviolet ray having a wavelength of 180 to 400 nm. 제7항에 있어서, 상기 고에너지선이 전자선 또는 파장 3~15 nm의 극단자외선인 패턴 형성 방법. The pattern forming method according to claim 7, wherein the high energy ray is an electron beam or an extreme ultraviolet ray having a wavelength of 3 to 15 nm. 제3항에 기재한 네거티브형 레지스트 재료를 도포한 포토마스크 블랭크. A photomask blank coated with the negative resist material according to claim 3.
KR1020170069123A 2016-06-08 2017-06-02 Polymer, negative resist composition, and pattern forming process KR101920999B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2016-114571 2016-06-08
JP2016114571 2016-06-08
JPJP-P-2017-040213 2017-03-03
JP2017040213A JP6729450B2 (en) 2016-06-08 2017-03-03 Polymer, negative resist material, and pattern forming method

Publications (2)

Publication Number Publication Date
KR20170138941A KR20170138941A (en) 2017-12-18
KR101920999B1 true KR101920999B1 (en) 2018-11-21

Family

ID=60687993

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170069123A KR101920999B1 (en) 2016-06-08 2017-06-02 Polymer, negative resist composition, and pattern forming process

Country Status (3)

Country Link
JP (1) JP6729450B2 (en)
KR (1) KR101920999B1 (en)
TW (1) TWI617884B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6922849B2 (en) * 2018-05-25 2021-08-18 信越化学工業株式会社 Monomer, polymer, negative resist composition, photomask blank, and resist pattern forming method
JP2022125971A (en) 2021-02-17 2022-08-29 信越化学工業株式会社 Negative resist material and pattern forming process

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008111103A (en) 2006-10-04 2008-05-15 Shin Etsu Chem Co Ltd Polymer compound, resist material, and pattern-forming method
JP2012108182A (en) 2010-11-15 2012-06-07 Shin Etsu Chem Co Ltd Pattern forming method
JP2015018069A (en) 2013-07-10 2015-01-29 信越化学工業株式会社 Positive resist material and pattern forming method using the same
US20160070169A1 (en) 2014-09-08 2016-03-10 Shin-Etsu Chemical Co., Ltd. Negative-tone resist compositions and multifunctional polymers therein

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002031891A (en) * 2000-07-17 2002-01-31 Toray Ind Inc Positive type radiation sensitive composition
JP2011001103A (en) * 2009-06-19 2011-01-06 Taiyo:Kk Vegetable bagging machine
JP5819810B2 (en) * 2012-12-18 2015-11-24 信越化学工業株式会社 Negative resist material and pattern forming method using the same
JP6059675B2 (en) * 2014-03-24 2017-01-11 信越化学工業株式会社 Chemically amplified negative resist composition and resist pattern forming method
CN104503205A (en) * 2014-11-04 2015-04-08 深圳市华星光电技术有限公司 Photoresist composition and preparation method thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008111103A (en) 2006-10-04 2008-05-15 Shin Etsu Chem Co Ltd Polymer compound, resist material, and pattern-forming method
JP2012108182A (en) 2010-11-15 2012-06-07 Shin Etsu Chem Co Ltd Pattern forming method
JP2015018069A (en) 2013-07-10 2015-01-29 信越化学工業株式会社 Positive resist material and pattern forming method using the same
US20160070169A1 (en) 2014-09-08 2016-03-10 Shin-Etsu Chemical Co., Ltd. Negative-tone resist compositions and multifunctional polymers therein

Also Published As

Publication number Publication date
TW201802601A (en) 2018-01-16
JP2017222832A (en) 2017-12-21
KR20170138941A (en) 2017-12-18
JP6729450B2 (en) 2020-07-22
TWI617884B (en) 2018-03-11

Similar Documents

Publication Publication Date Title
KR101722296B1 (en) Negative resist composition and patterning process
KR102343781B1 (en) Resist composition and patterning process
KR101732217B1 (en) Positive resist composition and patterning process using same
KR102156480B1 (en) Patterning process
KR101757942B1 (en) Nitrogen-containing monomer, polymer, resist composition, and patterning process
KR101834119B1 (en) Polymer, positive resist composition, and pattern forming process
JP5464131B2 (en) Chemically amplified resist material and pattern forming method using the same
KR101772728B1 (en) Resist composition and patterning process
KR20160122085A (en) Chemically amplified negative resist composition using novel onium salt and resist pattern forming process
KR101761970B1 (en) Polymer, positive resist composition and patterning process
KR101715987B1 (en) Negative resist composition and patterning process using the same
JP5920322B2 (en) Negative resist material and pattern forming method using the same
TW201706320A (en) Polymer, chemically amplified positive resist composition and patterning process exhibiting a remarkably high contrast of alkaline dissolution rate before and after exposure
TW201504773A (en) Developer for photosensitive resist material and patterning process
KR20140122654A (en) Developer and patterning process
US10377842B2 (en) Polymer, negative resist composition, and pattern forming process
JP6003873B2 (en) Resist material and pattern forming method using the same
KR101770870B1 (en) Developer and patterning process
KR101920999B1 (en) Polymer, negative resist composition, and pattern forming process
JP6341109B2 (en) Pattern formation method
KR20220117833A (en) Nagative resist composition and pattern forming process
KR101905160B1 (en) Developer and patterning process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant