KR101881304B1 - Method for preparing thin film of two-dimensional transition metal dichalcogenide having high uniformity - Google Patents

Method for preparing thin film of two-dimensional transition metal dichalcogenide having high uniformity Download PDF

Info

Publication number
KR101881304B1
KR101881304B1 KR1020160093974A KR20160093974A KR101881304B1 KR 101881304 B1 KR101881304 B1 KR 101881304B1 KR 1020160093974 A KR1020160093974 A KR 1020160093974A KR 20160093974 A KR20160093974 A KR 20160093974A KR 101881304 B1 KR101881304 B1 KR 101881304B1
Authority
KR
South Korea
Prior art keywords
transition metal
containing precursor
substrate
chalcogen
dimensional
Prior art date
Application number
KR1020160093974A
Other languages
Korean (ko)
Other versions
KR20180011899A (en
Inventor
강상우
문지훈
Original Assignee
한국표준과학연구원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한국표준과학연구원 filed Critical 한국표준과학연구원
Priority to KR1020160093974A priority Critical patent/KR101881304B1/en
Priority to PCT/KR2016/008303 priority patent/WO2017018834A1/en
Priority to US15/562,545 priority patent/US10309011B2/en
Priority to JP2017561638A priority patent/JP6688949B2/en
Publication of KR20180011899A publication Critical patent/KR20180011899A/en
Application granted granted Critical
Publication of KR101881304B1 publication Critical patent/KR101881304B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/46Sulfur-, selenium- or tellurium-containing compounds

Abstract

본 발명 고균일 2차원 전이금속 디칼코지나이드 박막의 제조 방법에 관한 것이며, 보다 구체적으로는 500℃ 이하의 저온 조건에서 고균일 2차원 전이금속 디칼코지나이드 박막을 제조하는 방법에 관한 것이다.The present invention relates to a method for producing a high-uniform two-dimensional transition metal decalcogenide thin film, and more particularly, to a method for producing a highly uniform two-dimensional transition metal decalcinate thin film at a low temperature of 500 ° C or lower.

Description

고균일 2차원 전이금속 디칼코지나이드 박막의 제조 방법{Method for preparing thin film of two-dimensional transition metal dichalcogenide having high uniformity}BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to a method for preparing a high-uniform two-dimensional transition metal decalcogenide thin film having a two-dimensional transition metal dichalcogenide having high uniformity,

본 발명은 고균일 2차원 전이금속 디칼코지나이드 박막의 제조 방법에 관한 것이다. The present invention relates to a method for producing a highly uniform two-dimensional transition metal decalcinate thin film.

2차원(2D) 소재는 층상 구조를 가지는 소재를 의미하며, 이러한 2차원 소재의 대표적인 것으로는 그래핀, 전이금속 디칼코지나이드 (transition metal dichalcogenide) 등이 있다. 또한 벌크와 대비하여 물리적/화학적 특성이 바뀌는 두께를 지닌 2차원 소재를 2차원 박막이라고 통칭한다. A two-dimensional (2D) material means a material having a layered structure. Typical examples of such a two-dimensional material include graphene, transition metal dichalcogenide, and the like. Also, a two-dimensional material having a thickness that changes physical / chemical properties in comparison with a bulk is referred to as a two-dimensional thin film.

그래핀이 종래의 전자 디바이스에 사용되던 소재를 대체할 수 있는 유망한 후보 소재라는 것을 다양한 연구들이 보여주고 있다. 그러나 그래핀은 높은 전자 이동도, 탄성(elasticity), 열 전도성, 및 유연성의 뛰어난 성질을 보유하고 있음에도 불구하고, 밴드 갭의 결핍(순수 그래핀의 경우 0 eV)으로 인하여 트랜지스터 및 광 디바이스에는 적합하지 않다. 이에 반해 전이금속 디칼코지나이드, 예를 들면, 두 개의 황 원자 사이에 위치한 한 개의 몰리브덴 원자의 공유 결합 및 층간 반데르발스 힘에 의해 응집된 적층된 구조 물질인, 이황화 몰리브덴(MoS2)은, 조절 가능한 밴드 갭[1.2 eV(벌크)의 간접 밴드 갭으로부터 1.8 eV(단층)의 직접 밴드 갭까지] 및 주변 안정성으로 인하여 새로운 2차원(2D) 소재로서 각광받고 있다. Various studies have shown that graphene is a promising candidate material that can replace materials used in conventional electronic devices. However, graphene is well suited for transistors and optical devices due to a lack of bandgap (0 eV for pure graphene), despite the excellent properties of high electron mobility, elasticity, thermal conductivity, and flexibility I do not. On the other hand, molybdenum disulfide (MoS 2 ), which is a laminated structure material agglomerated by covalent bonds and interlayer van der Waals forces of transition metal dicalcogenides, for example, one molybdenum atom located between two sulfur atoms, (2D) material due to its adjustable bandgap (from an indirect band gap of 1.2 eV (bulk) to a direct band gap of 1.8 eV (single layer)] and ambient stability.

MoS2 단층의 제조는 그래핀의 제조에 사용되었던 접근법과 유사한 마이크로 기계적 박리법(micromechanical exfoliation method)에 의하여 처음으로 시도되었으며, 전계 효과 트랜지스터(FET)에 대한 채널 소재(channel material)로서 그 응용 가능성이 확인되었다. 유전체 스크리닝 방법을 이용하여 MoS2의 전기적 특성을 향상시킨 연구가 발표된 이래로, 마이크로 기계적 및 화학적 박리, 리튬 치환반응(lithiation), 열분해(thermolysis), 및 2-단계 열 증착법(thermal evaporation)과 같은 다양한 합성 공정에 대한 연구가 수행되었다. 이어서, 예비-증착된 Mo의 황화(sulphurization)가 개발되었으며, 상기 황화가 대면적 MoS2의 합성에 대해 어느 정도 적당한 방법임이 밝혀졌다. 그러나 상기 예비-증착된 Mo의 황화에 의해 제조된 MoS2는 박리된 샘플과 비교하여 불균일성(non-uniformity) 및 낮은 전계 효과 이동도를 나타내며, 상기 MoS2는 때때로 예비-증착된 Mo와 황의 불완전한 결합으로 인하여 기재 상에 수직으로 성장된다. 화학 기상 증착(CVD)법은 대면적 MoS2 성장에 대하여 잘 알려진 방법으로서 Lee 등은[Lee, Y.-H. et al. Synthesis of largearea MoS2 atomic layers with chemical vapor deposition. Adv. Mater. 24, 2320-2325 (2012)] 몰리브덴 트리옥사이드(MoO3)로부터 환원된 몰리브덴 옥시설파이드(MoO3-x) 및 황 분말을 이용한 CVD 법이 유전체 기재 상에 MoS2 원자 층을 성장시키는 매우 효과적인 방법임을 보여주었다. 유사한 방법을 이용하여, 더 큰 결정 크기를 가지거나 층수 제어가 가능한 대면적 고품질의 MoS2에 대한 연구들이 수행되었다. The fabrication of the MoS 2 monolayer was first attempted by a micromechanical exfoliation method similar to the approach used for the fabrication of graphene, and its applicability as a channel material for a field effect transistor (FET) . Since the study of improving the electrical properties of MoS 2 using a dielectric screening method has been published, it has become possible to use a variety of materials such as micro-mechanical and chemical exfoliation, lithiation, thermolysis, and two-step thermal evaporation Various synthetic processes have been studied. Subsequently, sulphurization of the pre-deposited Mo has been developed, and it has been found that the sulfuration is a somewhat suitable method for the synthesis of large area MoS 2 . However, MoS 2 produced by the sulfidation of the pre-deposited Mo exhibits non-uniformity and low field effect mobility as compared to the exfoliated sample, and the MoS 2 is sometimes incomplete with pre-deposited Mo and sulfur Due to the bonding, it is grown vertically on the substrate. Chemical vapor deposition (CVD) is a well-known method for large-area MoS 2 growth. Lee et al. [Lee, Y.-H. et al. Synthesis of largearea MoS 2 atomic layers with chemical vapor deposition. Adv. Mater. 24, 2320-2325 (2012) molybdenum trioxide (MoO 3), a very effective method of a CVD method using a molybdenum oxysulfide (MoO3-x) and sulfur powder Reduction from growth of MoS 2 atomic layer on a dielectric substrate that . Using similar methods, studies on large area high quality MoS 2 with larger crystal size or layer number control were conducted.

2차원 박막의 품질을 결정하는 대표적인 특성은 결정 크기(grain size)와 균일도(uniformity)가 있다. 기존에는 결정 크기가 큰 2차원 박막이 고품질인 것으로 인정되었으나, 최근 발표된 연구 [K. Kang, X. Xie, L. Huang, Y. Han, P. Y. Huang, K. F. Mak, C.-J. Kim, D. Muller & J. Park, High-mobility three-atom-thick semiconducting films with wafer-scale homogeneity, Nature, 520, 656-660, 2015]에 따르면, CVD 법으로 제조된 매우 균일한 2차원 박막의 경우, 품질이 가장 좋다고 알려진 박리 방법으로 제조된 2차원 박막보다 3~4배 높은 물성 효과를 나타내었다. 따라서 균일도가 높은 2차원 박막 합성이 2차원 박막의 품질을 결정하는 핵심 기술로 떠오르고 있다. Typical characteristics for determining the quality of a two-dimensional thin film include grain size and uniformity. In the past, a two-dimensional thin film having a large crystal size was recognized as being of high quality. Kang, X. Xie, L. Huang, Y. Han, P. Y. Huang, K. F. Mak, C.-J. According to Kim, D. Muller and J. Park, High-mobility three-atom-thick semiconducting films with wafer-scale homogeneity, Nature, 520, 656-660, 2015, a highly uniform two- , It showed 3 ~ 4 times higher physical properties than the two - dimensional thin film produced by the peeling method known to have the best quality. Therefore, the synthesis of two-dimensional thin films with high uniformity is emerging as a key technology for determining the quality of two-dimensional thin films.

현재까지 알려진 MOS2 제조 방법으로는, 두께가 균일한 MOS2를 합성하기 위해서는, 적어도 550℃ 이상의 고온 조건을 필요로 하며, 500℃ 이하의 저온 조건에서 균일한 두께를 지닌 MOS2에 대한 적당한 합성 방법은 아직 보고되지 않았다. 상기 고온 조건에서는 기판 (일반적으로 유전체)의 표면에서 MoS2 분자의 이동도가 매우 높기 때문에, 기판 상에 MoS2의 bilayer (이중층, 두 번째 층)를 형성하지 않고, MoS2의 monolayer (단층, 첫 번째 층)만을 대면적(8인치 이상)으로 균일하게 합성하는 것이 가능하다. 그러나 상기 저온 조건에서는, 기판의 표면에서의 MoS2 분자의 이동도가 매우 낮기 때문에, 결정 크기가 일정 크기 이상으로 성장하지 못하게 되며, 대면적 기판 전체를 MoS2의 monolayer로 피복하기 전에 MoS2의 bilayer 생성이 일어나게 되는바, 기판 상에 3차원(3D) 구조의 MoS2의 형성을 유도하는 경향이 있다. In order to synthesize a MOS 2 having a uniform thickness, a MOS 2 fabrication method known so far requires a high temperature condition of at least 550 ° C and a suitable synthesis for MOS 2 having a uniform thickness at a low temperature of 500 ° C or lower The method has not been reported yet. The high temperatures in the substrate due to (usually dielectric) the movement of the MoS 2 molecules on the surface is also very high in, without forming the bilayer (double layer, the second layer) of MoS 2 on the substrate, monolayer (single layer of MoS 2, Only the first layer) can be synthesized uniformly over a large area (8 inches or more). However, due to the low temperature conditions, the movement of the MoS 2 molecules at the surface of the substrate is also very low, and the crystal size and prevent the growth above a certain size, before covering the entire large-area substrate with a monolayer of MoS 2 in the MoS 2 bilayer generation occurs, which tends to induce the formation of a three-dimensional (3D) structure of MoS 2 on the substrate.

더욱 상세하게는, 기판 표면에서의 분자의 이동도는 Einstein's relation에 의한 확산 길이 (diffusion length)로 표현된다 (식 1). 이때, 합성 온도가 너무 낮으면 표면에서의 확산 길이가 짧아지게 되고, 분자가 결합을 이루기 전에 표면에서 증발하게 된다. 또한 반데르발스 힘에 의하여 monolayer 위에 흡착된 분자가 monolayer 측면 위치로 이동하지 못하고, bilayer를 형성할 수 있는 핵성장 위치를 제공하게 되어, 일반적으로 볼머-웨버(Volmer-Weber) 성장 모드 또는 스트란스키-크라스타노프(Stranski-Krastanow) 성장 모드로 박막이 합성된다 (도 1 참조). 따라서 일반적으로 고균일의 monolayer 박막의 합성은 고온에서 수행하게 된다. 즉 일반적으로 고온의 합성 조건에서는 기판 표면에서의 높은 이동도로 인해 프랭크-반데르머브(Frank-Van der Merve) 성장 모드로 박막이 합성되어, 기판 전체를 monolayer로 피복할 수 있으나, 저온의 합성 조건에서는 기판 표면에서의 낮은 분자 이동도로 인해 볼머-웨버 성장 모드 또는 스트란스키-크라스타노프 성장 모드로 박막이 합성되어, 기판 전체를 monolayer로 피복할 수 없다.More specifically, the mobility of molecules on the substrate surface is expressed by the diffusion length due to Einstein's relation (Equation 1). In this case, if the synthesis temperature is too low, the diffusion length on the surface becomes short, and the molecules evaporate on the surface before bonding. In addition, the van der Waals force does not allow the molecules adsorbed on the monolayer to move to the monolayer lateral position, and provides a nucleation site capable of forming a bilayer, so that in the Volmer-Weber growth mode, The thin film is synthesized in the Stranski-Krastanow growth mode (see FIG. 1). Therefore, synthesis of monolayer thin films with high uniformity is generally performed at high temperatures. In general, in a high-temperature synthesis condition, a thin film is synthesized in a Frank-Van der Merve growth mode due to a high migration at the substrate surface, and the entire substrate can be coated with a monolayer, The thin film is synthesized in the Bolmer-Weber growth mode or the Strandsky-Kristanov growth mode due to the low molecular movement on the substrate surface, and the entire substrate can not be coated with the monolayer.

[식 1][Formula 1]

Figure 112017076237603-pat00001
Figure 112017076237603-pat00001

삭제delete

삭제delete

삭제delete

삭제delete

삭제delete

삭제delete

삭제delete

삭제delete

λ: 확산 길이,lambda: diffusion length,

T: 온도,T: temperature,

λ0: 전지수 인자 (pre-exponential factor),λ 0 is the pre-exponential factor,

Ea: 흡착 에너지 (adsorption energy), E a : adsorption energy,

Ed: 확산 장벽 (diffusion barrier),E d : diffusion barrier,

KB: 볼츠만 상수 K B : Boltzmann constant

따라서 500℃ 이하의 저온 조건에서도, 8인치 이상의 대면적 기판 상에 높은 균일도를 지닌 2차원 박막, 구체적으로 높은 균일도를 지닌 2차원 전이금속 디칼코지나이드 박막을 성장시킬 수 있는 제법의 개발이 필요한 실정이다. Therefore, it is necessary to develop a manufacturing method capable of growing a two-dimensional thin film having a high uniformity on a large-area substrate of 8 inches or more and a two-dimensional transition metal decalcogenic thin film having a high uniformity even at a low temperature of 500 ° C. or less to be.

본 발명은 고균일 2차원 전이금속 디칼코지나이드 박막을 제조하는방법, 보다 구체적으로 500℃ 이하의 저온 조건에서 고균일 2차원 전이금속 디칼코지나이드 박막을 제조하는 방법을 제공하는 것을 목적으로 한다.The present invention provides a method for producing a highly uniform two-dimensional transition metal decalcogenide thin film, and more specifically, a method for producing a highly uniform two-dimensional transition metal decalcinate thin film at a low temperature of 500 ° C or lower.

본 발명은 상기 과제를 해결하기 위한 하나의 수단으로서, The present invention provides, as one means for solving the above problems,

증착 챔버 내에서 기판의 표면 처리를 통해 기판의 표면 에너지를 감소시키는 단계; 및 Reducing the surface energy of the substrate through surface treatment of the substrate within the deposition chamber; And

상기 증착 챔버 내에 칼코겐-함유 전구체, 전이금속-함유 전구체 및 전구체 분해 촉진 촉매를 공급하여 상기 기판 상에 2차원 전이금속 디칼코지나이드 단층(monolayer)을 증착하는 단계;Providing a chalcogen-containing precursor, a transition metal-containing precursor and a precursor decomposition promoting catalyst in the deposition chamber to deposit a two-dimensional transition metal dicalcium phosphate monolayer on the substrate;

를 포함하는, 2차원 전이금속 디칼코지나이드 박막의 제조 방법을 제공한다.The present invention provides a method for producing a two-dimensional transition metal decalcogenide thin film.

상기 증착 단계에서, 2차원 전이금속 디칼코지나이드 이중층(bilayer) 생성을 방지하기 위한 억제제를 추가로 공급할 수 있다. In the deposition step, an inhibitor may be additionally provided to prevent generation of a two-dimensional transition metal dicalcium salt bilayer.

상기 억제제의 흡착 에너지(adsorption energy)는 전이금속 디칼코지나이드 단층의 기저면(basal plane)보다 기판 및 전이금속 디칼코지나이드 단층의 측면 위치에서 더 높고, The adsorption energy of the inhibitor is higher at the lateral positions of the substrate and the transition metal discoccinimide monolayer than the basal plane of the transition metal discoccinimide monolayer,

칼코겐의 흡착 에너지는 전이금속 디칼코지나이드 단층의 측면 위치보다 기판 및 전이금속 디칼코지나이드 단층의 기저면에서 더 높다.The adsorption energy of chalcogen is higher at the base of the substrate and the transition metal decalcogenide fault than at the lateral position of the transition metal decalcogenide fault.

상기 전구체 분해 촉진 촉매는 칼코겐-함유 전구체 내의 칼코겐 원자로부터 칼코겐 원자와 결합된 리간드의 분해를 촉진하고/하거나, 전이금속-함유 전구체 내의 전이금속 원자로부터 전이금속 원자와 결합된 리간드의 분해를 촉진할 수 있다.The precursor decomposition promoting catalyst promotes the decomposition of ligands bound to the chalcogen atoms from the chalcogen atoms in the chalcogen-containing precursor and / or decomposes the ligands bound to the transition metal atoms from the transition metal atoms in the transition metal- . ≪ / RTI >

상기 기판의 표면 처리는 피라니아(piranha) 용액 처리, 황산(H2SO4) 용액 처리, 염산(HCl) 용액 처리 및 알칼리 금속 수산화물 용액 처리로 이루어진 군으로부터 선택되는 습식 처리 방법; 또는 O2 플라즈마 처리 및 수증기를 이용한 열처리로 이루어진 군으로부터 선택되는 건식 처리 방법으로 수행될 수 있다. The surface treatment of the substrate is performed by a wet treatment method selected from the group consisting of piranha solution treatment, sulfuric acid (H 2 SO 4 ) solution treatment, hydrochloric acid (HCl) solution treatment and alkali metal hydroxide solution treatment; Or an O 2 plasma treatment and a heat treatment using water vapor.

상기 기판이 SiO2, Al2O3, HfO2, LiAlO3, MgO, Si, Ge, GaN, AlN, GaP, InP, GaAs, SiC, 유리, 석영, 사파이어, 그래파이트, 그래핀, 플라스틱, 고분자, 질화붕소(h-BN) 및 이들의 조합으로 이루어진 군으로부터 선택될 수 있다.Wherein the substrate is a SiO 2, Al2O 3, HfO 2, LiAlO 3, MgO, Si, Ge, GaN, AlN, GaP, InP, GaAs, SiC, glass, quartz, sapphire, graphite, graphene, plastic, polymer, boron nitride (h-BN), and combinations thereof.

상기 기판이 SiO2, Al2O3, HfO2, LiAlO3, MgO, 및 이들의 조합으로 이루어진 군으로부터 선택되고, 상기 기판의 표면 처리는 피라니아(piranha) 용액 처리, 황산(H2SO4) 용액 처리, 염산(HCl) 용액 처리 및 알칼리 금속 수산화물 용액 처리로 이루어진 군으로부터 선택되는 습식 처리 방법; 또는 O2 플라즈마 처리 및 수증기를 이용한 열처리로 이루어진 군으로부터 선택되는 건식 처리 방법으로 수행될 수 있다.Wherein the substrate is a SiO 2, Al2O 3, HfO 2, LiAlO 3, MgO, and is selected from the group consisting of surface treatment of the substrate is piranha (piranha) solution process, the process of sulfuric acid (H 2 SO 4) solution , A hydrochloric acid (HCl) solution treatment, and an alkali metal hydroxide solution treatment; Or an O 2 plasma treatment and a heat treatment using water vapor.

상기 칼코겐-함유 전구체는 S-함유 유기 화합물 또는 S-함유 무기 화합물일 수 있다. The chalcogen-containing precursor may be an S-containing organic compound or an S-containing inorganic compound.

상기 전이금속-함유 전구체는 Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Nb, Ta, Mo, W, Tc, Re, Ru, Os, Rh, Ir, Pt, Ag, Au, Cd, In, Tl, Sn, Pb, Sb, Bi, Zr, Pd, Hf 및 이들의 조합으로 이루어진 군으로부터 선택되는 전이금속을 포함할 수 있다.The transition metal-containing precursor may be selected from the group consisting of Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Nb, Ta, Mo, W, Tc, Re, Ru, Os, Rh, , A transition metal selected from the group consisting of Cd, In, Tl, Sn, Pb, Sb, Bi, Zr, Pd, Hf and combinations thereof.

칼코겐-함유 전구체 분압 / 전이금속-함유 전구체 분압의 비율이 1/2 이상일 수 있다.The ratio of partial pressure of the chalcogen-containing precursor / partial pressure of the transition metal-containing precursor may be 1/2 or more.

또한 칼코겐-함유 전구체 분압 / 전이금속-함유 전구체 분압의 비율이 2 이상일 수 있다.The ratio of the partial pressure of the chalcogen-containing precursor / the partial pressure of the transition metal-containing precursor may be 2 or more.

상기 증착 단계는 500℃ 이하의 온도에서 수행될 수 있다.The deposition step may be performed at a temperature of 500 DEG C or lower.

상기 증착 단계는 화학기상 증착(CVD)법에 의하여 수행될 수 있다. The deposition step may be performed by a chemical vapor deposition (CVD) method.

상기 증착 단계에서, 칼코겐-함유 전구체 분압 / 전이금속-함유 전구체 분압의 비율을 증가시켜 기상 반응에 의해 생성되는 전이금속 디칼코지나이드의 클러스터 크기를 감소시키고, 기판의 표면 에너지를 감소시켜 전이금속 디칼코지나이드의 2차원 성장을 유도할 수 있다. In the deposition step, the ratio of the partial pressure of the chalcogen-containing precursor / the transition metal-containing precursor is increased to decrease the cluster size of the transition metal decalcogenide produced by the gas phase reaction, Dimensional growth of dicalcogenide can be induced.

상기 증착 단계에서, 캐리어 가스의 양을 조절하거나 또는 칼코겐-함유 전구체와 전이금속-함유 전구체의 온도를 조절하여, 증착 챔버 내로 공급되는 칼코겐-함유 전구체 및 전이금속-함유 전구체의 양을 조절함으로써, 칼코겐-함유 전구체 분압 / 전이금속-함유 전구체 분압의 비율이 조절될 수 있다. In the deposition step, the amount of the chalcogen-containing precursor and the transition metal-containing precursor supplied into the deposition chamber is controlled by adjusting the amount of the carrier gas or by controlling the temperature of the chalcogen-containing precursor and the transition metal-containing precursor , The ratio of the chalcogen-containing precursor partial pressure / transition metal-containing precursor partial pressure can be adjusted.

또한, 본 발명은 상기 과제를 해결하기 위한 다른 수단으로서, The present invention also provides, as another means for solving the above problems,

(1) 증착 챔버 내에서 기판의 표면 처리를 통해 기판의 표면 에너지를 감소시키는 단계;(1) reducing the surface energy of the substrate through surface treatment of the substrate in the deposition chamber;

(2) 500℃ 이하의 온도 및 0.001 Torr 내지 760 Torr의 압력 하에서, 상기 증착 챔버 내에 칼코겐-함유 전구체 및 전이금속-함유 전구체를 공급하여 상기 기판 상에 2차원 전이금속 디칼코지나이드의 결정을 생성시키는 단계;(2) supplying a chalcogen-containing precursor and a transition metal-containing precursor into the deposition chamber at a temperature of 500 DEG C or less and a pressure of 0.001 Torr to 760 Torr to form crystals of two-dimensional transition metal dicalcium co- ;

(3) 단계 (2)의 압력보다 증가된 압력 하에서, 상기 증착 챔버 내에 칼코겐-함유 전구체 및 전이금속-함유 전구체를 공급하여 상기 기판 상에서 2차원 전이금속 디칼코지나이드의 결정 크기를 증대시키는 단계; 및(3) supplying a chalcogen-containing precursor and a transition metal-containing precursor into the deposition chamber to increase the crystal size of the two-dimensional transition metal dicalcium co-crystal on the substrate under an increased pressure than the pressure of step (2) ; And

(4) 단계 (3)의 압력보다 증가된 압력 하에서, 상기 증착 챔버 내에 칼코겐-함유 전구체 및 전이금속-함유 전구체를 공급하여 상기 기판 상에 2차원 전이금속 디칼코지나이드 단층을 형성하는 단계;(4) supplying a chalcogen-containing precursor and a transition metal-containing precursor into the deposition chamber under an increased pressure than the pressure of step (3) to form a two-dimensional transition metal decalcopyrite monolayer on the substrate;

를 포함하는, 2차원 전이금속 디칼코지나이드 박막의 제조 방법을 제공한다.The present invention provides a method for producing a two-dimensional transition metal decalcogenide thin film.

상기 단계 (2) 내지 (4)에서, 증착 챔버 내에 전구체 분해 촉진 촉매를 추가로 공급할 수 있다. In the above steps (2) to (4), a precursor decomposition promoting catalyst may be additionally supplied into the deposition chamber.

또한 상기 단계 (2) 내지 (4)에서, 2차원 전이금속 디칼코지나이드 이중층(bilayer) 생성을 방지하기 위한 억제제를 추가로 공급할 수 있다.Further, in the above steps (2) to (4), an inhibitor for preventing generation of a two-dimensional transition metal dicalcium phosphate bilayer may be additionally provided.

본 발명의 일 구현예에 따르면, 상기 2차원 전이금속 디칼코지나이드의 클러스터(또는 결정) 크기 및 핵성장 위치를 제어함으로써, 약 500℃ 이하의 저온에서 대면적 고균일의 2차원 전이금속 디칼코지나이드 박막을 제조할 수 있으며, 상기 온도 범위의 저온 성장이 가능하기 때문에 플렉서블 기판 또는 기재에서 직접적으로 대면적 고균일의 2차원 전이금속 디칼코지나이드 박막을 성장시킬 수 있다.According to one embodiment of the present invention, by controlling the cluster (or crystal) size and the nucleation position of the two-dimensional transition metal dicalcium cyanide, a two-dimensional transition metal dicalcopyrite Since the low temperature growth can be performed in the temperature range described above, the two-dimensional transition metal decalcinate thin film having a large area and high uniformity can be directly grown on the flexible substrate or the substrate.

본 발명의 일 구현예에 따른 2차원 전이금속 디칼코지나이드 박막은 소자로서 사용될 수 있으며, 상기 박막은 다결정성 단층(monolayer)인 동시에 2차원 구조를 가짐으로써 차세대 플렉서블 디바이스 및 웨어러블 디바이스로 활용될 수 있다.The two-dimensional transition metal decalcogenide thin film according to one embodiment of the present invention can be used as an element, and the thin film can be utilized as a next generation flexible device and a wearable device by being a polycrystalline monolayer and a two-dimensional structure have.

도 1은 기판 표면에서의 박막의 성장 모드를 나타내는 모식도이다. 일반적으로 고온 조건 (예를 들어, 550℃ 이상의 온도 조건)에서는, 기판 표면에서의 높은 분자 이동도로 인해 프랭크-반데르머브 성장 모드(Frank-Van der Merve growth mode)로 박막이 합성되어, 기판 전체를 단층(ML, monolayer) 박막으로 피복할 수 있으나, 저온 조건 (예를 들어, 500℃ 이하의 온도 조건)에서는, 기판 표면에서의 낮은 분자 이동도로 인해 볼머-웨버 성장 모드 (Volmer-Weber growth mode) 또는 스트란스키-크라스타노프 성장 모드 (Stranski-Krastanow growth mode)로 박막이 합성되어, 기판 전체를 단층 박막으로 피복할 수 없다.
도 2는 본 발명에 따른 일 구현예의 표면 에너지의 감소 단계에서, SiO2 기판을 수산화 칼륨(KOH) 용액으로 처리한 경우, 수산화 칼륨 용액의 농도에 따른 기판의 표면에서의 핵 생성 결과를 나타내는 주사전자현미경 이미지이다.
도 3은 본 발명에 따른 일 구현예의 증착 단계에서, 전구체 분해 촉진 촉매 사용 유무에 따른 2차원 전이금속 디칼코지나이드 박막의 합성 결과를 나타내는 주사전자현미경 이미지이다.
도 4는 증착 챔버 내의 온도에 따른 2차원 전이금속 디칼코지나이드 박막의 합성 결과를 나타내는 주사전자현미경 이미지 ((a) 내지 (c)) 및 라만 스펙트럼 ((d) 및 (e))이다.
도 5는 본 발명에 따른 일 구현예의 증착 단계에서, 2차원 전이금속 디칼코지나이드 이중층(bilayer) 생성을 방지하기 위한 억제제의 사용 유무에 따른 2차원 전이금속 디칼코지나이드 박막의 합성 결과를 나타내는 모식도이다.
도 6은 본 발명에 따른 일 구현예의 증착 단계에서, 2차원 전이금속 디칼코지나이드 이중층(bilayer) 생성을 방지하기 위한 억제제의 사용 유무에 따른 2차원 전이금속 디칼코지나이드 박막의 합성 결과를 나타내는 주사전자현미경 이미지이다.
도 7은 본 발명에 따른 일 구현예로서, 증착 챔버 내의 압력에 따른 2차원 전이금속 디칼코지나이드 박막의 합성 결과를 나타내는 주사전자현미경 이미지이다.
도 8은 본 발명에 따른 일 구현예로서, 기판 상에 많은 수의 핵을 형성하는 조건에서 일정 시간 동안 핵을 생성시키는 단계(a), 압력 변화를 통해 상기 기판 상에 생성된 작은 크기의 핵 결정을 큰 크기의 핵 결정으로 성장시키는 단계(b), 및 압력 변화를 통해 상기 기판 상에 고균일의 2차원 전이금속 디칼코지나이드 단층 박막을 형성시키는 단계(c)를 포함하는, 2차원 전이금속 디칼코지나이드 박막의 제조 방법을 나타내는 모식도이다.
1 is a schematic diagram showing a growth mode of a thin film on a substrate surface. In general, at high temperature conditions (for example, at a temperature condition of 550 DEG C or higher), a thin film is synthesized in the Frank-Van der Merve growth mode due to high molecular movement on the substrate surface, Can be coated with a single layer (ML, monolayer) thin film, but under low temperature conditions (for example, at a temperature condition of 500 DEG C or less), the Volmer-Weber growth mode ) Or a Stranski-Krastanow growth mode, so that the entire substrate can not be covered with the single-layer thin film.
FIG. 2 is a graph showing the results of a scanning electron microscope (SEM) showing a result of nucleation at the surface of a substrate according to the concentration of a potassium hydroxide solution when a SiO 2 substrate is treated with a potassium hydroxide (KOH) It is an electron microscope image.
FIG. 3 is a scanning electron microscope image showing the result of synthesis of a two-dimensional transition metal decalcogenide thin film according to whether a precursor decomposition accelerating catalyst is used or not in the deposition step according to an embodiment of the present invention. FIG.
FIG. 4 is a scanning electron microscope image (a) to (c) and a Raman spectrum ((d) and (e)) showing the result of synthesis of a two-dimensional transition metal decalcinate thin film according to the temperature in the deposition chamber.
FIG. 5 is a schematic diagram showing the result of synthesis of a two-dimensional transition metal decalcogenide thin film according to whether or not an inhibitor is used to prevent generation of a two-dimensional transition metal dicalcogenide bilayer in the deposition step according to an embodiment of the present invention. to be.
FIG. 6 is a graph showing the results of the synthesis of a two-dimensional transition metal decalcogenide thin film according to the presence or absence of an inhibitor to prevent generation of a two-dimensional transition metal dicalcogenide bilayer in the deposition step according to an embodiment of the present invention. It is an electron microscope image.
FIG. 7 is a scanning electron microscope image showing the result of synthesis of a two-dimensional transition metal decalcinate thin film according to a pressure in a deposition chamber according to an embodiment of the present invention. FIG.
FIG. 8 illustrates an embodiment of the present invention. FIG. 8 illustrates a method of fabricating a semiconductor device according to an embodiment of the present invention. Referring to FIG. 8, (B) growing crystals into large-sized nucleated crystals, and (c) forming a high-uniformity two-dimensional transition metal decalcopyrite monolayer thin film on the substrate through a pressure change. Is a schematic view showing a method for producing a metal decalcinate thin film.

아래에서는 첨부한 도면을 참조하여 본원이 속하는 기술 분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 본원의 실시예를 상세히 설명한다. 그러나 본원은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 실시예에 한정되지 않는다. 그리고 도면에서 본원을 명확하게 설명하기 위해서 설명과 관계없는 부분은 생략하였으며, 명세서 전체를 통하여 유사한 부분에 대해서는 유사한 도면 부호를 붙였다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art can easily carry out the present invention. It should be understood, however, that the present invention may be embodied in many different forms and should not be construed as limited to the embodiments set forth herein. In the drawings, the same reference numbers are used throughout the specification to refer to the same or like parts.

본원 명세서 전체에서, 어떤 부분이 다른 부분과 “연결”되어 있다고 할 때, 이는 “직접적으로 연결”되어 있는 경우뿐 아니라, 그 중간에 다른 소자를 사이에 두고 “전기적으로 연결”되어 있는 경우도 포함한다.Throughout this specification, when a part is referred to as being "connected" to another part, it is not limited to a case where it is "directly connected" but also includes the case where it is "electrically connected" do.

본원 명세서 전체에서, 어떤 부재가 다른 부재 “상에” 위치하고 있다고 할 때, 이는 어떤 부재가 다른 부재에 접해 있는 경우뿐 아니라 두 부재 사이에 또 다른 부재가 존재하는 경우도 포함한다.Throughout this specification, when a member is " on " another member, it includes not only when the member is in contact with the other member, but also when there is another member between the two members.

본원 명세서 전체에서, 어떤 부분이 어떤 구성 요소를 “포함”한다고 할 때, 이는 특별히 반대되는 기재가 없는 한 다른 구성 요소를 제외하는 것이 아니라 다른 구성 요소를 더 포함할 수 있는 것을 의미한다.Throughout this specification, when an element is referred to as " including " an element, it is understood that the element may include other elements as well, without departing from the other elements unless specifically stated otherwise.

본원 명세서 전체에서 사용되는 정도의 용어 “약”, “실질적으로” 등은 언급된 의미에 고유한 제조 및 물질 허용오차가 제시될 때 그 수치에서 또는 그 수치에 근접한 의미로 사용되고, 본원의 이해를 돕기 위해 정확하거나 절대적인 수치가 언급된 개시 내용을 비양심적인 침해자가 부당하게 이용하는 것을 방지하기 위해 사용된다. 본원 명세서 전체에서 사용되는 정도의 용어 “~(하는) 단계” 또는 “~의 단계”는 “~ 를 위한 단계”를 의미하지 않는다.The terms " about ", " substantially ", etc. used to the extent that they are used throughout the specification are intended to be taken to mean the approximation of the manufacturing and material tolerances inherent in the stated sense, Accurate or absolute numbers are used to help prevent unauthorized exploitation by unauthorized intruders of the referenced disclosure. The word " step (or step) " or " step " used to the extent that it is used throughout the specification does not mean " step for.

본원 명세서 전체에서, 마쿠시 형식의 표현에 포함된 “이들의 조합(들)”의 용어는 마쿠시 형식의 표현에 기재된 구성 요소들로 이루어진 군에서 선택되는 하나 이상의 혼합 또는 조합을 의미하는 것으로서, 상기 구성 요소들로 이루어진 군에서 선택되는 하나 이상을 포함하는 것을 의미한다.Throughout this specification, the term " combination (s) thereof " included in the expression of the machine form means a mixture or combination of one or more elements selected from the group consisting of the constituents described in the expression of the form of a marker, Quot; means at least one selected from the group consisting of the above-mentioned elements.

본원 명세서 전체에서, "A 및/또는 B"의 기재는 "A, 또는 B, 또는 A 및 B"를 의미한다.Throughout this specification, the description of "A and / or B" means "A, or B, or A and B".

본원 명세서 전체에서, 증착 챔버 내에 공급된 칼코겐-함유 전구체와 전이금속-함유 전구체의 분해 반응으로 생성되는 칼코겐 원자와 전이금속 원자의 기상 반응에 의해 생성되는 전이금속 디칼코지나이드 입자를"클러스터"라고 칭한다.Throughout this specification, the transition metal dicalcium cyanide particles produced by the gas phase reaction of the chalcogen atoms and the transition metal atoms generated by the decomposition reaction of the chalcogen-containing precursor and the transition metal-containing precursor supplied in the deposition chamber are referred to as & "

본원 명세서 전체에서, 증착 챔버 내에 공급된 칼코겐-함유 전구체와 전이금속-함유 전구체가 기판의 표면에 부착된 후, 칼코겐 원자와 전이금속 원자의 표면 반응에 의해 생성되거나, 또는 기상 반응에 의해 생성된 클러스터가 기판의 표면으로 확산된 후, 표면 반응에 의해 생성되는 전이금속 디칼코지나이드 입자를 "결정"이라고 칭한다. Throughout the specification, it is to be understood that throughout the specification, the chalcogen-containing precursor and the transition metal-containing precursor fed into the deposition chamber are deposited on the surface of the substrate and then either produced by surface reactions of chalcogen atoms and transition metal atoms, After the generated clusters are diffused to the surface of the substrate, the transition metal dicalcium cyanide particles produced by the surface reaction are referred to as "crystals ".

이하, 첨부된 도면을 참조하여 본원의 구현예 및 실시예를 상세히 설명한다. 그러나 본원이 이러한 구현예 및 실시예와 도면에 제한되지 않을 수 있다.Hereinafter, embodiments and examples of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to these embodiments and examples and drawings.

본 발명은, 증착 챔버 내에서 기판의 표면 처리를 통해 기판의 표면 에너지를 감소시키는 단계; 및 상기 증착 챔버 내에 칼코겐-함유 전구체, 전이금속-함유 전구체 및 전구체 분해 촉진 촉매를 공급하여 상기 기판 상에 2차원 전이금속 디칼코지나이드 단층(monolayer)을 증착하는 단계;를 포함하는, 2차원 전이금속 디칼코지나이드 박막의 제조 방법에 관한 것이다.The present invention provides a method of manufacturing a semiconductor device, comprising: reducing surface energy of a substrate through surface treatment of the substrate in a deposition chamber; And supplying a chalcogen-containing precursor, a transition metal-containing precursor and a precursor decomposition-promoting catalyst into the deposition chamber to deposit a two-dimensional transition metal decalcopyrite monolayer on the substrate. The present invention relates to a method for producing a thin film of transition metal dicocosinide.

본 발명에 따른 2차원 전이금속 디칼코지나이드 박막의 제조 방법은 증착 챔버 내에서 기판의 표면 처리를 통해 기판의 표면 에너지를 감소시키는 단계를 포함할 수 있다. 상기 기판의 표면 처리를 통한 기판의 표면 에너지를 감소시키는 것은 기판 표면 상의 핵 성장 위치(nucleation site)를 풍부하게 하기 위함이다. The method for fabricating the two-dimensional transition metal decalcogenide thin film according to the present invention may include reducing the surface energy of the substrate through surface treatment of the substrate in the deposition chamber. Reducing the surface energy of the substrate through surface treatment of the substrate is to enrich the nucleation site on the substrate surface.

기판의 표면 상의 핵 성장 위치의 개수는 고균일의 박막, 특히 단층 박막을 형성시키는데 매우 중요한 요소이다. 기판의 표면 에너지 조절을 통해, 기판의 표면 상의 핵 성장 위치의 개수를 조절할 수 있으며, 이러한 핵 성장 위치의 개수는 2차원 전이금속 디칼코지나이드의 결정 크기 및 박막의 균일도에 영향을 미친다. The number of nucleation sites on the surface of the substrate is a very important factor in forming highly uniform thin films, particularly single-layered films. By controlling the surface energy of the substrate, the number of nucleation sites on the surface of the substrate can be controlled and the number of nucleation sites affects the crystal size and uniformity of the two-dimensional transition metal decahydrate.

구체적으로 기판의 표면 상에 핵 성장 위치가 드물게 존재하면, 결과적으로 전이금속 디칼코지나이드의 결정이 큰 크기로 형성되지만, 기판 전체를 2차원 전이금속 디칼코지나이드 박막으로 피복하지 못하고, 박막의 균일도가 저하된다. 반면, 기판의 표면 상에 핵 성장 위치가 풍부하게 존재하면, 전이금속 디칼코지나이드의 결정이 작은 크기로 형성되지만, 기판 전체를 2차원 전이금속 디칼코지나이드 박막으로 덮을 수 있으며, 박막의 균일도가 향상된다. 이러한 기판의 표면 상의 핵 성장 위치의 개수는 기판의 표면 처리를 통해 조절할 수 있다.Specifically, when nucleation sites are rarely present on the surface of the substrate, crystals of transition metal dicalcium cyanide are formed to a large size. However, the entire substrate can not be covered with the two-dimensional transition metal decalcogenide thin film, . On the other hand, if the nucleation site is abundant on the surface of the substrate, the crystals of the transition metal decalcogenide are formed in a small size, but the whole substrate can be covered with the two-dimensional transition metal decalcogenide thin film, . The number of nucleation sites on the surface of such a substrate can be controlled through surface treatment of the substrate.

본 발명의 일 구현예로서, 기판으로 SiO2, Al2O3, HfO2, LiAlO3, MgO, 및 이들의 조합으로 이루어진 군으로부터 선택되는 산화물(oxide)계 절연체가 사용된 경우, 기판의 표면 상에 존재하는 히드록실기(-OH 결합)가 핵 성장 위치로 제공되며, 다양한 기판의 표면 처리를 통해 히드록실기(-OH 결합)의 개수를 조절할 수 있다. In an embodiment of the present invention, when an oxide-based insulator selected from the group consisting of SiO 2 , Al 2 O 3 , HfO 2 , LiAlO 3 , MgO, and combinations thereof is used as the substrate, Existing hydroxyl groups (-OH bonds) are provided to the nucleation site, and the number of hydroxyl groups (-OH bonds) can be controlled through surface treatment of various substrates.

기판의 표면 상에 히드록실기(-OH 결합)를 증가시켜 핵 성장 위치를 풍부하게 제공하기 위해서는, 예를 들어, 피라니아(piranha) 용액 처리, 황산(H2SO4) 용액 처리, 염산(HCl) 용액 처리 및 알칼리 금속 수산화물 용액 처리로 이루어진 군으로부터 선택되는 습식 처리 방법; 또는 O2 플라즈마 처리 및 수증기를 이용한 열처리로 이루어진 군으로부터 선택되는 건식 처리 방법으로 기판의 표면 처리를 수행할 수 있다. 상기 알칼리 금속 수산화물 용액으로는 수산화칼륨 용액 및 수산화나트륨 용액 등을 들 수 있다. 습식 처리 방법은 상기 사항으로 한정되지 않으며, 산화물계 절연체 기판의 표면 에너지를 낮출 수 있는 방법이라면, 어떠한 용액이라도 사용할 수 있다. 습식 처리 용액의 제조에 있어서, 용액 중의 용질의 함량은 0.0001 중량% 이상이며, 바람직하게는 0.0001 중량% 내지 최대 용해도에서의 중량% (각 용액의 용질마다 최대 용해도가 상이하므로, 용질에 따라 함량의 상한 값이 변할 수 있다)일 수 있으나, 이에 한정되지 않고, 어떠한 비율이라도 사용할 수 있다. 또한건식 처리 방법은 상기 사항으로 한정되지 않고, 산화물계 절연체 기판의 표면 에너지를 낮출 수 있는 방법이라면, 어떠한 기체 또는 분자를 사용할 수 있다. For example, piranha solution treatment, sulfuric acid (H 2 SO 4 ) solution treatment, hydrochloric acid (HCl (H 2 SO 4 )) solution treatment, and the like are effective for increasing the hydroxyl group (-OH bond) ) A wet treatment method selected from the group consisting of a solution treatment and an alkali metal hydroxide solution treatment; Or an O 2 plasma treatment and a heat treatment using steam. The surface treatment of the substrate can be carried out by a dry treatment method selected from the group consisting of an O 2 plasma treatment and a steam treatment. Examples of the alkali metal hydroxide solution include a potassium hydroxide solution and a sodium hydroxide solution. The wet treatment method is not limited to the above, and any solution can be used as long as it can reduce the surface energy of the oxide-based insulator substrate. In the preparation of the wet treatment solution, the content of the solute in the solution is 0.0001 wt% or more, preferably 0.0001 wt% to the weight% at the maximum solubility (since the solubility of each solution varies depending on the solute, The upper limit value may be changed), but not limited thereto, any ratio can be used. The dry treatment method is not limited to the above, and any gas or molecule can be used as long as it can reduce the surface energy of the oxide-based insulator substrate.

기판의 표면 상에 히드록실기(-OH 결합)를 감소시켜 핵 성장 위치를 드물게 제공하기 위해서는, 히드록실기(-OH 결합)를 제거할 수 있는 방법, 예를 들어, 진공 열처리, 어닐링 처리, 고진공 어닐링 처리 및 이들의 조합들로 이루어진 군으로부터 선택되는 처리를 수행할 수 있으나, 이에 한정되지 않고, 히드록실기(-OH 결합)를 제거할 수 있는 방법이면 어떠한 방법도 사용할 수 있다. 예를 들어, 산화물계 절연체 기판의 표면 처리 방법으로 고진공 어닐링 처리를 선택할 경우, 상기 고진공 어닐링에 의해 기재 상의 반응성 표면 위치를 제공하는 댕글링 결합(dangling bond)을 분해함으로써, 핵 성장 위치의 개수가 억제될 수 있으며, 이에 따라 전이금속 디칼코지나이드 결정의 크기가 커질 수 있으나, 2차원 전이금속 디칼코지나이드 박막의 균일도가 저하될 수 있다. In order to reduce the hydroxyl group (-OH bond) on the surface of the substrate and rarely provide the nucleus growth position, a method capable of removing the hydroxyl group (-OH bond), for example, a vacuum heat treatment, an annealing treatment, High vacuum annealing, and combinations thereof. However, the present invention is not limited thereto. Any method can be used as long as it can remove the hydroxyl group (-OH bond). For example, when a high vacuum annealing process is selected as the surface treatment method of an oxide-based insulating substrate, by decomposing a dangling bond that provides a reactive surface position on the substrate by the high vacuum annealing, So that the size of the transition metal dicalcium cyanide crystal can be increased, but the uniformity of the two-dimensional transition metal decalcogenide thin film can be lowered.

상기 설명은 산화물계 절연체 기판에 한정적으로 적용되는 것이며, 결정 기판 및 금속 기판의 경우에는 표면 결함(defect)이 존재하는 위치가 핵 성장 위치로 제공될 수 있으며, 기판의 표면 처리에 의한 표면 에너지 조절을 통해 핵 성장 위치로 제공되는 표면 결함을 조절할 수 있다. 이 경우에도, 기판의 표면 에너지를 감소시켜 핵 성장 위치를 풍부하게 할 수 있다. In the case of a crystal substrate and a metal substrate, a position where surface defects exist can be provided as a nucleation growth position, and surface energy control by surface treatment of the substrate can be performed. Lt; RTI ID = 0.0 > nucleation < / RTI > Even in this case, the surface energy of the substrate can be reduced to enrich the nucleation growth position.

본 발명의 2차원 전이금속 디칼코지나이드 박막의 제조 방법에서는, 기판의 표면 처리를 통해 기판의 표면 에너지를 감소시키고, 이로써 핵 성장 위치를 풍부하게 하여 전이금속 디칼코지나이드의 결정 크기를 감소시키면서 결과적으로 2차원 전이금속 디칼코지나이드 박막의 균일도를 향상시킬 수 있다. In the method for producing a two-dimensional transition metal disalcogenic thin film of the present invention, the surface energy of the substrate is reduced through surface treatment of the substrate, thereby enriching the nucleus growth position, thereby reducing the crystal size of the transition metal decalcogenide, The uniformity of the two-dimensional transition metal decalcinate thin film can be improved.

첨부된 도 2는 본 발명에 따른 일 구현예의 기판의 표면 에너지의 감소 단계에서, SiO2 기판을 수산화칼륨(KOH) 용액으로 처리한 경우, 수산화칼륨 용액의 농도에 따른 기판의 표면에서의 핵 생성 결과를 나타내는 주사전자현미경 이미지이다. FIG. 2 is a graph illustrating the relationship between the concentration of a potassium hydroxide solution and the concentration of potassium hydroxide in the surface of a substrate in the step of reducing the surface energy of a substrate according to one embodiment of the present invention, when the SiO 2 substrate is treated with a potassium hydroxide (KOH) ≪ / RTI > is a scanning electron microscope image showing the result.

도 2(a)는 SiO2 기판의 표면 처리를 수행하지 않은 상태에서, 증착 챔버 내에 칼코겐-함유 전구체 및 전이금속-함유 전구체를 공급하여 기판의 표면 상에 핵 생성한 결과를 나타내는 주사전자현미경 이미지이고, 도 2(b)는 SiO2 기판을 1 중량%의 수산화칼륨 용액으로 표면 처리를 수행한 후, 증착 챔버 내에 칼코겐-함유 전구체 및 전이금속-함유 전구체를 공급하여 기판의 표면 상에 핵 생성한 결과를 나타내는 주사전자현미경 이미지이며, 도 2(c)는 SiO2 기판을 10 중량%의 수산화칼륨 용액으로 표면 처리를 수행한 후, 증착 챔버 내에 칼코겐-함유 전구체 및 전이금속-함유 전구체를 공급하여 기판의 표면 상에 핵 생성한 결과를 나타내는 주사전자현미경 이미지이다.FIG. 2 (a) is a graph showing the results of nucleation of a chalcogen-containing precursor and a transition metal-containing precursor in a deposition chamber without performing a surface treatment of the SiO 2 substrate by using a scanning electron microscope And FIG. 2 (b) shows the result of the surface treatment of the SiO 2 substrate with a 1 wt% potassium hydroxide solution, and then a chalcogen-containing precursor and a transition metal-containing precursor are fed into the deposition chamber, 2C is a scanning electron microscope image showing the result of nucleation. After the SiO 2 substrate is surface-treated with a 10 wt% potassium hydroxide solution, a chalcogen-containing precursor and a transition metal-containing precursor Is an image of a scanning electron microscope showing the result of nucleation on the surface of a substrate by supplying a precursor.

도 2(a) 내지 도 2(c)의 비교 결과, 기판의 표면 처리를 수행하지 않은 경우에는 기판의 표면 에너지 감소가 이루어지지 않아, 핵 성장 위치가 드물게 존재하여 핵 생성이 적게 이루어졌고 (도 2(a) 참조), 수산화칼륨 용액을 이용하여 기판의 표면 처리를 수행한 경우에는 기판의 표면 에너지 감소가 이루어져, 핵 성장 위치가 풍부하게 존재하여 핵 생성이 많이 이루어졌으며 (도 2(b) 및 2(c) 참조), 특히 수산화칼륨 용액의 농도가 높을수록 핵 성장 위치가 더욱 풍부하게 생성되어, 핵 생성도 더욱 많이 이루어졌음을 확인할 수 있다.As a result of comparison between FIG. 2 (a) and FIG. 2 (c), when the surface treatment of the substrate was not performed, the surface energy of the substrate was not reduced, nucleation sites were rarely present, 2 (a)). When the surface treatment of the substrate was performed using a potassium hydroxide solution, the surface energy of the substrate was reduced, and the nucleus growth was abundant, And 2 (c)). Particularly, the higher the concentration of the potassium hydroxide solution, the more abundant the nucleation site and the more nucleation.

본 발명의 일 구현예로서, 상기 기재는 SiO2, Al2O3, HfO2, LiAlO3, MgO, Si, Ge, GaN, AlN, GaP, InP, GaAs, SiC, 유리, 석영, 사파이어, 그래파이트, 그래핀, 플라스틱, 고분자, 질화붕소(h-BN) 및 이들의 조합으로 이루어진 군으로부터 선택되는 것일 수 있으나, 이에 한정되지 않는다. 상기 기재로는 비용 측면에서 저렴하면서 대면적에 유리한 물질이 바람직할 수 있고, 표면 에너지 조절을 통해 핵 성장 위치의 개수를 조절할 수 있는 것이 바람직할 수 있다. In one embodiment of the present invention, the substrate is made of SiO 2 , Al 2 O 3 , HfO 2 , LiAlO 3 , MgO, Si, Ge, GaN, AlN, GaP, InP, GaAs, SiC, glass, quartz, sapphire, A pin, a plastic, a polymer, boron nitride (h-BN), and combinations thereof, but is not limited thereto. As the substrate, a material which is inexpensive in terms of cost and advantageous for a large area may be preferable, and it is preferable that the number of nucleation positions can be controlled by adjusting the surface energy.

본 발명에 따른 2차원 전이금속 디칼코지나이드 박막의 제조 방법은 증착 챔버 내에 칼코겐-함유 전구체, 전이금속-함유 전구체 및 전구체 분해 촉진 촉매를 공급하여 상기 기판 상에 2차원 전이금속 디칼코지나이드 단층을 증착하는 단계를 포함할 수 있다. A method for preparing a two-dimensional transition metal decalcogenide thin film according to the present invention includes the steps of supplying a chalcogen-containing precursor, a transition metal-containing precursor and a precursor decomposition promoting catalyst into a deposition chamber, Lt; / RTI >

본 발명의 일 구현예로서, 칼코겐-함유 전구체는 H2S, CS2, SO2, S2, H2Se, H2Te, R1SR2 (여기서, R1 및 R2는 각각 독립적으로 탄소수 1 내지 6의 알킬기, 탄소수 2 내지 6의 알케닐기, 또는 탄소수 2 내지 6의 알키닐기이다), (NH4)2S, C6H8OS, S(C6H4NH2)2, Na2SH2O 및 이들의 조합들로 이루어진 군에서 선택되는 것일 수 있고, 바람직하게는 H2S, CS2, SO2, S2, R1SR2 (여기서, R1 및 R2는 각각 독립적으로 탄소수 1 내지 6의 알킬기, 탄소수 2 내지 6의 알케닐기, 또는 탄소수 2 내지 6의 알키닐기이다), (NH4)2S, C6H8OS, S(C6H4NH2)2, Na2SH2O 및 이들의 조합들로 이루어진 군에서 선택되는 S-함유 유기 화합물 또는 S-함유 무기 화합물일 수 있으나, 이에 한정되지 않는다.In one embodiment of the present invention, the chalcogen-containing precursor is selected from the group consisting of H 2 S, CS 2 , SO 2 , S 2 , H 2 Se, H 2 Te, R 1 SR 2 (NH 4 ) 2 S, C 6 H 8 OS (wherein R 1 and R 2 are each independently an alkyl group having 1 to 6 carbon atoms, an alkenyl group having 2 to 6 carbon atoms, or an alkynyl group having 2 to 6 carbon atoms) , S (C 6 H 4 NH 2 ) 2 , Na 2 SH 2 O, and combinations thereof, preferably H 2 S, CS 2 , SO 2 , S 2 , R 1 SR 2 (NH 4 ) 2 S, C 6 H 8 OS (wherein R 1 and R 2 are each independently an alkyl group having 1 to 6 carbon atoms, an alkenyl group having 2 to 6 carbon atoms, or an alkynyl group having 2 to 6 carbon atoms) , S-containing organic compounds or S-containing inorganic compounds selected from the group consisting of S (C 6 H 4 NH 2 ) 2 , Na 2 SH 2 O, and combinations thereof.

본 발명의 일 구현예로서, 전이금속-함유 전구체는 Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Nb, Ta, Mo, W, Tc, Re, Ru, Os, Rh, Ir, Pt, Ag, Au, Cd, In, Tl, Sn, Pb, Sb, Bi, Zr, Te, Pd, Hf 및 이들의 조합으로 이루어진 군으로부터 선택되는 전이금속을 포함하는 것일 수 있으나, 이에 한정되지 않는다. 예를 들어, 상기 전이금속-함유 전구체는 Mo(CO)6, Mo(Cl)5, MoO(Cl)4, MoO3, 및 이들의 조합들로 이루어진 군으로부터 선택되는 것을 포함하는 것일 수 있으나, 이에 한정되지 않을 수 있다.In one embodiment of the present invention, the transition metal-containing precursor is selected from the group consisting of Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Nb, Ta, Mo, W, Tc, Re, A transition metal selected from the group consisting of Ir, Pt, Ag, Au, Cd, In, Tl, Sn, Pb, Sb, Bi, Zr, Te, Pd, Hf and combinations thereof may be used. It does not. For example, the transition metal-containing precursor may comprise one selected from the group consisting of Mo (CO) 6 , Mo (Cl) 5 , MoO (Cl) 4 , MoO 3 , But may not be limited thereto.

본 발명의 일 구현예로서, 상기 증착하는 단계에서, 예를 들어, 화학기상 증착 단계에서, 균일 반응(homogeneous reaction)인 기상 반응 (gas phase reaction) 및 불균일 반응(heterogeneous reaction)인 기판 표면에서의 반응이 동시에 일어나게 된다. 상기 기상 반응에서 가스상 반응 물질끼리 반응하여 전이금속 디칼코지나이드의 클러스터를 형성하며, 이러한 클러스터가 기재 표면으로 전달되어 표면 반응을 일으킬 수 있다. 또한 기판 표면 반응에서도, 가스상 반응 물질끼리 반응하여 전이금속 디칼코지나이드의 결정을 형성할 수 있다. In one embodiment of the present invention, in the depositing step, for example, in a chemical vapor deposition step, a gas phase reaction, which is a homogeneous reaction, and a gas phase reaction, which is a heterogeneous reaction, The reaction occurs simultaneously. In the gas phase reaction, the gaseous reactants react with each other to form clusters of transition metal decanoides, and these clusters are transferred to the substrate surface to cause a surface reaction. Also, in the substrate surface reaction, the gaseous reactants can react with each other to form crystals of the transition metal decanoic acid.

예를 들어, 칼코겐-함유 전구체 및 전이금속-함유 전구체가 증착 챔버 내로 공급되면, 칼코겐-함유 전구체 및 전이금속-함유 전구체의 전구체 분해 반응이 일어나며, 전구체의 분해에 의한 중간 산물인 칼코겐 원자와 전이금속 원자는 기상 반응을 통해 전이금속 디칼코지나이드의 클러스터를 형성하고, 이러한 클러스터가 기재 표면으로 전달되어 표면 반응을 일으킬 수 있다. 또한 기판 표면에서도, 칼코겐-함유 전구체 및 전이금속-함유 전구체, 또는 이들의 분해에 의한 중간 산물인 칼코겐 원자 및 전이금속 원자가 불균일 반응을 일으켜 전이금속 디칼코지나이드의 결정을 형성할 수 있다.For example, when a chalcogen-containing precursor and a transition metal-containing precursor are fed into the deposition chamber, a precursor decomposition reaction of the chalcogen-containing precursor and the transition metal-containing precursor takes place and the intermediate product of the decomposition of the precursor, The atoms and the transition metal atoms form clusters of transition metal decalcogenides through the gas phase reaction, and these clusters can be transferred to the substrate surface to cause surface reactions. Also on the substrate surface, chalcogen-containing precursors and transition metal-containing precursors, or intermediates resulting from their decomposition, can cause non-uniform reactions of the chalcogen atoms and transition metal atoms to form crystals of the transition metal decalcinate.

칼코겐-함유 전구체 및 전이금속-함유 전구체는 각각 특정의 전구체 분해 온도를 가지며, 이러한 전구체들의 분해 특성은 2차원 전이금속 디칼코지나이드 박막의 합성 온도를 결정짓는 중요한 요소가 될 수 있다. 해당 전구체들의 분해 온도보다 낮은 온도에서 2차원 전이금속 디칼코지나이드 박막의 합성을 수행하면, 불완전한 반응에 의해서 합성 속도가 저하될 수 있다. The chalcogen-containing precursor and the transition metal-containing precursor each have a specific precursor decomposition temperature, and the decomposition characteristics of these precursors can be an important factor in determining the synthesis temperature of the two-dimensional transition metal decalcinate thin film. If the synthesis of the two-dimensional transition metal decalcinate thin film is performed at a temperature lower than the decomposition temperature of the precursors, the synthesis rate may be lowered due to an incomplete reaction.

본 발명의 일 구현예로서, 전구체 분해 촉진 촉매가 칼코겐-함유 전구체 및 전이금속-함유 전구체와 함께 증착 챔버 내로 공급될 수 있다. 상기 전구체 분해 촉진 촉매는 칼코겐-함유 전구체 내의 칼코겐 원자로부터 칼코겐 원자와 결합된 리간드의 분해를 촉진하고/하거나, 전이금속-함유 전구체 내의 전이금속 원자로부터 전이금속 원자와 결합된 리간드의 분해를 촉진할 수 있다. 상기 전구체 분해 촉진 촉매는 이러한 전구체들의 분해를 촉진시켜 저온 조건 (예를 들어, 500℃ 이하의 온도)에서도 2차원 전이금속 디칼코지나이드 박막의 합성 속도를 향상시켜 상용화 측면에서 현저히 유리할 수 있다.In one embodiment of the present invention, a precursor decomposition accelerating catalyst may be fed into the deposition chamber with a chalcogen-containing precursor and a transition metal-containing precursor. The precursor decomposition promoting catalyst promotes the decomposition of ligands bound to the chalcogen atoms from the chalcogen atoms in the chalcogen-containing precursor and / or decomposes the ligands bound to the transition metal atoms from the transition metal atoms in the transition metal- . ≪ / RTI > The precursor decomposition accelerating catalyst promotes the decomposition of these precursors and can be remarkably advantageous in terms of commercialization by improving the synthesis rate of the two-dimensional transition metal decalcinate thin film even under low temperature conditions (for example, at a temperature of 500 ° C or less).

예를 들어, 몰리브덴 헥사카보닐(Mo(CO)6)를 전이금속-함유 전구체로 사용하는 경우, 몰리브덴 헥사카보닐의 완전 분해 온도는 250℃이나, 전구체 분해 촉진 촉매로서 수소를 사용할 경우, CO의 환원 반응을 촉진시켜 250℃에서의 2차원 전이금속 디칼코지나이드 박막의 합성 속도를 수소를 사용하지 않은 경우에 비해 수배 증가시킬 수 있거나, 250℃보다 낮은 온도에서도 2차원 전이금속 디칼코지나이드 박막의 합성 속도를 동일하게 구현할 수 있다. For example, when molybdenum hexacarbonyl (Mo (CO) 6 ) is used as the transition metal-containing precursor, the complete decomposition temperature of molybdenum hexacarbonyl is 250 ° C. However, when hydrogen is used as a precursor decomposition accelerating catalyst, The rate of synthesis of the two-dimensional transition metal decalcogenide thin film at 250 ° C may be increased several times as compared with the case of not using hydrogen, or even at a temperature lower than 250 ° C, the two-dimensional transition metal decalcinate thin film Can be realized in the same manner.

본 발명의 일 구현예로서, 전구체 분해 촉진 촉매는 수소와 같은 기체 물질로 한정되지 않고, 칼코겐-함유 전구체 내의 칼코겐 원자로부터 칼코겐 원자와 결합된 리간드의 분해를 촉진하고/하거나, 전이금속-함유 전구체 내의 전이금속 원자로부터 전이금속 원자와 결합된 리간드의 분해를 촉진할 수 있는 것이라면, 제한 없이 사용 가능하다. In one embodiment of the present invention, the precursor decomposition accelerating catalyst is not limited to a gaseous material such as hydrogen, and may catalyze the decomposition of a ligand bound to a chalcogen atom from a chalcogen atom in the chalcogen-containing precursor and / Can be used without limitation as long as it is capable of promoting the decomposition of a ligand bound to a transition metal atom from a transition metal atom in the - containing precursor.

첨부된 도 3은 본 발명에 따른 일 구현예의 증착 단계에서, 전구체 분해 촉진 촉매의 사용 유무에 따른 2차원 전이금속 디칼코지나이드 박막의 합성 결과를 나타내는 주사전자현미경 이미지이다. FIG. 3 is a scanning electron microscope image showing the result of synthesis of a two-dimensional transition metal decalcinate thin film according to whether a precursor decomposition accelerating catalyst is used or not in the deposition step according to an embodiment of the present invention.

도 3(a)는 증착하는 단계에서, 증착 챔버 내에 칼코겐-함유 전구체 및 전이금속-함유 전구체와 함께 전구체 분해 촉진 촉매로서 H2를 공급한 경우의 2차원 전이금속 디칼코지나이드 박막의 합성 결과를 나타내는 주사전자현미경 이미지이고, 도 3(b)는 증착하는 단계에서, 증착 챔버 내에 전구체 분해 촉진 촉매의 공급 없이, 칼코겐-함유 전구체 및 전이금속-함유 전구체를 공급한 경우의 2차원 전이금속 디칼코지나이드 박막의 합성 결과를 나타내는 주사전자현미경 이미지이다.3 (a) shows the result of synthesis of a two-dimensional transition metal decalcogenide thin film when H 2 is supplied as a precursor decomposition accelerating catalyst together with a chalcogen-containing precursor and a transition metal-containing precursor in a deposition chamber in a deposition step , Wherein the chalcogen-containing precursor and the transition metal-containing precursor are supplied in a deposition chamber without supplying a precursor decomposition accelerating catalyst into the deposition chamber, and Fig. 3 (b) is a scanning electron microscopic image showing a two- Scanning electron microscope image showing the synthesis result of the decalcogenid thin film.

도 3(a) 및 도 3(b)의 비교 결과, 전구체 분해 촉진 촉매 없이 2차원 전이금속 디칼코지나이드 박막을 합성한 경우에는 총 9시간의 합성 시간이 소요되었으나, 전구체 분해 촉진 촉매로서 H2를 사용하면서 2차원 전이금속 디칼코지나이드 박막을 합성한 경우에는 총 2시간의 합성 시간이 소요되어, 전구체 분해 촉진 촉매를 사용함으로써, 합성 속도가 4.5배 빨라졌음을 확인할 수 있다. 3 (a) and comparing the results of FIG. 3 (b), when the synthesis of the precursor decomposition facilitating catalyst two-dimensional transition metal radical Koji arsenide thin film without there as, but takes a synthesis time of 9 hours, the precursor decomposition facilitating catalyst H 2 , The total synthesis time of the two-dimensional transition metal decalcogenide thin film was 2 hours, and it was confirmed that the synthesis rate was increased 4.5 times by using the precursor decomposition accelerating catalyst.

본 발명의 일 구현예로서, 증착하는 단계에서, 2차원 전이금속 디칼코지나이드 이중층(bilayer) 생성을 방지하기 위한 억제제를 추가로 공급할 수 있다. As an embodiment of the present invention, in the deposition step, an inhibitor for preventing generation of a two-dimensional transition metal dicalciumbionide bilayer may be additionally provided.

저온 조건에서는 (예를 들어, 500℃ 이하의 온도), 전이금속 디칼코지나이드 이중층의 생성이 용이하며, 이러한 이중층은 균일한 2차원 전이금속 디칼코지나이드 단층(monolayer) 박막을 합성하는데 가장 큰 장애로 작용하며, 저온 합성의 한계점이 된다. 상기 이중층의 생성은 활성 위치를 제공하기 때문에 불순물이 통합될 확률도 높아지게 되어, 결과적으로 2차원 전이금속 디칼코지나이드 박막의 품질을 저하시킬 수 있다. Under low temperature conditions (for example temperatures below 500 ° C), the formation of a transition metal dicocosanide bilayer is easy, and this bilayer is the biggest barrier to the synthesis of a uniform two-dimensional transition metal decalcogenide monolayer film Which is a limit of low-temperature synthesis. Since the production of the double layer provides an active site, the probability of incorporation of impurities is increased, which may result in degradation of the quality of the two-dimensional transition metal decalcinate thin film.

첨부된 도 4는 증착 챔버 내의 온도에 따른 2차원 전이금속 디칼코지나이드 박막의 합성 결과를 나타내는 주사전자현미경 이미지 ((a) 내지 (c)) 및 라만 스펙트럼 ((d) 및 (e))이다. 4 is a scanning electron microscope image (a) to (c) and a Raman spectrum (d) and (e) showing the result of synthesis of a two-dimensional transition metal decalcogenide thin film according to the temperature in the deposition chamber .

도 4(a) 내지 도 4(c)에 나타난 바와 같이, 증착 챔버 내의 온도를 각각 350℃, 300℃ 및 250℃로 설정한 상태에서, 칼코겐-함유 전구체 및 전이금속-함유 전구체를 증착 챔버 내로 공급하여 기판 상에 2차원 전이금속 디칼코지나이드(MoS2)의 핵을 생성시킨 경우, 온도가 350℃인 경우(도 4(a) 참조) 핵 생성 개수는 적은 반면 그 결정 크기가 증가하였으며, 온도가 300℃인 경우(도 4(b) 참조) 및 온도가 250℃인 경우(도 4(c) 참조), 온도가 낮아질수록 핵 생성 개수는 증가하는 반면 그 결정 크기는 더욱 감소하였음을 확인할 수 있다. As shown in Figs. 4 (a) to 4 (c), the chalcogen-containing precursor and the transition metal-containing precursor were introduced into the deposition chamber 1 at a temperature of 350 캜, 300 캜 and 250 캜, respectively, (MoS 2 ) nuclei were formed on the substrate, the number of nucleation was small while the crystal size was increased when the temperature was 350 ° C. (see FIG. 4 (a)) (See FIG. 4 (b)) and the temperature is 250 ° C. (see FIG. 4 (c)), the number of nuclei increases as the temperature decreases, Can be confirmed.

또한 도 4(d) 및 4(e)에 나타난 바와 같이, 라만 스펙트럼을 통해 증착 챔버 내의 온도에 따라 전이금속 디칼코지나이드의 이중층 생성 여부가 달라질 수 있음을 확인하였다. 일반적으로 전이금속 디칼코지나이드의 단층(monolayer)과 이중층(bilayer)를 구분할 때 사용하는 방법이 도 4(d)에 나타난 2개의 피크 간의 거리를 계산하는 것이다[H. Li, Q. Zhang, C. C. R. Yap, B. K. Tay, T. H. T. Edwin, A. Oliver, and D. Baillargeat, From Bulk to Monolayer MoS2: Evolution of Raman Scattering, Adv. Funct. Mater., 22, 1385-1390, 2012]. 도 4(e)에 나타난 바와 같이, 증착 챔버 내의 온도가 350℃인 경우 (도 4(a)), A1g-E1 2g값이 약 19로서 2차원 전이금속 디칼코지나이드의 단층으로 확인되나, 증착 챔버 내의 온도가 250℃인 경우 (도 4(c)), 확산 거리가 짧아지면서 A1g-E1 2g값이 약 22로 증가하여 2차원 전이금속 디칼코지나이드의 이중층이 생성될 수 있다.As shown in FIGS. 4 (d) and 4 (e), it was confirmed that the formation of the double layer of transition metal dicalcium phosphate can be varied depending on the temperature in the deposition chamber through Raman spectroscopy. In general, the method used to distinguish monolayer and bilayer of transition metal dicaloginide is to calculate the distance between two peaks shown in Figure 4 (d). Li, Q. Zhang, CCR Yap, BK Tay, THT Edwin, A. Oliver, and D. Baillargeat, From Bulk to Monolayer MoS2: Evolution of Raman Scattering, Adv. Funct. Mater., 22, 1385-1390, 2012]. As shown in FIG. 4 (e), when the temperature in the deposition chamber is 350 ° C (FIG. 4 (a)), the value of A 1g -E 1 2g is about 19 and is confirmed as a monolayer of a two-dimensional transition metal decalcinate (Fig. 4 (c)), the diffusion distance is shortened and the value of A 1g -E 1 2g is increased to about 22, so that a double layer of two-dimensional transition metal decalcogenide can be generated .

본 발명에서는, 2차원 전이금속 디칼코지나이드 박막의 품질을 저하시키는 이중층의 생성을 방지하기 위해, 상기 억제제를 칼코겐-함유 전구체, 전이금속-함유 전구체 및 전구체 분해 촉진 촉매와 함께, 증착 챔버 내로 공급할 수 있거나, 또는 칼코겐-함유 전구체, 전이금속-함유 전구체 및 전구체 분해 촉진 촉매를 증착 챔버 내로 먼저 공급하고, 일정 시간 후에 상기 억제제를 증착 챔버 내로 공급할 수 있다.In the present invention, in order to prevent the formation of a bilayer that deteriorates the quality of the two-dimensional transition metal decalcogenide thin film, the inhibitor is introduced into the deposition chamber together with a chalcogen-containing precursor, a transition metal-containing precursor and a precursor decomposition accelerating catalyst Or the chalcogen-containing precursor, the transition metal-containing precursor and the precursor decomposition accelerating catalyst may be first fed into the deposition chamber and the inhibitor may be fed into the deposition chamber after a certain period of time.

첨부된 도 5는 본 발명에 따른 일 구현예의 증착 단계에서, 2차원 전이금속 디칼코지나이드 이중층 생성을 방지하기 위한 억제제의 사용 유무에 따른 2차원 전이금속 디칼코지나이드 박막의 합성 결과를 나타내는 모식도이다. FIG. 5 is a schematic view showing the result of synthesis of a two-dimensional transition metal decalcinate thin film according to whether or not an inhibitor is used to prevent the formation of a two-dimensional transition metal decalcogenide double layer in the deposition step of an embodiment of the present invention .

도 5(a)에 나타난 바와 같이, 억제제의 사용 없이 저온 조건에서 (예를 들어, 500℃ 이하의 온도), 2차원 전이금속 디칼코지나이드 박막을 합성하는 경우, 합성 초기에는 균일한 2차원 전이금속 디칼코지나이드 단층 박막이 형성되지만, 전이금속 디칼코지나이드의 결정 크기가 커지면서, 낮은 분자 이동도 때문에, 2차원 전이금속 디칼코지나이드 단층 위에 반데르발스 힘에 의해 흡착된 전이금속 디칼코지나이드 분자가 결정의 측면 위치로 이동하지 못하고, 2차원 전이금속 디칼코지나이드 단층 위에 새로운 핵 성장 위치를 제공하게 된다. 이에 따라 2차원 전이금속 디칼코지나이드의 단층 및 이중층이 동시에 합성되어, 결과적으로 균일하지 않은 2차원 전이금속 디칼코지나이드 박막이 형성될 수 있다. As shown in Fig. 5 (a), when a two-dimensional transition metal decalcinate thin film is synthesized at a low temperature condition (for example, at a temperature of 500 캜 or less) without using an inhibitor, A transition metal dicocosinide molecule adsorbed on the two-dimensional transition metal decalcogenide monolayer by the van der Waals force due to the low molecular mobility while the crystal size of the transition metal decalcogenide increases, Can not migrate to the side position of the crystal and provide a new nucleation site on the two-dimensional transition metal dicalcopyrite monolayer. Accordingly, a single layer and a double layer of the two-dimensional transition metal decalcogenide can be simultaneously synthesized, resulting in the formation of a non-uniform two-dimensional transition metal decalcinate thin film.

도 5(b)에 나타난 바와 같이, H2O를 억제제로 사용하면서 저온 조건에서 (예를 들어, 500℃ 이하의 온도), 2차원 전이금속 디칼코지나이드 박막을 합성하는 경우, 합성 초기에 균일한 2차원 전이금속 디칼코지나이드 단층 박막이 형성되고, 상기 2차원 전이금속 디칼코지나이드 단층의 기저면(basal plane)[여기서, 2차원 전이금속 디칼코지나이드 단층의 기저면은 2차원 전이금속 디칼코지나이드 단층의 상부면 또는 하부면을 의미한다]에 억제제로서 H2O 분자가 물리 흡착되어, 전이금속 디칼코지나이드 분자가 2차원 전이금속 디칼코지나이드 단층의 기저면에 흡착할 수 없게 된다. 이에 따라 전이금속 디칼코지나이드 분자는 상기 2차원 전이금속 디칼코지나이드 단층의 측면 위치에만 화학 결합을 형성할 수 있으며, 결과적으로 기판 전체에 2차원 전이금속 디칼코지나이드 이중층의 생성 없이, 2차원 전이금속 디칼코지나이드 단층만을 형성할 수 있다. 그러므로 증착 챔버 내에 칼코겐-함유 전구체, 전이금속-함유 전구체 및 전구체 분해 촉진 촉매와 함께 상기 억제제를 공급할 경우, 매우 균일한 2차원 전이금속 디칼코지나이드 단층 박막의 제조가 가능하다. As shown in FIG. 5 (b), when a thin film of a two-dimensional transition metal decalcinate is synthesized at a low temperature (for example, at a temperature of 500 ° C. or lower) while using H 2 O as an inhibitor, A two-dimensional transition metal decalcopyrite monolayer is formed, and a basal plane of the two-dimensional transition metal decalcogenide monolayer (here, the base of the two-dimensional transition metal decalcodonide monolayer is a two- H 2 O molecules are physically adsorbed as inhibitors at the upper surface or lower surface of the monolayer, and the transition metal decalcogenide molecules can not be adsorbed on the basal plane of the two-dimensional transition metal dicalcium phosphate monolayer. Thus, the transition metal decalcogenide molecules can form chemical bonds only at the lateral positions of the two-dimensional transition metal decalcogenide monolayer, and as a result, two-dimensional transition metal dicocosin Only a metal dicalcopyrite single layer can be formed. Therefore, when the inhibitor is supplied together with a chalcogen-containing precursor, a transition metal-containing precursor, and a precursor decomposition accelerating catalyst in a deposition chamber, it is possible to produce a highly uniform two-dimensional transition metal decalcopyrite monolayer film.

2차원 전이금속 디칼코지나이드 이중층 생성을 방지하기 위한 억제제로 사용할 수 있는 물질은 특별히 한정되지 않고, 2차원 전이금속 디칼코지나이드 단층의 기저면에 물리 흡착이 가능한 물질이라면 모두 가능하고, 예를 들어, H2O를 상기 억제제로서 사용할 수 있다. The material that can be used as an inhibitor for preventing the formation of the two-dimensional transition metal dicarcozone double layer is not particularly limited and may be any material capable of being physically adsorbed on the basal plane of the two-dimensional transition metal dicalcium phosphate monolayer. For example, H 2 O can be used as the inhibitor.

본 발명의 일 구현예로서, 바람직하게는 상기 억제제는 하기 요건을 충족할 수 있다. In one embodiment of the present invention, preferably the inhibitor may meet the following requirements.

억제제의 흡착 에너지(adsorption energy)는 전이금속 디칼코지나이드 단층의 기저면(basal plane)보다 기판 및 전이금속 디칼코지나이드 단층의 측면 위치에서 더 높고, 칼코겐의 흡착 에너지는 전이금속 디칼코지나이드 단층의 측면 위치보다 기판 및 전이금속 디칼코지나이드 단층의 기저면에서 더 높다.The adsorption energy of the inhibitor is higher at the lateral positions of the substrate and transition metal decalcogenide monolayer than at the basal plane of the transition metal decalcogenide monolayer and the adsorption energy of the chalcogen is higher than that of the transition metal decalcinoid monolayer Lt; / RTI > is higher at the base of the substrate and the transition metal < RTI ID = 0.0 > decalcogenide < / RTI >

첨부된 도 6은 본 발명에 따른 일 구현예의 증착 단계에서, 2차원 전이금속 디칼코지나이드 이중층 생성을 방지하기 위한 억제제의 사용 유무에 따른 2차원 전이금속 디칼코지나이드 박막의 합성 결과를 나타내는 주사전자현미경 이미지이다. FIG. 6 is a graph showing the results of the synthesis of a two-dimensional transition metal decalcogenide thin film according to the presence or absence of an inhibitor to prevent the formation of a two-dimensional transition metal dicalcogenide double layer in the deposition step according to an embodiment of the present invention. It is a microscopic image.

도 6(a)에 나타난 바와 같이, 저온 조건 (예를 들어, 500℃ 이하의 온도)의 증착 단계에서, 억제제로서의 H2O의 공급과 함께, 칼코겐-함유 전구체(예를 들어, S-함유 전구체), 전이금속-함유 전구체(예를 들어, Mo-함유 전구체) 및 전구체 분해 촉진 촉매(예를 들어, H2 가스)를 증착 챔버 내로 공급하여 2차원 전이금속 디칼코지나이드 박막을 합성하는 경우, 2차원 전이금속 디칼코지나이드 단층(회색 부분)이 대부분이며, 2차원 전이금속 디칼코지나이드 이중층(검정색 부분)이 드물게 형성되어, 균일한 2차원 전이금속 디칼코지나이드 박막이 형성된다.As shown in Fig. 6 (a), a low temperature condition in the deposition steps (for example, a temperature not higher than 500 ℃), with a supply of H 2 O as inhibitors, chalcogen-containing precursor (e. G., S- Containing precursor (e.g., Mo-containing precursor) and a precursor decomposition promoting catalyst (e.g., H 2 gas) into a deposition chamber to synthesize a two-dimensional transition metal decalcogenide thin film , A two-dimensional transition metal decalcogenide monolayer (gray portion) is mostly present, and a two-dimensional transition metal decalcogenide double layer (black portion) is rarely formed, thereby forming a uniform two-dimensional transition metal decalcinate thin film.

도 6(b)에 나타난 바와 같이, 저온 조건 (예를 들어, 500℃ 이하의 온도)의 증착 단계에서, 억제제로서의 H2O의 공급 없이, 칼코겐-함유 전구체(예를 들어, S-함유 전구체), 전이금속-함유 전구체(예를 들어, Mo-함유 전구체) 및 전구체 분해 촉진 촉매(예를 들어, H2 가스)만을 증착 챔버 내로 공급하여 2차원 전이금속 디칼코지나이드 박막을 합성하는 경우, 2차원 전이금속 디칼코지나이드의 단층(회색 부분) 및 다수의 이중층(검정색 부분)이 동시에 형성되어, 균일하지 않은 2차원 전이금속 디칼코지나이드 박막이 형성된다.As shown in Fig. 6 (b), a low temperature condition in the deposition steps (for example, a temperature not higher than 500 ℃), without the supply of H 2 O, as inhibitors chalcogen-containing precursor (e. G., S- containing When a two-dimensional transition metal decalcogenide thin film is synthesized by supplying only a transition metal-containing precursor (for example, a Mo-containing precursor) and a precursor decomposition promoting catalyst (for example, H 2 gas) into a deposition chamber, , A monolayer (gray portion) and a plurality of double layers (black portion) of the two-dimensional transition metal decalcogenide are simultaneously formed to form an uneven two-dimensional transition metal decalcinate thin film.

본 발명의 일 구현예에 있어서, 상기 증착하는 단계에서, 예를 들어, 화학기상 증착 단계에서, 균일 반응인 기상 반응과 불균일 반응인 기판 표면에서의 반응이 동시에 일어나게 되며, 상기 기상 반응에서 가스상 물질들끼리 반응하여 전이금속 디칼코지나이드의 클러스터를 형성하며, 이러한 클러스터가 기판 표면에 전달되어 표면 반응이 일어나게 된다. 또한 기판 표면 반응에서도, 가스상 반응 물질끼리 반응하여 전이금속 디칼코지나이드의 결정을 형성할 수 있다. 상기 클러스터는 칼코겐-함유 전구체(이하 "CP"라고 함) 대 전이금속-함유 전구체(이하 "MP"라고 함)의 분압 비율(PCP/PMP)이 낮을수록 큰 크기로 형성이 되고, 기판 표면으로 전달되며, 이 경우 기판 표면에서의 핵 성장이 일어나고 가스상 물질들이 옆으로 달라 붙으면서 영역(island) 형태로 성장하게 되는데(일정 크기 까지는 핵으로 명명하고, 크기가 커지면 island라고 통칭함), 이때 가스상 물질로부터 생성된 클러스터도 기판 표면으로 확산에 의해서 전달되게 된다. 이때 기판 표면 온도가 고온 조건(예를 들어, 550℃ 이상의 온도)일 경우, 상기 결정은 표면 확산 효과에 의해서 2차원 구조로 성장이 가능하지만, 기판의 표면 온도가 저온 조건(예를 들어, 500℃ 이하의 온도)일 경우, 에너지가 부족하기 때문에 이러한 표면 확산 효과가 활발하게 일어나지 않게 된다. 따라서 상기 칼코겐-함유 전구체 대 전이금속-함유 전구체의 분압 비율(PCP/PMP)을 매우 높여서 기상 반응으로 생성되는 클러스터 크기가 작아지도록 조절하고, 표면 반응 시 기판의 표면 에너지를 감소시킴으로써 저온 조건에서 증착되는 2 차원 전이금속 디칼코지나이드 박막의 구조를 조절할 수 있다.In one embodiment of the present invention, in the deposition step, for example, in the chemical vapor deposition step, a gas phase reaction, which is a uniform reaction, and a reaction on a substrate surface which is a heterogeneous reaction occur simultaneously, React with each other to form clusters of transition metal dicalcium cyanide, and these clusters are transferred to the substrate surface to cause a surface reaction. Also, in the substrate surface reaction, the gaseous reactants can react with each other to form crystals of the transition metal decanoic acid. The cluster is formed in a larger size as the partial pressure ratio (P CP / P MP ) of the chalcogen-containing precursor (hereinafter referred to as "CP") to the transition metal-containing precursor (hereinafter referred to as "MP" In this case, nucleation occurs on the surface of the substrate and the gaseous materials stick to the side and grow in the form of an island. (Up to a certain size, it is called a nucleus. When the size grows, it is called an island. , Where clusters generated from the gaseous material are also transferred to the substrate surface by diffusion. At this time, when the substrate surface temperature is a high-temperature condition (for example, a temperature of 550 ° C or more), the crystal can grow into a two-dimensional structure by the surface diffusion effect, Or less), the surface diffusion effect does not actively occur because of insufficient energy. Therefore, by controlling the partial pressure ratio (P CP / P MP ) of the chalcogen-containing precursor to the transition metal-containing precursor to be very high to reduce the cluster size generated by the gas phase reaction and reducing the surface energy of the substrate during the surface reaction, The structure of the two-dimensional transition metal decalcinate thin film deposited under the conditions can be controlled.

본 발명의 일 구현예에 있어서, 상기 칼코겐-함유 전구체 대 전이금속-함유 전구체의 분압 비율(PCP/PMP)이 증가함에 따라, 증착되는 2차원 전이금속 디칼코지나이드 박막은 불규칙한 3차원 영역에서 2차원 삼각형 영역의 혼합 구조로 변화할 수 있으나, 이에 한정되지 않을 수 있다. 예를 들어, 상기 칼코겐-함유 전구체 대 전이금속-함유 전구체의 분압 비율(PCP/PMP)이 높아질수록, 상기 생성되는 전이금속 디칼코지나이드 결정의 표면 에너지가 낮아지게 되며, 이에 따라 전이금속 디칼코지나이드 박막의 2차원적 성장이 유도될 수 있다. In one embodiment of the present invention, as the partial pressure ratio (P CP / P MP ) of the chalcogen-containing precursor to the transition metal-containing precursor increases, the deposited two-dimensional transition metal decalcogenide thin film has irregular three- Region to the mixed structure of the two-dimensional triangular region, but the present invention is not limited thereto. For example, the higher the partial pressure ratio (P CP / P MP ) of the chalcogen-containing precursor to the transition metal-containing precursor, the lower the surface energy of the resulting transition metal dicalcium cyanide crystal, The two-dimensional growth of the metal dicalcosinide thin film can be induced.

본 발명의 일 구현예에 있어서, 칼코겐-함유 전구체 대 전이금속-함유 전구체의 분압 비율(PCP/PMP)이 더욱 더 증가할수록, 상기 2차원 전이금속 디칼코지나이드는 더 작아진 결정 크기를 가지며 2차원 삼각형 영역으로 완전히 변화될 수 있다. In one embodiment of the present invention, as the partial pressure ratio (P CP / P MP ) of the chalcogen-containing precursor to the transition metal-containing precursor is further increased, the two-dimensional transition metal dicalcogenide has a smaller crystal size And can be completely changed into a two-dimensional triangular area.

본 발명의 일 구현예로서, 칼코겐-함유 전구체 분압 / 전이금속-함유 전구체 분압의 비율(PCP/PMP)은 약 1/2 이상 또는 약 2 이상일 수 있으나, 이에 한정되지 않는다. 바람직하게는 칼코겐-함유 전구체 분압 / 전이금속-함유 전구체 분압의 비율(PCP/PMP)은 약 1/2 내지 약 600, 약 1/2 내지 약 500, 약 1/2 내지 약 400, 약 1/2 내지 약 300, 약 1/2 내지 약 200, 약 1/2 내지 약 100, 약 2 내지 약 600, 약 2 내지 약 500, 약 2 내지 약 400, 약 2 내지 약 300, 약 2 내지 약 200, 또는 약 2 내지 약 100 일 수 있으나, 이에 한정되지 않는다.In one embodiment of the present invention, the ratio of the chalcogen-containing precursor partial pressure / transition metal-containing precursor partial pressure (P CP / P MP ) may be about 1/2 or more or about 2 or more, but is not limited thereto. Preferably, the ratio of the chalcogen-containing precursor partial pressure / transition metal-containing precursor partial pressure (P CP / P MP ) is from about 1/2 to about 600, from about 1/2 to about 500, from about 1/2 to about 400, From about 1/2 to about 300, from about 1/2 to about 200, from about 1/2 to about 100, from about 2 to about 600, from about 2 to about 500, from about 2 to about 400, from about 2 to about 300, from about 2 To about 200, or from about 2 to about 100, by weight of the composition.

본 발명의 일 구현예로서, 증착하는 단계에서, 칼코겐-함유 전구체 분압 / 전이금속-함유 전구체 분압의 비율(PCP/PMP)을 증가시켜 기상 반응에 의해 생성되는 전이금속 디칼코지나이드의 클러스터 크기를 감소시키고, 기판의 표면 에너지를 감소시켜 전이금속 디칼코지나이드의 2차원 성장을 유도할 수 있다. In one embodiment of the present invention, in the step of depositing, the ratio of the chalcogen-containing precursor partial pressure / transition metal-containing precursor partial pressure (P CP / P MP ) to the transition metal dicaloginide The cluster size can be reduced and the surface energy of the substrate can be reduced to induce the two-dimensional growth of the transition metal dicalcium cyanide.

본 발명의 일 구현예에서, 증착하는 단계에서, 증착 챔버 내의 압력을 조절하여, 증착 챔버 내로 공급되는 칼코겐-함유 전구체 및 전이금속-함유 전구체의 양을 조절함으로써, 칼코겐-함유 전구체 분압 / 전이금속-함유 전구체 분압의 비율(PCP/PMP)이 조절될 수 있다. 예를 들어, 증착하는 단계에서, 캐리어 가스를 사용하지 않거나 또는 캐리어 가스 유량의미세한 조절을 통해 상기 증착 챔버 내의 압력을 조절하여 상기 증착 챔버 내로 공급되는 상기 칼코겐-함유 전구체 및 상기 전이금속-함유 전구체의 양을 미세하게 조절함으로써 상기 칼코겐-함유 전구체 분압 / 전이금속-함유 전구체의 분압의 비율(PCP/PMP)이 미세하게 조절되는 것 수 있으나, 이에 한정되지 않는다.In one embodiment of the present invention, in the depositing step, the pressure in the deposition chamber is adjusted to adjust the amount of the chalcogen-containing precursor and the transition metal-containing precursor supplied into the deposition chamber, so that the chalcogen-containing precursor partial pressure / The ratio of the transition metal-containing precursor partial pressure (P CP / P MP ) can be controlled. For example, in the depositing step, the chalcogen-containing precursor and the transition metal-containing precursor, which are supplied into the deposition chamber by adjusting the pressure in the deposition chamber through the fine adjustment of the carrier gas flow rate, The ratio (P CP / P MP ) of the partial pressure of the chalcogen-containing precursor partial pressure / transition metal-containing precursor may be finely controlled by finely adjusting the amount of the precursor, but is not limited thereto.

본 발명의 일 구현예로서, 상기 칼코겐-함유 전구체 분압 / 전이금속-함유 전구체의 분압의 비율(PCP/PMP)이 증가함에 따라 유사-2 차원 영역으로부터 휘발성 부산물이 탈착하면서 유사-2차원 영역이 성장되고, 표면 확산에 의해 단층으로 변화될 수 있다. As an embodiment of the present invention, as the ratio of the partial pressures of the chalcogen-containing precursor / transition metal-containing precursor ( CPP / PMP ) increases, the volatile byproducts desorb from the pseudo- Dimensional region can be grown and changed into a single layer by surface diffusion.

본 발명의 일 구현예로서, 증착하는 단계는 약 500℃ 이하의 저온 조건에서 수행될 수 있으나, 이에 한정되지 않을 수 있다. 예를 들어, 상기 저온 범위는 약 500℃ 이하, 약 400℃ 이하, 약 350℃ 이하, 또는 약 300℃ 이하일 수 있고, 바람직하게는 상온 내지 약 500℃, 약 100℃ 내지 약 500℃, 약 100℃ 내지 약 400℃, 약 200℃ 내지 약 400℃, 약 300℃ 내지 약 400℃, 약 100℃ 내지 약350℃, 또는 약 200℃ 내지 약 350℃일 수 있으나, 이에 한정되지 않는다. In one embodiment of the present invention, the depositing step may be performed at a low temperature of about 500 DEG C or lower, but may not be limited thereto. For example, the low temperature range may be about 500 ° C or less, about 400 ° C or less, about 350 ° C or less, or about 300 ° C or less, and preferably from about room temperature to about 500 ° C, From about 200 ° C to about 400 ° C, from about 200 ° C to about 400 ° C, from about 300 ° C to about 400 ° C, from about 100 ° C to about 350 ° C, or from about 200 ° C to about 350 ° C.

본 발명의 일 구현예로서, 증착하는 단계는 당업계에 공지된 증착 방법들을 특별한 제한 없이 사용할 수 있으며, 예를 들어, 화학기상 증착(chemical vapor deposition, CVD)법에 의하여 수행되는 것일 수 있으나, 이에 한정되지 않을 수 있다. 예를 들어, 상기 화학기상 증착법은 저압 화학기상 증착(low pressure chemical vapor deposition, LPCVD)법, 상압 화학기상 증착(atmospheric pressure chemical vapor deposition, APCVD)법, 금속 유기화학기상 증착(metal organic chemical vapor deposition, MOCVD)법, 플라즈마 강화된 화학기상 증착(plasma-enhanced chemical vapor deposition, PECVD)법, 유도결합 플라즈마 화학기상 증착(inductively coupled plasma-chemical vapor deposition, ICP-CVD)법, 원자층 증착법, 또는 플라즈마 원자층 증착법을 포함하는 것일 수 있으나, 이에 한정되지 않을 수 있다.In an embodiment of the present invention, the depositing step may be performed by a chemical vapor deposition (CVD) method, for example, without limitation, But may not be limited thereto. For example, the chemical vapor deposition may be performed by a low pressure chemical vapor deposition (LPCVD) method, an atmospheric pressure chemical vapor deposition (APCVD) method, a metal organic chemical vapor deposition (MOCVD), plasma enhanced chemical vapor deposition (PECVD), inductively coupled plasma-chemical vapor deposition (ICP-CVD), atomic layer deposition, or plasma But is not limited to, atomic layer deposition.

본 발명의 일 구현예로서, 2 차원 전이금속 디칼코지나이드의 결정 크기는 약 10 nm 이상인 것일 수 있으나, 이에 한정되지 않을 수 있다. 예를 들어, 2 차원 전이금속 디칼코지나이드의 결정 크기는 약 10 nm 이상, 약 30 nm 이상, 약 50 nm 이상, 약 70nm 이상 또는 약 100 nm 이상이고, 약 200 nm 이하, 약 150 nm 이하, 약 130 nm 이하 또는 약 100 nm 이하이며, 구체적으로 약 10 nm 내지 약 200 nm, 약 30 nm 내지 약 200 nm, 약 50 nm 내지 약 200 nm, 약 50 nm 내지 약 100 nm, 약 50 nm 내지 약 90 nm, 약 50 nm 내지 약 80 nm, 약 50 nm 내지 70 nm, 약 50 nm 내지 약 60 nm, 약 60 nm 내지 약 100 nm, 약 60 nm 내지 약 90 nm, 약 60 nm 내지 약 80 nm, 약 60 nm 내지 약 70 nm, 약 70 nm 내지 약 100 nm, 약 70 nm 내지 약 90 nm, 약 70 nm 내지 약 80 nm, 또는 약 80 nm 내지 약 100 nm 일 수 있으나, 이에 한정되지 않을 수 있다.In one embodiment of the present invention, the crystal size of the two-dimensional transition metal decalcogenide may be about 10 nm or more, but it is not limited thereto. For example, the crystal size of the two-dimensional transition metal dicalcium co-crystal may be greater than about 10 nm, greater than about 30 nm, greater than about 50 nm, greater than about 70 nm, or greater than about 100 nm, less than about 200 nm, About 130 nm or less, or about 100 nm or less, and specifically about 10 nm to about 200 nm, about 30 nm to about 200 nm, about 50 nm to about 200 nm, about 50 nm to about 100 nm, About 60 nm to about 90 nm, about 50 nm to about 80 nm, about 50 nm to about 70 nm, about 50 nm to about 60 nm, about 60 nm to about 100 nm, about 60 nm to about 90 nm, But is not limited to, from about 60 nm to about 70 nm, from about 70 nm to about 100 nm, from about 70 nm to about 90 nm, from about 70 nm to about 80 nm, or from about 80 nm to about 100 nm .

본 발명은 (1) 증착 챔버 내에서 기판의 표면 처리를 통해 기판의 표면 에너지를 감소시키는 단계; (2) 500℃ 이하의 온도 및 0.001 Torr 내지 760 Torr의 압력 하에서, 상기 증착 챔버 내에 칼코겐-함유 전구체 및 전이금속-함유 전구체를 공급하여 상기 기판 상에 2차원 전이금속 디칼코지나이드의 결정을 생성시키는 단계; (3) 단계 (2)의 압력보다 증가된 압력 하에서, 상기 증착 챔버 내에 칼코겐-함유 전구체 및 전이금속-함유 전구체를 공급하여 상기 기판 상에서 2차원 전이금속 디칼코지나이드의 결정 크기를 증대시키는 단계; 및 (4) 단계 (3)의 압력보다 증가된 압력 하에서, 상기 증착 챔버 내에 칼코겐-함유 전구체 및 전이금속-함유 전구체를 공급하여 상기 기판 상에 2차원 전이금속 디칼코지나이드 단층을 형성하는 단계;를 포함하는, 2차원 전이금속 디칼코지나이드 박막의 제조 방법에 관한 것이다. (1) reducing the surface energy of the substrate through surface treatment of the substrate in the deposition chamber; (2) supplying a chalcogen-containing precursor and a transition metal-containing precursor into the deposition chamber at a temperature of 500 DEG C or less and a pressure of 0.001 Torr to 760 Torr to form crystals of two-dimensional transition metal dicalcium co- ; (3) supplying a chalcogen-containing precursor and a transition metal-containing precursor into the deposition chamber to increase the crystal size of the two-dimensional transition metal dicalcium co-crystal on the substrate under an increased pressure than the pressure of step (2) ; And (4) providing a chalcogen-containing precursor and a transition metal-containing precursor in the deposition chamber under an increased pressure than the pressure in step (3) to form a two-dimensional transition metal decalcopyrite monolayer on the substrate To a process for producing a two-dimensional transition metal decalcinate thin film.

상기 단계 (2) 내지 (4)에 있어서, 전구체 분해 촉진 촉매는 칼코겐-함유 전구체 및 전이금속-함유 전구체와 함께 증착 챔버 내에 추가로 공급될 수 있다. In the above steps (2) to (4), the precursor decomposition accelerating catalyst may be further supplied into the deposition chamber together with the chalcogen-containing precursor and the transition metal-containing precursor.

또한 상기 단계 (2) 내지 (4)에 있어서, 2차원 전이금속 디칼코지나이드 이중층(bilayer) 생성을 방지하기 위한 억제제는 칼코겐-함유 전구체 및 전이금속-함유 전구체와 함께, 또는 칼코겐-함유 전구체, 전이금속-함유 전구체 및 전구체 분해 촉진 촉매와 함께, 증착 챔버 내에 추가로 공급될 수 있다. 상기 억제제는 칼코겐-함유 전구체, 전이금속-함유 전구체 및 전구체 분해 촉진 촉매와 함께 동시에, 증착 챔버 내로 공급할 수 있거나, 또는 칼코겐-함유 전구체, 전이금속-함유 전구체 및 전구체 분해 촉진 촉매를 증착 챔버 내로 먼저 공급하고, 일정 시간 후에 상기 억제제를 증착 챔버 내로 공급할 수 있다.In addition, in the above steps (2) to (4), the inhibitor for preventing generation of the two-dimensional transition metal dicalcogenide bilayer may be used together with the chalcogen-containing precursor and the transition metal- May be further fed into the deposition chamber, along with a precursor, a transition metal-containing precursor and a precursor decomposition promoting catalyst. The inhibitor may be fed into the deposition chamber simultaneously with a chalcogen-containing precursor, a transition metal-containing precursor and a precursor decomposition accelerating catalyst, or the chalcogen-containing precursor, the transition metal-containing precursor and the precursor decomposition promoting catalyst may be introduced into the deposition chamber And the inhibitor may be supplied into the deposition chamber after a predetermined time.

이하, 전술한 사항 중 중복되는 사항은 그 설명을 생략하였으며, 상기 단계 (2) 내지 (4)는 2차원 전이금속 디칼코지나이드 단층을 증착하는 단계의 구체적인 공정일 수 있다.Hereinafter, the description of the duplicated matters will be omitted, and the steps (2) to (4) may be a specific process of depositing the two-dimensional transition metal decalcopyrite monolayer.

일반적으로 기판의 표면 상에 핵 성장 위치 개수가 적을수록 큰 결정 크기를 갖는 2차원 전이금속 디칼코지나이드 박막이 합성되나, 이러한 경우에 균일도가 저하될 수 있다. 반면, 기판의 표면 상에 핵 성장 위치 개수가 많을수록 작은 결정 크기를 갖는 2차원 전이금속 디칼코지나이드 박막이 합성되나, 이러한 경우에 균일도는 향상될 수 있다.Generally, as the number of nucleation sites on the surface of a substrate is smaller, a two-dimensional transition metal decalcogenide thin film having a large crystal size is synthesized, but the uniformity may be lowered in this case. On the other hand, as the number of nucleation sites on the surface of the substrate increases, a two-dimensional transition metal decalcogenide thin film having a small crystal size is synthesized, but the uniformity can be improved in this case.

본 발명의 일 구현예로서, 큰 결정 크기를 가지면서도 균일도가 향상된 2차원 전이금속 디칼코지나이드 박막을 저온 조건에서 제조하는 방법을 제공할 수 있다. As one embodiment of the present invention, it is possible to provide a method of manufacturing a thin film of a two-dimensional transition metal decalcogenide having a large crystal size and an improved uniformity at a low temperature.

먼저 기판의 표면 상에 많은 수의 핵을 형성할 수 있는 조건에서 일정 시간 동안 핵 성장을 유도할 수 있다. 이를 위해, 증착 챔버 내에서 기판의 표면 처리를 통해 기판의 표면 에너지를 감소시키는 단계를 수행할 수 있다. 상기 기판의 표면 처리를 통해 기판의 표면 에너지를 감소시키는 것은 기판 표면 상의 핵 성장 위치 개수를 증가시키기 위함이다.First, nucleation can be induced for a certain period of time under a condition capable of forming a large number of nuclei on the surface of the substrate. To this end, it is possible to perform the step of reducing the surface energy of the substrate through the surface treatment of the substrate in the deposition chamber. Reducing the surface energy of the substrate through surface treatment of the substrate is to increase the number of nucleation sites on the substrate surface.

이후, 500℃ 이하의 온도 및 0.001 Torr 내지 760 Torr의 압력 하에서, 상기 증착 챔버 내에 칼코겐-함유 전구체, 전이금속-함유 전구체, 임의의 전구체 분해 촉진 촉매 및 임의의 억제제를 공급하여 상기 기판 상에 2차원 전이금속 디칼코지나이드의 결정을 생성시키는 단계를 수행할 수 있다. 이로써, 합성 초기에 결정 크기가 작지만, 다수의 핵을 기판의 표면 상에 성장시킬 수 있다.Thereafter, a chalcogen-containing precursor, a transition metal-containing precursor, an optional precursor decomposition promoting catalyst, and an optional inhibitor are supplied into the deposition chamber at a temperature of 500 DEG C or less and at a pressure of 0.001 Torr to 760 Torr, A step of generating crystals of the two-dimensional transition metal dicalcogenide can be performed. Thus, although a crystal size is small at the beginning of synthesis, a large number of nuclei can be grown on the surface of the substrate.

이후, 상기 결정 생성 단계의 온도는 동일하게 유지하면서, 압력은 증가시킨 상태에서, 상기 증착 챔버 내에 칼코겐-함유 전구체, 전이금속-함유 전구체, 임의의 전구체 분해 촉진 촉매 및 임의의 억제제를 공급하여 상기 기판 상에서 2차원 전이금속 디칼코지나이드의 결정 크기를 증대시키는 단계를 수행할 수 있다. 상기 결정 생성 단계보다 증가된 압력 조건은 작은 크기의 결정으로 형성된 핵이 큰 결정 크기로 성장할 수 있는 합성 조건이며, 단지 결정 생성 단계에서 사용된 압력보다 높은 압력이면 되고, 특별히 압력의 증가분의 상한이 한정되지 않는다. 이러한 압력 조건의 변화를 통해 작은 결정 크기의 핵이 큰 결정 크기로 증대될 수 있다. Thereafter, a chalcogen-containing precursor, a transition metal-containing precursor, an optional precursor decomposition accelerating catalyst and an optional inhibitor are supplied into the deposition chamber while the temperature of the crystal generating step remains the same while the pressure is increased The step of increasing the crystal size of the two-dimensional transition metal dicalcium cyanide on the substrate can be performed. The pressure condition increased from the crystal formation step is a synthesis condition in which a nucleus formed of a small-size crystal can grow to a large crystal size, and only a pressure higher than the pressure used in the crystal formation step is required. Specifically, It is not limited. The change of these pressure conditions can increase the small crystal size nuclei to large crystal sizes.

예를 들어, 상기 결정 생성 단계에서 증착 챔버 내의 압력을 3 Torr로 설정한 경우에, 상기 결정 크기 증대 단계에서는 증착 챔버 내의 압력을 9 Torr로 설정할 수 있으나, 이에 특별히 한정되지 않는다. For example, when the pressure in the deposition chamber is set to 3 Torr in the crystal generation step, the pressure in the deposition chamber may be set to 9 Torr in the crystal size increasing step, but is not limited thereto.

첨부된 도 7은 증착 챔버 내의 압력에 따른 2차원 전이금속 디칼코지나이드 박막의 합성 결과를 나타내는 주사전자현미경 이미지이다. 7 is an image of a scanning electron microscope showing the result of synthesis of a two-dimensional transition metal decalcogenide thin film according to the pressure in a deposition chamber.

도 7(a)에 나타난 바와 같이, 증착 챔버 내의 압력을 3 Torr로 설정한 상태에서, 칼코겐-함유 전구체 및 전이금속-함유 전구체를 증착 챔버 내로 공급하여 기판 상에 2차원 전이금속 디칼코지나이드(MoS2)의 핵을 생성시킨 경우, 핵 생성 개수는 많아지면서 그 결정 크기가 감소한 반면, 도 7(b)에 나타난 바와 같이, 증착 챔버 내의 압력을 9 Torr로 설정한 상태에서, 칼코겐-함유 전구체 및 전이금속-함유 전구체를 증착 챔버 내로 공급하여 기판 상에 2차원 전이금속 디칼코지나이드(MoS2)의 핵을 생성시킨 경우, 핵 생성 개수는 적어지면서 그 결정 크기가 커졌음을 확인할 수 있다. As shown in Fig. 7 (a), with the pressure in the deposition chamber set at 3 Torr, a chalcogen-containing precursor and a transition metal-containing precursor were fed into the deposition chamber to form a two-dimensional transition metal dicalcogenide (MoS 2 ) nuclei are generated, the number of nuclei increases and the crystal size thereof decreases. On the other hand, with the pressure in the deposition chamber set at 9 Torr as shown in FIG. 7 (b) Containing precursor and a transition metal-containing precursor into the deposition chamber to form the nucleus of the two-dimensional transition metal decalcogenide (MoS 2 ) on the substrate, the nucleation number is decreased and the crystal size is increased have.

이후, 상기 결정 크기 증대 단계의 온도는 동일하게 유지하면서, 그 압력은 증가시킨 상태에서, 상기 증착 챔버 내에 칼코겐-함유 전구체, 전이금속-함유 전구체, 임의의 전구체 분해 촉진 촉매 및 임의의 억제제를 공급하여 상기 기판 상에 2차원 전이금속 디칼코지나이드 단층을 형성하는 단계를 수행할 수 있다. 상기 결정 크기 증대 단계보다 증가된 압력 조건은 큰 결정 크기로 성장한 핵이 균일한 2차원 전이금속 디칼코지나이드 단층으로 성장할 수 있는 합성 조건이며, 단지 결정 크기 증대 단계에서 사용된 압력보다 높은 압력이면 되고, 특별히 압력의 증가분의 상한이 한정되지 않는다. 이러한 압력 변화를 통해 균일도가 향상된 2차원 전이금속 디카코지나이드 단층 박막을 제조할 수 있다. Thereafter, a chalcogen-containing precursor, a transition metal-containing precursor, an optional precursor decomposition promoting catalyst, and an optional inhibitor are introduced into the deposition chamber while the temperature of the crystal size increasing step is kept the same while the pressure is increased And forming a two-dimensional transition metal dicalcium phosphate monolayer on the substrate. The pressure condition increased from the crystal size increasing step is a synthesis condition in which a nucleus grown with a large crystal size can be grown as a homogeneous two-dimensional transition metal decanoccinimide monolayer, and only a pressure higher than the pressure used in the crystal size increasing step , The upper limit of the increase of the pressure is not particularly limited. Through this pressure change, a two-dimensional transition metal decakosinide monolayer thin film with improved uniformity can be manufactured.

본 발명의 일 구현예로서, 본 발명에 따른 제조 방법은 2차원 전이금속 디칼코지나이드의 결정 또는 클러스터의 크기 및 핵 성장 위치의 개수를 조절함으로써, 약 500℃ 이하의 저온 조건에서 균일도가 향상된 고품질의 대면적 2차원 전이금속 디칼코지나이드 박막을 제조할 수 있으며, 상기 제조된 2차원 전이금속 디칼코지나이드 박막을 이용하여 우수한 전기적 성능을 가지는 소자를 제조할 수 있다. As an embodiment of the present invention, the manufacturing method according to the present invention can improve the uniformity of the high-quality, high-quality Dimensional transition metal decalcogenide thin film of the two-dimensional transition metal decalcogenide thin film of the present invention can be produced, and a device having excellent electrical performance can be manufactured by using the two-dimensional transition metal decalcogenide thin film thus prepared.

상기 제조된 2차원 전이금속 디칼코지나이드 박막은 모든 전자 회로 및 전자 디바이스에 응용할 수 있으나, 이에 한정되지 않는다. 예를 들어, 상기 소자를 이용하여 전계 효과 트랜지스터, 광학 센서, 발광소자, 광 검출기, 광자기 메모리 소자, 광 촉매, 평면 디스플레이, 플렉서블 소자, 및 태양 전지 등을 제조하는 것이 가능할 수 있으나, 이에 제한되지 않을 수 있다.The two-dimensional transition metal decalcogenide thin film prepared above can be applied to all electronic circuits and electronic devices, but is not limited thereto. For example, it may be possible to manufacture a field effect transistor, an optical sensor, a light emitting device, a photodetector, a photomagnetic memory device, a photocatalyst, a flat panel display, a flexible device, .

본 발명의 일 구현예에 있어서, 상기 2 차원 전이금속 디칼코지나이드를 포함하는 전계 효과 트랜지스터는 전기적 성능이 우수하며, 종래의 n-형 반도체의 경향을 나타내는 특성이 있다.In one embodiment of the present invention, the field effect transistor including the two-dimensional transition metal decalcogenide is excellent in electrical performance and exhibits a trend of a conventional n-type semiconductor.

[[ 실시예Example ]]

하기 실시예에서는 본 발명에 따른 실시예 및 본 발명에 따르지 않는 비교예를 통해 본 발명을 보다 상세히 설명하나, 이러한 실시예는 본 발명의 이해를 돕기 위한 예시일 뿐, 본 발명의 범위가 하기의 실시예에 의해 제한되지 않는다.In the following examples, the present invention will be described in more detail with reference to examples of the present invention and comparative examples that do not comply with the present invention. However, the present invention is not limited thereto. But is not limited by the examples.

실시예 1Example 1

300 nm-두께의 SiO2 층을 갖는 고-도핑된(< 0.005 Ω·cm) p-형 Si가 기판으로서 사용되었다. 상기 기판은 예비-세정되었으며 주변 환경에서의 모든 오염을 방지하기 위해 짧은 시간 내에 로드-락 챔버(load-lock chamber) 내에서 실리콘 카바이드(SiC)-코팅된 서스셉터(susceptor) 상에 배치되었다. 이후 수산화칼륨 용액으로 상기 기판의 표면 처리를 실시하여 상기 기판의 표면 에너지를 감소시켰다. 이어서 칼코겐-함유 전구체로서 H2S, 전이금속-함유 전구체로서 Mo(CO)6(=99.9%, Sigma Aldrich, CAS number 13939-06-5), 전구체 분해 촉진 촉매로서 H2 가스 및 2차원 전이금속 디칼코지나이드 이중층 생성을 방지하기 위한 억제제로서 H2O를 상기 챔버 내로 공급하여 화학기상 증착(CVD)법에 의해 샤워헤드-형 반응기에서 2차원 전이금속 디칼코지나이드 박막인 MoS2 박막을 합성하였다. CVD 내의 가열 블록은 성장 전에 250℃까지 예열되었다. 상기 기판을 가진 상기 서스셉터는 반응기로 이송되었으며, 상기 기판 온도는 아르곤 흐름에서 10 분에 걸쳐 증가되었다. 상기 합성은 일정한 압력(예를 들면, 3.0 Torr)에서 합성 시간 동안 H2S, Mo(CO)6, H2 및 H2O의 승화된 전구체만을 사용하여 수행되었다. 합성 후 상기 기판은 로드-락 챔버로 이송되었으며, 100 sccm의 아르곤 흐름을 이용하여 1 시간 동안 냉각되었다. 상기 합성 후의 처리는 임의의 알려진 방법들(고온에서의 아르곤 및 H2S 어닐링과 같은)에 의해서 수행되지 않았다. Highly-doped (<0.005 OMEGA .cm) p-type Si having a 300 nm-thick SiO 2 layer was used as the substrate. The substrate was pre-cleaned and placed on a silicon carbide (SiC) -coated susceptor in a load-lock chamber within a short time to prevent any contamination in the environment. The surface of the substrate was then treated with potassium hydroxide solution to reduce the surface energy of the substrate. H 2 S as the chalcogen-containing precursor, Mo (CO) 6 (= 99.9%, Sigma Aldrich, CAS number 13939-06-5) as the transition metal-containing precursor, H 2 gas as the precursor decomposition accelerating catalyst, H 2 O was supplied into the chamber as an inhibitor to prevent the formation of a transition metal dicarcogenide double layer, and a MoS 2 thin film as a two-dimensional transition metal decalcogenide thin film was formed in a showerhead-type reactor by chemical vapor deposition (CVD) Were synthesized. The heating block in the CVD was preheated to 250 占 폚 before growth. The susceptor with the substrate was transferred to a reactor, and the substrate temperature was increased over 10 minutes in argon flow. The synthesis was carried out using only sublimed precursors of H 2 S, Mo (CO) 6 , H 2 and H 2 O at constant pressure (eg, 3.0 Torr) for synthesis time. After synthesis, the substrate was transferred to a load-lock chamber and cooled for 1 hour using an argon flow of 100 sccm. The post-synthesis treatment was not performed by any known methods (such as argon and H2S annealing at high temperature).

비교예 1Comparative Example 1

전구체 분해 촉진 촉매인 H2 가스를 챔버 내로 공급하지 않은 점을 제외하고는, 실시예 1과 동일한 방법으로 2차원 전이금속 디칼코지나이드 박막인 MoS2 박막을 합성하였다. MoS 2 thin film as a two-dimensional transition metal decalcinate thin film was synthesized in the same manner as in Example 1, except that H 2 gas as a precursor decomposition accelerating catalyst was not supplied into the chamber.

비교예 2Comparative Example 2

2차원 전이금속 디칼코지나이드 이중층 생성을 방지하기 위한 억제제인 H2O를 챔버 내로 공급하지 않은 점을 제외하고는, 실시예 1과 동일한 방법으로 2차원 전이금속 디칼코지나이드 박막인 MoS2 박막을 합성하였다.A two-dimensional transition metal decalcogenide thin film of MoS 2 was formed in the same manner as in Example 1, except that H 2 O, which is an inhibitor for preventing generation of a two-dimensional transition metal decalcogenide double layer, was not supplied into the chamber. Were synthesized.

실시예 2Example 2

300 nm-두께의 SiO2 층을 갖는 고-도핑된(< 0.005 Ω·cm) p-형 Si가 기판으로서 사용되었다. 상기 기판은 예비-세정되었으며 주변 환경에서의 모든 오염을 방지하기 위해 짧은 시간 내에 로드-락 챔버(load-lock chamber) 내에서 실리콘 카바이드(SiC)-코팅된 서스셉터(susceptor) 상에 배치되었다. 이후 수산화칼륨 용액으로 상기 기판의 표면 처리를 실시하여 상기 기판의 표면 에너지를 감소시켰다. 이어서 3.0 Torr의 일정한 압력 하에서 일정 시간 동안 칼코겐-함유 전구체로서 H2S, 전이금속-함유 전구체로서 Mo(CO)6(=99.9%, Sigma Aldrich, CAS number 13939-06-5), 전구체 분해 촉진 촉매로서 H2 가스 및 2차원 전이금속 디칼코지나이드 이중층 생성을 방지하기 위한 억제제로서 H2O를 상기 챔버 내로 공급하여 화학기상 증착(CVD)법에 의해 상기 기판 상에 MoS2의 결정을 생성시켰다. 또한 9.0 Torr의 일정한 압력 하에서 일정 시간 동안 H2S, Mo(CO)6, H2 및 H2O를 상기 챔버 내로 공급하여 상기 기판 상에 생성된 MoS2의 결정 크기를 증대시켰다. 이어서, 9 Torr 초과(예를 들어, 12 Torr)의 일정한 압력 하에서 일정 시간 동안 H2S, Mo(CO)6, H2 및 H2O를 상기 챔버 내로 공급하여 상기 기판 상에 2차원 전이금속 디칼코지나이드 단층을 형성함으로써, 2차원 전이금속 디칼코지나이드 박막인 MoS2 박막을 합성하였다. CVD 내의 가열 블록은 성장 전에 250℃까지 예열되었다. 상기 기판을 가진 상기 서스셉터는 반응기로 이송되었으며, 상기 기판 온도는 아르곤 흐름에서 10 분에 걸쳐 증가되었다. 합성 후 상기 기판은 로드-락 챔버로 이송되었으며, 100 sccm의 아르곤 흐름을 이용하여 1 시간 동안 냉각되었다. 상기 합성 후의 처리는 임의의 알려진 방법들(고온에서의 아르곤 및 H2S 어닐링과 같은)에 의해서 수행되지 않았다.Highly-doped (<0.005 OMEGA .cm) p-type Si having a 300 nm-thick SiO 2 layer was used as the substrate. The substrate was pre-cleaned and placed on a silicon carbide (SiC) -coated susceptor in a load-lock chamber within a short time to prevent any contamination in the environment. The surface of the substrate was then treated with potassium hydroxide solution to reduce the surface energy of the substrate. H 2 S as a chalcogen-containing precursor, Mo (CO) 6 (= 99.9%, Sigma Aldrich, CAS number 13939-06-5) as a transition metal-containing precursor, H 2 O is supplied into the chamber as an inhibitor to prevent the formation of H 2 gas and a two-dimensional transition metal dicocosanide double layer as an accelerating catalyst to produce crystals of MoS 2 on the substrate by chemical vapor deposition (CVD) . Also, H 2 S, Mo (CO) 6 , H 2 and H 2 O were fed into the chamber under constant pressure of 9.0 Torr for a period of time to increase the crystal size of MoS 2 produced on the substrate. Then, H 2 S, Mo (CO) 6 , H 2 and H 2 O are fed into the chamber for a certain period of time under a constant pressure of more than 9 Torr (for example, 12 Torr) MoS 2 thin film as a two-dimensional transition metal decalcogenide thin film was synthesized by forming a decalcoginide monolayer. The heating block in the CVD was preheated to 250 占 폚 before growth. The susceptor with the substrate was transferred to a reactor, and the substrate temperature was increased over 10 minutes in argon flow. After synthesis, the substrate was transferred to a load-lock chamber and cooled for 1 hour using an argon flow of 100 sccm. The post-synthesis treatment was not performed by any known methods (such as argon and H2S annealing at high temperature).

전구체 분해 촉진 촉매의 사용 유무에 따른 효과를 비교하기 위하여, 전구체 분해 촉진 촉매로서 H2 가스를 사용한 실시예 1의 MoS2 박막 합성 결과의 현미경 이미지를 도 3(a)에 나타내었으며, H2 가스를 사용하지 않은 비교예 1의 MoS2 박막 합성 결과의 현미경 이미지를 도 3(b)에 나타내었다. 3 (a) shows a microscope image of the result of synthesis of the MoS 2 thin film of Example 1 using H 2 gas as a precursor decomposition accelerating catalyst in order to compare the effect of using the precursor decomposition accelerating catalyst, and H 2 gas FIG. 3 (b) shows a microscope image of the result of the synthesis of the MoS 2 thin film of Comparative Example 1 in which the film was not used.

도 3(a) 및 도 3(b)의 비교 결과, 전구체 분해 촉진 촉매 없이 2차원 전이금속 디칼코지나이드 박막을 합성한 경우에는 총 9시간의 합성 시간이 소요되었으나 (도 3(b) 참조), 전구체 분해 촉진 촉매로서 H2를 사용하면서 2차원 전이금속 디칼코지나이드 박막을 합성한 경우에는 총 2시간의 합성 시간이 소요되어 (도 3(a) 참조), 전구체 분해 촉진 촉매를 사용함으로써, 2차원 전이금속 디칼코지나이드 박막의 합성 속도가 4.5배 빨라졌음을 확인할 수 있다. 3 (a) and 3 (b), when a two-dimensional transition metal decalcinate thin film was synthesized without a precursor decomposition accelerating catalyst, a total synthesis time of 9 hours was required (see FIG. 3 (b) , A total synthesis time of 2 hours is required for synthesizing a two-dimensional transition metal decalcinate thin film while using H 2 as a precursor decomposition accelerating catalyst (see FIG. 3 (a)). By using a precursor decomposition accelerating catalyst, It can be confirmed that the synthesis rate of the two-dimensional transition metal dicocosinide thin film is improved by 4.5 times.

또한 2차원 전이금속 디칼코지나이드 이중층의 생성을 방지하기 위한 억제제의 사용 유무에 따른 효과를 비교하기 위하여, 상기 억제제로서 H2O를 사용한 실시예 1의 MoS2 박막 합성 결과의 현미경 이미지를 도 6(a)에 나타내었으며, H2O를 사용하지 않은 비교예 2의 MoS2 박막 합성 결과의 현미경 이미지를 도 6(b)에 나타내었다. In order to compare the effect of using inhibitors to prevent the formation of a two-dimensional transition metal dicocosanide double layer, a microscope image of the result of synthesis of the MoS 2 thin film of Example 1 using H 2 O as the inhibitor is shown in FIG. 6 (a), and a microscope image of the result of synthesis of MoS 2 thin film of Comparative Example 2 in which H 2 O is not used is shown in FIG. 6 (b).

도 6(a) 및 도 6(b)의 비교 결과, 저온 조건 (예를 들어, 500℃ 이하의 온도)의 증착 단계에서, 억제제로서의 H2O를 공급하여 2차원 전이금속 디칼코지나이드 박막을 합성하는 경우, 2차원 전이금속 디칼코지나이드 단층(회색 부분)이 대부분이며, 2차원 전이금속 디칼코지나이드 이중층(검정색 부분)이 드물게 형성되어, 균일한 2차원 전이금속 디칼코지나이드 박막이 형성되었으나 (도 6(a) 참조), 저온 조건 (예를 들어, 500℃ 이하의 온도)의 증착 단계에서, 억제제로서의 H2O의 공급 없이, 2차원 전이금속 디칼코지나이드 박막을 합성하는 경우, 2차원 전이금속 디칼코지나이드의 단층(회색 부분)과 함께 다수의 이중층(검정색 부분)이 동시에 형성되어, 균일하지 않은 2차원 전이금속 디칼코지나이드 박막이 형성되었다 (도 6(b) 참조). As a result of comparison between Fig. 6 (a) and Fig. 6 (b), H 2 O as an inhibitor is supplied in a deposition step at a low temperature condition (for example, at a temperature of 500 ° C or lower) to form a two-dimensional transition metal decalcinate thin film When synthesized, a two-dimensional transition metal decalcinoid monolayer (gray portion) was mostly present, and a two-dimensional transition metal decalcogenide double layer (black portion) was rarely formed and a uniform two-dimensional transition metal decalcinate thin film was formed In the case of synthesizing a thin film of two-dimensional transition metal decalcogenide without supplying H 2 O as an inhibitor in a deposition step of a low temperature condition (for example, a temperature of 500 ° C or lower) A large number of double layers (black portions) were formed simultaneously with a single layer (gray portion) of the dimensionally-transferred metal decalcogenide to form an uneven two-dimensional transition metal decalcogenide thin film (see FIG. 6 (b)).

첨부된 도 8에 나타난 바와 같이, 실시예 2에 따라 MoS2 박막을 합성한 결과, 균일도가 향상된, 고균일의 다결정성 MoS2 박막을 합성할 수 있었다. As shown in FIG. 8, the MoS 2 thin film was synthesized according to Example 2. As a result, it was possible to synthesize a highly uniform polycrystalline MoS 2 thin film with improved uniformity.

이상에서 본 발명의 바람직한 실시예에 대하여 상세하게 설명하였지만 본 발명의 권리범위는 이에 한정되는 것은 아니고 다음의 청구범위에서 정의하고 있는 본 발명의 기본 개념을 이용한 당업자의 여러 변형 및 개량 형태 또한 본 발명의 권리범위에 속하는 것이다.While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it is to be understood that the invention is not limited to the disclosed exemplary embodiments, Of the right.

Claims (18)

증착 챔버 내에서 기판의 표면 처리를 통해 기판의 표면 에너지를 감소시키는 단계; 및
상기 증착 챔버 내에 칼코겐-함유 전구체, 전이금속-함유 전구체 및 전구체 분해 촉진 촉매를 공급하여 상기 기판 상에 2차원 전이금속 디칼코지나이드 단층(monolayer)을 증착하는 단계;를 포함하고,
상기 증착하는 단계에서, 2차원 전이금속 디칼코지나이드 이중층(bilayer) 생성을 방지하기 위한 억제제를 추가로 공급하는, 2차원 전이금속 디칼코지나이드 박막의 제조 방법.
Reducing the surface energy of the substrate through surface treatment of the substrate within the deposition chamber; And
Supplying a chalcogen-containing precursor, a transition metal-containing precursor and a precursor decomposition promoting catalyst into the deposition chamber to deposit a two-dimensional transition metal decalcopyrite monolayer on the substrate,
A method of making a two-dimensional transition metal decalcogenide thin film, wherein in said depositing step, an inhibitor is additionally provided to prevent formation of a two-dimensional transition metal dicalcogenide bilayer.
삭제delete 제 1 항에 있어서,
억제제의 흡착 에너지(adsorption energy)는 전이금속 디칼코지나이드 단층의 기저면(basal plane)보다 기판 및 전이금속 디칼코지나이드 단층의 측면 위치에서 더 높고,
칼코겐의 흡착 에너지는 전이금속 디칼코지나이드 단층의 측면 위치보다 기판 및 전이금속 디칼코지나이드 단층의 기저면에서 더 높은, 2차원 전이금속 디칼코지나이드 박막의 제조 방법.
The method according to claim 1,
The adsorption energy of the inhibitor is higher at the lateral positions of the substrate and transition metal decalcogenide fault than the basal plane of the transition metal decalcogenide fault,
Wherein the adsorption energy of the chalcogen is higher in the basal plane of the substrate and the transition metal decalcogenide monolayer than in the lateral position of the transition metal decalcogenide monolayer.
제 1 항에 있어서,
전구체 분해 촉진 촉매는 칼코겐-함유 전구체 내의 칼코겐 원자로부터 칼코겐 원자와 결합된 리간드의 분해를 촉진하거나 전이금속-함유 전구체 내의 전이금속 원자로부터 전이금속 원자와 결합된 리간드의 분해를 촉진하는, 2차원 전이금속 디칼코지나이드 박막의 제조 방법.
The method according to claim 1,
The precursor decomposition accelerating catalyst promotes the decomposition of a ligand bound to a chalcogen atom from a chalcogen atom in a chalcogen-containing precursor or promotes the decomposition of a ligand bound to a transition metal atom from a transition metal atom in a transition metal- (Method for manufacturing a two - dimensional transition metal dicalcopyrite thin film).
제 1 항에 있어서,
기판의 표면 처리는 피라니아(piranha) 용액 처리, 황산(H2SO4) 용액 처리, 염산(HCl) 용액 처리 및 알칼리 금속 수산화물 용액 처리로 이루어진 군으로부터 선택되는 습식 처리 방법; 또는 O2 플라즈마 처리 및 수증기를 이용한 열처리로 이루어진 군으로부터 선택되는 건식 처리 방법으로 수행되는, 2차원 전이금속 디칼코지나이드 박막의 제조 방법.
The method according to claim 1,
The surface treatment of the substrate is performed by a wet treatment method selected from the group consisting of piranha solution treatment, sulfuric acid (H 2 SO 4 ) solution treatment, hydrochloric acid (HCl) solution treatment and alkali metal hydroxide solution treatment; Or an O 2 plasma treatment and a heat treatment using steam. 2. A method for producing a two-dimensional transition metal decalcogenide thin film according to claim 1,
제 1 항에 있어서,
기판이 SiO2, Al2O3, HfO2, LiAlO3, MgO, Si, Ge, GaN, AlN, GaP, InP, GaAs, SiC, 유리, 석영, 사파이어, 그래파이트, 그래핀, 플라스틱, 고분자, 질화붕소(h-BN) 및 이들의 조합으로 이루어진 군으로부터 선택되는 것인, 2차원 전이금속 디칼코지나이드 박막의 제조 방법.
The method according to claim 1,
Substrates are SiO 2, Al2O 3, HfO 2, LiAlO 3, MgO, Si, Ge, GaN, AlN, GaP, InP, GaAs, SiC, glass, quartz, sapphire, graphite, graphene, plastic, polymer, boron nitride ( h-BN), and combinations thereof. &lt; RTI ID = 0.0 &gt; 11. &lt; / RTI &gt;
제 1 항에 있어서,
기판이 SiO2, Al2O3, HfO2, LiAlO3, MgO, 및 이들의 조합으로 이루어진 군으로부터 선택되고,
기판의 표면 처리는 피라니아(piranha) 용액 처리, 황산(H2SO4) 용액 처리, 염산(HCl) 용액 처리 및 알칼리 금속 수산화물 용액 처리로 이루어진 군으로부터 선택되는 습식 처리 방법; 또는 O2 플라즈마 처리 및 수증기를 이용한 열처리로 이루어진 군으로부터 선택되는 건식 처리 방법으로 수행되는, 2차원 전이금속 디칼코지나이드 박막의 제조 방법.
The method according to claim 1,
The substrate is selected from the group consisting of SiO 2, Al 2 O 3, HfO 2, LiAlO 3, MgO, and combinations thereof,
The surface treatment of the substrate is performed by a wet treatment method selected from the group consisting of piranha solution treatment, sulfuric acid (H 2 SO 4 ) solution treatment, hydrochloric acid (HCl) solution treatment and alkali metal hydroxide solution treatment; Or an O 2 plasma treatment and a heat treatment using steam. 2. A method for producing a two-dimensional transition metal decalcogenide thin film according to claim 1,
제 1 항에 있어서,
칼코겐-함유 전구체는 S-함유 유기 화합물 또는 S-함유 무기 화합물인, 2차원 전이금속 디칼코지나이드 박막의 제조 방법.
The method according to claim 1,
Wherein the chalcogen-containing precursor is an S-containing organic compound or an S-containing inorganic compound.
제 1항에 있어서,
전이금속-함유 전구체는 Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Nb, Ta, Mo, W, Tc, Re, Ru, Os, Rh, Ir, Pt, Ag, Au, Cd, In, Tl, Sn, Pb, Sb, Bi, Zr, Pd, Hf 및 이들의 조합으로 이루어진 군으로부터 선택되는 전이금속을 포함하는 것인, 2차원 전이금속 디칼코지나이드 박막의 제조 방법.
The method according to claim 1,
The transition metal-containing precursor may be selected from the group consisting of Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Nb, Ta, Mo, W, Tc, Re, Ru, Os, Rh, Wherein the transition metal comprises a transition metal selected from the group consisting of Cd, In, Tl, Sn, Pb, Sb, Bi, Zr, Pd, Hf and combinations thereof.
제 1 항에 있어서,
칼코겐-함유 전구체 분압 / 전이금속-함유 전구체 분압의 비율이 1/2 이상인, 2차원 전이금속 디칼코지나이드 박막의 제조 방법.
The method according to claim 1,
Wherein the ratio of partial pressure of the chalcogen-containing precursor / partial pressure of the transition metal-containing precursor is 1/2 or more.
제 10 항에 있어서,
칼코겐-함유 전구체 분압 / 전이금속-함유 전구체 분압의 비율이 2 이상인, 2차원 전이금속 디칼코지나이드 박막의 제조 방법.
11. The method of claim 10,
Wherein the ratio of partial pressure of the chalcogen-containing precursor / partial pressure of the transition metal-containing precursor is 2 or more.
제 1 항에 있어서,
증착 단계는 500℃ 이하의 온도에서 수행되는 것인, 2차원 전이금속 디칼코지나이드 박막의 제조 방법.
The method according to claim 1,
Wherein the deposition step is performed at a temperature of &lt; RTI ID = 0.0 &gt; 500 C. &lt; / RTI &gt;
제 1 항에 있어서,
증착 단계는 화학기상 증착(CVD)법에 의하여 수행되는 것인, 2차원 전이금속 디칼코지나이드 박막의 제조 방법.
The method according to claim 1,
Wherein the deposition step is performed by a chemical vapor deposition (CVD) method.
제 1 항에 있어서,
증착 단계에서, 칼코겐-함유 전구체 분압 / 전이금속-함유 전구체 분압의 비율을 증가시켜 기상 반응에 의해 생성되는 전이금속 디칼코지나이드의 클러스터 크기를 감소시키고, 기판의 표면 에너지를 감소시켜 전이금속 디칼코지나이드의 2차원 성장을 유도하는 것인, 2차원 전이금속 디칼코지나이드 박막의 제조 방법.
The method according to claim 1,
In the deposition step, the ratio of the chalcogen-containing precursor partial pressure / transition metal-containing precursor partial pressure is increased to reduce the cluster size of the transition metal decalcogenide produced by the gas phase reaction and to reduce the surface energy of the substrate, Dimensional transition metal decalcogenide thin film, wherein the two-dimensional growth of the co-nitrogen is induced.
제 14 항에 있어서,
증착 단계에서, 증착 챔버 내로 공급되는 캐리어 가스의 양을 조절하거나 또는 증착 챔버 내로 공급되는 칼코겐-함유 전구체와 전이금속-함유 전구체의 온도를 조절하여, 증착 챔버 내로 공급되는 칼코겐-함유 전구체 및 전이금속-함유 전구체의 양을 조절함으로써, 칼코겐-함유 전구체 분압 / 전이금속-함유 전구체 분압의 비율이 조절되는 것인, 2차원 전이금속 디칼코지나이드 박막의 제조 방법.
15. The method of claim 14,
In the deposition step, the amount of the carrier gas supplied into the deposition chamber is adjusted, or the temperature of the chalcogen-containing precursor and the transition metal-containing precursor supplied into the deposition chamber is controlled to control the chalcogen- Wherein the ratio of partial pressure of the chalcogen-containing precursor / partial pressure of the transition metal-containing precursor is controlled by controlling the amount of the transition metal-containing precursor.
(1) 증착 챔버 내에서 기판의 표면 처리를 통해 기판의 표면 에너지를 감소시키는 단계;
(2) 500℃ 이하의 온도 및 0.001 Torr 내지 760 Torr의 압력 하에서, 상기 증착 챔버 내에 칼코겐-함유 전구체 및 전이금속-함유 전구체를 공급하여 상기 기판 상에 2차원 전이금속 디칼코지나이드의 결정을 생성시키는 단계;
(3) 단계 (2)의 압력보다 증가된 압력 하에서, 상기 증착 챔버 내에 칼코겐-함유 전구체 및 전이금속-함유 전구체를 공급하여 상기 기판 상에서 2차원 전이금속 디칼코지나이드의 결정 크기를 증대시키는 단계; 및
(4) 단계 (3)의 압력보다 증가된 압력 하에서, 상기 증착 챔버 내에 칼코겐-함유 전구체 및 전이금속-함유 전구체를 공급하여 상기 기판 상에 2차원 전이금속 디칼코지나이드 단층을 형성하는 단계;를 포함하고,
상기 (2) 단계 내지 (4) 단계에서, 2차원 전이금속 디칼코지나이드 이중층(bilayer) 생성을 방지하기 위한 억제제를 추가로 공급하는, 2차원 전이금속 디칼코지나이드 박막의 제조 방법.
(1) reducing the surface energy of the substrate through surface treatment of the substrate in the deposition chamber;
(2) supplying a chalcogen-containing precursor and a transition metal-containing precursor into the deposition chamber at a temperature of 500 DEG C or less and a pressure of 0.001 Torr to 760 Torr to form crystals of two-dimensional transition metal dicalcium co- ;
(3) supplying a chalcogen-containing precursor and a transition metal-containing precursor into the deposition chamber to increase the crystal size of the two-dimensional transition metal dicalcium co-crystal on the substrate under an increased pressure than the pressure of step (2) ; And
(4) supplying a chalcogen-containing precursor and a transition metal-containing precursor into the deposition chamber under an increased pressure than the pressure of step (3) to form a two-dimensional transition metal decalcopyrite monolayer on the substrate; Lt; / RTI &gt;
A method for producing a two-dimensional transition metal decalcogenide thin film, wherein in step (2) to (4), an inhibitor is additionally provided to prevent generation of a two-dimensional transition metal dicalcogenide bilayer.
제 16 항에 있어서,
단계 (2) 내지 (4)에서, 증착 챔버 내에 전구체 분해 촉진 촉매를 추가로 공급하는, 2차원 전이금속 디칼코지나이드 박막의 제조 방법.
17. The method of claim 16,
A method for producing a two-dimensional transition metal decalcogenide thin film, wherein in steps (2) to (4), a precursor decomposition promoting catalyst is further supplied into the deposition chamber.
삭제delete
KR1020160093974A 2015-07-29 2016-07-25 Method for preparing thin film of two-dimensional transition metal dichalcogenide having high uniformity KR101881304B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020160093974A KR101881304B1 (en) 2016-07-25 2016-07-25 Method for preparing thin film of two-dimensional transition metal dichalcogenide having high uniformity
PCT/KR2016/008303 WO2017018834A1 (en) 2015-07-29 2016-07-28 Method for manufacturing two-dimensional transition metal dichalcogenide thin film
US15/562,545 US10309011B2 (en) 2015-07-29 2016-07-28 Method for manufacturing two-dimensional transition metal dichalcogemide thin film
JP2017561638A JP6688949B2 (en) 2015-07-29 2016-07-28 Method for producing two-dimensional transition metal dichalcogenide thin film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160093974A KR101881304B1 (en) 2016-07-25 2016-07-25 Method for preparing thin film of two-dimensional transition metal dichalcogenide having high uniformity

Publications (2)

Publication Number Publication Date
KR20180011899A KR20180011899A (en) 2018-02-05
KR101881304B1 true KR101881304B1 (en) 2018-08-27

Family

ID=61224749

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160093974A KR101881304B1 (en) 2015-07-29 2016-07-25 Method for preparing thin film of two-dimensional transition metal dichalcogenide having high uniformity

Country Status (1)

Country Link
KR (1) KR101881304B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024014766A1 (en) * 2022-07-13 2024-01-18 한국표준과학연구원 Method for manufacturing transition metal dichalcogenide thin film having highly uniform three-dimensional hierarchical structure

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102028600B1 (en) * 2018-03-16 2019-10-04 한국과학기술연구원 Non-volatile memory device having two-dimensional ferroelectric tmdc materials and manufacturong method thereof
US11037783B2 (en) 2018-09-25 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using transition metal dichalcogenide and a method for forming the same
KR102156981B1 (en) * 2018-11-28 2020-09-16 충북대학교 산학협력단 Method for producing transition metal dichalcogenide thin film
KR102256513B1 (en) * 2019-04-09 2021-05-27 한양대학교 산학협력단 Method for manufacturing metal calcogenide thin film
KR102387536B1 (en) * 2020-04-16 2022-04-18 성균관대학교산학협력단 Method for producing octahedron transition metal dichalcogenides using plasma
CN114231945A (en) * 2021-12-15 2022-03-25 江苏籽硕科技有限公司 Method for preparing molybdenum disulfide film by chemical vapor deposition method

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101621470B1 (en) * 2013-07-31 2016-05-16 건국대학교 산학협력단 MoS2 thin film and preparation method thereof

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
GANORKAR, SHRADDHA et al. Journal of Physics and Chemistry of Solids. 2015, Vol. 87, pp. 32-37.
JEON, JAEHO et al. Nanoscale. 2014, Vol. 7, pp. 1688-1695.
KUMAR, V. KRANTHI et al. Nanoscale. 2015, Vol. 7, pp. 7802-7810.

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024014766A1 (en) * 2022-07-13 2024-01-18 한국표준과학연구원 Method for manufacturing transition metal dichalcogenide thin film having highly uniform three-dimensional hierarchical structure
KR20240009063A (en) 2022-07-13 2024-01-22 한국표준과학연구원 Method for preparing thin film of three-dimensional transition metal dichalcogenide having high uniformity

Also Published As

Publication number Publication date
KR20180011899A (en) 2018-02-05

Similar Documents

Publication Publication Date Title
KR101881304B1 (en) Method for preparing thin film of two-dimensional transition metal dichalcogenide having high uniformity
US10309011B2 (en) Method for manufacturing two-dimensional transition metal dichalcogemide thin film
Cai et al. Atomic layer deposition of two-dimensional layered materials: processes, growth mechanisms, and characteristics
Liu et al. CVD growth of MoS2‐based two‐dimensional materials
US11624112B2 (en) Synthesis and use of precursors for ALD of molybdenum or tungsten containing thin films
Mattinen et al. Atomic layer deposition of crystalline MoS2 thin films: new molybdenum precursor for low‐temperature film growth
TW201915213A (en) Method for depositing a metal chalcogenide on a substrate by cyclical deposition
JP6471371B2 (en) Molybdenumsilcyclopentadienyl complexes, silylallyl complexes, and their use in thin film deposition
KR101770235B1 (en) Preparing method of two-dimensional transition metal dichalcogenide
TWI749904B (en) Process for forming w containing thin film on substrate in reaction chamber
US20170051400A1 (en) Method for manufacturing a doped metal chalcogenide thin film, and same thin film
Bendt et al. Single‐S ource Precursor‐B ased Deposition of Sb2 T e3 Films by MOCVD
Jung et al. Recent progresses in the growth of two-dimensional transition metal dichalcogenides
Liu et al. Controlled chemical synthesis in CVD graphene
Villamayor et al. Growth of two-dimensional WS2 thin films by reactive sputtering
CN112663144A (en) Two-dimensional In2S3Preparation method of/SnS heterojunction crystalline material
US9029190B2 (en) Method for manufacturing graphene film and graphene channel of transistor
Gomes et al. Influence of temperature on morphological and optical properties of MoS2 layers as grown based on solution processed precursor
Kim et al. Sulfidation characteristics of amorphous nonstoichiometric Mo-oxides for MoS2 synthesis
CN113088932A (en) Wafer-level molybdenum sulfide with controllable layer number and preparation method thereof
Baboli et al. Mixed-dimensional InAs nanowire on layered molybdenum disulfide heterostructures via selective-area van der Waals epitaxy
KR102314020B1 (en) METHOD OF MAUFACTURING OF HEXAGONAL BORON NITRIDE (h-BN)/GRAPHENE IN-PLANE HETEROSTRUCTURE
CN114197054B (en) Synthesis and application of high-order superlattice
Zhou et al. MOCVD growth of self-arranged ZnO nanosize islands
KR101494764B1 (en) Nitride compound semiconductor nano structures and method of forming the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right