KR101818675B1 - Semiconductor memory device and method of forming the same - Google Patents

Semiconductor memory device and method of forming the same Download PDF

Info

Publication number
KR101818675B1
KR101818675B1 KR1020170082372A KR20170082372A KR101818675B1 KR 101818675 B1 KR101818675 B1 KR 101818675B1 KR 1020170082372 A KR1020170082372 A KR 1020170082372A KR 20170082372 A KR20170082372 A KR 20170082372A KR 101818675 B1 KR101818675 B1 KR 101818675B1
Authority
KR
South Korea
Prior art keywords
select gate
film
layer
substrate
gate
Prior art date
Application number
KR1020170082372A
Other languages
Korean (ko)
Other versions
KR20170081599A (en
Inventor
장성일
강창석
최병인
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020170082372A priority Critical patent/KR101818675B1/en
Publication of KR20170081599A publication Critical patent/KR20170081599A/en
Application granted granted Critical
Publication of KR101818675B1 publication Critical patent/KR101818675B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • H01L27/1157
    • H01L21/28282
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • H01L27/11582
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

기판 상에 희생막들 및 선택 게이트막을 포함하는 적층 구조체를 형성하고, 상기 적층 구조체를 관통하는 관통 영역을 형성하고, 상기 관통 영역에 의하여 노출된 상기 선택 게이트막의 측벽 상에 선택 게이트 절연막을 형성하고, 상기 관통 영역 내에 활성 패턴을 형성하고, 상기 희생막들을 제거하여 상기 활성 패턴의 측벽의 일부를 노출하고, 상기 노출된 활성 패턴의 측벽의 일부 상에 정보 저장막을 형성한다.Forming a stacked structure including sacrificial films and a selective gate film on a substrate, forming a penetration region through the stacked structure, forming a selective gate insulating film on the sidewall of the selective gate film exposed by the penetration region Forming an active pattern in the penetration area, removing the sacrificial layers to expose a part of the side wall of the active pattern, and forming an information storage layer on a part of the side wall of the exposed active pattern.

Description

반도체 메모리 소자 및 그의 형성방법{SEMICONDUCTOR MEMORY DEVICE AND METHOD OF FORMING THE SAME}TECHNICAL FIELD [0001] The present invention relates to a semiconductor memory device and a method of forming the same.

본 발명은 반도체 소자 및 그 제조방법에 관한 것으로, 더욱 상세하게는 반도체 메모리 소자 및 그 제조방법에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device and a manufacturing method thereof, and more particularly, to a semiconductor memory device and a manufacturing method thereof.

전자 산업이 고도 발전함에 따라, 반도체 메모리 장치의 집적도가 증가되고 있다. 반도체 메모리 장치의 집적도는 제품의 가격을 결정하는 중요한 요인으로 작용되고 있다. 즉, 집적도가 높아질수록 반도체 메모리 장치의 제품 가격이 감소될 수 있다. 이에 따라, 반도체 메모리 장치의 집적도 향상에 대한 요구가 심화되고 있다. 통상적으로, 반도체 메모리 장치의 집적도는 단위 메모리 셀이 점유하는 평면적에 의해 주로 결정되기 때문에, 미세 패턴 형성 기술의 수준에 크게 영향을 받는다. 하지만, 초 고가의 장비들 및/또는 반도체 제조 공정의 어려움 등에 의하여 패턴의 미세화가 점점 한계에 다다르고 있다.As the electronics industry develops, the degree of integration of semiconductor memory devices is increasing. The degree of integration of semiconductor memory devices is an important factor in determining the price of a product. That is, as the degree of integration increases, the product price of the semiconductor memory device may decrease. As a result, there is a growing demand for improvement in the degree of integration of semiconductor memory devices. Generally, the degree of integration of the semiconductor memory device is largely influenced by the level of the fine pattern forming technique, since it is mainly determined by the planar area occupied by the unit memory cell. However, miniaturization of patterns is becoming more and more limited due to expensive equipment and / or difficulties of the semiconductor manufacturing process.

이러한 한계를 극복하기 위한, 3차원적으로 배열되는 메모리 셀들을 구비하는 3차원 반도체 메모리 장치들이 제안되고 있다. 그러나, 3차원 반도체 메모리 장치의 대량 생산을 위해서는, 비트당 제조 비용을 2차원 반도체 메모리 장치의 그것보다 줄일 수 있으면서 신뢰성 있는 제품 특성을 구현할 수 있는 공정 기술이 요구되고 있다.In order to overcome these limitations, three-dimensional semiconductor memory devices having three-dimensionally arranged memory cells have been proposed. However, in order to mass-produce a three-dimensional semiconductor memory device, a process technology capable of reducing the manufacturing cost per bit of the two-dimensional semiconductor memory device and realizing a reliable product characteristic is required.

본 발명이 이루고자 하는 일 기술적 과제는 전기적 특성이 향상된 반도체 메모리 소자 및 그 제조방법을 제공하는 것이다.SUMMARY OF THE INVENTION The present invention provides a semiconductor memory device having improved electrical characteristics and a method of manufacturing the same.

본 발명이 이루고자 하는 다른 기술적 과제는 고집적화에 최적화된 반도체 소자 및 그 제조 방법을 제공하는 데 있다. It is another object of the present invention to provide a semiconductor device optimized for high integration and a manufacturing method thereof.

상기 기술적 과제를 달성하기 위한 반도체 소자의 제조 방법을 제공한다. 기판 상에 희생막들 및 선택 게이트막을 포함하는 적층 구조체를 형성하는 것, 상기 적층 구조체를 관통하는 관통 영역을 형성하는 것, 상기 관통 영역에 의하여 노출된 상기 선택 게이트막의 측벽 상에 선택 게이트 절연막을 형성하는 것, 상기 관통 영역 내에 활성 패턴을 형성하는 것, 상기 희생막들을 제거하여 상기 활성 패턴의 측벽의 일부를 노출하는 것, 및 상기 노출된 활성 패턴의 측벽의 일부 상에 정보 저장막을 형성하는 것을 포함할 수 있다. The present invention also provides a method of manufacturing a semiconductor device. A method of manufacturing a semiconductor device, comprising: forming a laminated structure including sacrificial films and a selective gate film on a substrate; forming a penetration region through the laminated structure; forming a selective gate insulating film on the sidewall of the selective gate film exposed by the penetration region Forming an active pattern in the penetration area; removing the sacrificial layers to expose a portion of the sidewalls of the active pattern; and forming an information storage layer on a portion of the sidewalls of the exposed active pattern ≪ / RTI >

일 실시예에 있어서, 상기 적층 구조체를 형성하는 것은 상기 희생막들 사이 및 상기 희생막들과 상기 선택 게이트막 사이에 절연막들을 형성하는 것을 더 포함할 수 있다.In one embodiment, forming the stacked structure may further comprise forming insulating films between the sacrificial films and between the sacrificial films and the select gate film.

일 실시예에 있어서, 상기 선택 게이트막은 폴리실리콘으로 형성될 수 있다.In one embodiment, the select gate film may be formed of polysilicon.

일 실시예에 있어서, 상기 선택 게이트 절연막을 형성하는 것은 열산화 공정에 의해 수행될 수 있다. In one embodiment, the formation of the select gate insulating film may be performed by a thermal oxidation process.

일 실시예에 있어서, 상기 정보 저장막을 형성하는 것은 상기 노출된 활성 패턴의 측벽의 일부 상에 터널 절연막, 전하 저장막 및 블로킹막을 차례로 형성하는 것을 포함할 수 있다.In one embodiment, forming the information storage layer may include forming a tunnel insulating layer, a charge storage layer, and a blocking layer sequentially on a portion of the sidewall of the exposed active pattern.

일 실시예에 있어서, 상기 정보 저장막을 형성한 후, 상기 희생막들이 제거되어 형성된 공간을 채우는 셀 게이트막들을 형성하는 것을 더 포함할 수 있다.In one embodiment, after forming the information storage layer, forming the cell gate layers filling the space formed by removing the sacrificial layers may be performed.

일 실시예에 있어서, 상기 선택 게이트막을 형성하는 것은 상기 희생막들과 상기 기판 사이에 하부 선택 게이트막을 형성하는 것, 및 상기 희생막들 상에 상부 선택 게이트막을 형성하는 것을 포함할 수 있다.In one embodiment, forming the select gate film may include forming a lower select gate film between the sacrificial films and the substrate, and forming an upper select gate film on the sacrificial films.

일 실시예에 있어서, 상기 선택 게이트 절연막은 상기 활성 패턴의 형성 전에 형성되고, 상기 정보 저장막은 상기 활성 패턴의 형성 후에 형성되는 것을 특징으로 할 수 있다.In one embodiment, the selection gate insulating film is formed before formation of the active pattern, and the information storage film is formed after formation of the active pattern.

일 실시예에 있어서, 상기 기판과 상기 적층 구조체 사이에 버퍼 절연막을 형성하는 것을 더 포함할 수 있다.In one embodiment, the method may further include forming a buffer insulating layer between the substrate and the stacked structure.

일 실시예에 있어서, 상기 관통 영역을 형성하는 것은 상기 버퍼 절연막을 노출시키는 것을 포함하고, 상기 선택 게이트 절연막을 형성한 후, 상기 관통 영역에 의하여 노출된 상기 버퍼 절연막을 제거하여 상기 기판을 노출하는 것을 더 포함할 수 있다.In one embodiment, forming the penetrating region includes exposing the buffer insulating layer. After forming the select gate insulating layer, the buffer insulating layer exposed by the penetrating region is removed to expose the substrate. Quot;

일 실시예에 있어서, 상기 관통 영역을 형성하는 것은 상기 버퍼 절연막을 관통하여 상기 기판을 노출하는 것을 포함하고, 열산화 공정에 의하여 상기 선택 게이트 절연막을 형성한 후, 상기 노출된 기판 상에 형성된 기판 열산화막을 제거하는 것을 더 포함할 수 있다.In one embodiment, forming the penetrating region includes exposing the substrate through the buffer insulating layer, forming the select gate insulating layer by a thermal oxidation process, And removing the thermal oxide film.

일 실시예에 있어서, 상기 기판 열산화막을 제거하는 것은 직진성이 강한 플라즈마를 이용하여 수행될 수 있다.In one embodiment, the removal of the substrate thermally oxidized film may be performed using a plasma having a strong linearity.

일 실시예에 있어서, 상기 선택 게이트 절연막의 형성 전, 상기 관통 영역에 의하여 노출된 상기 선택 게이트막의 측벽을 리세스 하는 것을 더 포함할 수 있다.In one embodiment, the method may further include the step of recessing the sidewall of the selective gate film exposed by the through region before forming the select gate insulating film.

상기 기술적 과제를 달성하기 위한 반도체 소자가 제공된다. 상기 소자는 기판으로부터 위로 연장되는 활성 패턴, 상기 활성 패턴의 측벽 상에 제공되는 셀 게이트들 및 선택 게이트, 상기 셀 게이트들과 상기 활성 패턴 사이로부터 수평적으로 연장되어 상기 셀 게이트들의 상면 및 하면을 덮는 정보 저장막, 및 상기 선택 게이트와 상기 활성 패턴 사이의 선택 게이트 절연막을 포함하고, 상기 셀 게이트들과 상기 선택 게이트는 다른 물질일 수 있다.A semiconductor device is provided to achieve the above-described object. The device comprising: an active pattern extending upwardly from the substrate; cell gates and select gates provided on the sidewalls of the active pattern; horizontally extending between the cell gates and the active pattern, And a select gate insulating film between the select gate and the active pattern, and the cell gates and the select gate may be different materials.

일 실시예에 있어서, 상기 셀 게이트들은 금속, 금속 실리사이드, 도전성 금속 질화물 중 선택된 적어도 하나를 포함하고, 상기 선택 게이트는 폴리 실리콘을 포함할 수 있다.In one embodiment, the cell gates include at least one selected from a metal, a metal silicide, and a conductive metal nitride, and the select gate may comprise polysilicon.

일 실시예에 있어서, 상기 선택 게이트 절연막은 실리콘 산화막이고, 상기 정보 저장막은 실리콘 산화막보다 밴드갭이 작은 물질을 포함할 수 있다. In one embodiment, the select gate insulating layer is a silicon oxide layer, and the information storage layer may include a material having a bandgap smaller than that of the silicon oxide layer.

일 실시예에 있어서, 상기 정보 저장막은 상기 활성 패턴 상에 차례로 적층된 터널 절연막, 전하 저장막 및 블로킹막을 포함하되, 상기 전하 저장막은 상기 터널 절연막 및 상기 블로킹막보다 작은 밴드갭을 갖는 물질을 포함할 수 있다.In one embodiment, the information storage layer includes a tunnel insulating layer, a charge storage layer, and a blocking layer that are sequentially stacked on the active pattern, and the charge storage layer includes a material having a band gap smaller than that of the tunnel insulating layer and the blocking layer can do.

일 실시예에 있어서, 상기 선택 게이트 절연막은 상기 선택 게이트와 상기 활성 패턴의 측벽들 사이에 국소적으로 배치될 수 있다.In one embodiment, the select gate insulating layer may be locally disposed between the select gate and the sidewalls of the active pattern.

일 실시예에 있어서, 상기 셀 게이트들 사이 및 상기 셀 게이트들과 상기 선택 게이트 사이의 절연막을 더 포함하되, 상기 선택 게이트는 상기 절연막과 직접 접촉하고, 상기 셀 게이트들은 상기 정보 저장막에 의해 상기 절연막으로부터 이격될 수 있다. In one embodiment, the device further comprises an insulating film between the cell gates and between the cell gates and the select gate, wherein the select gate is in direct contact with the insulating film, And may be spaced apart from the insulating film.

일 실시예에 있어서, 상기 활성 패턴은 상기 활성 패턴의 측벽으로부터 상기 선택 게이트막들 쪽으로 돌출되는 연장부를 더 포함할 수 있다.In one embodiment, the active pattern may further include an extension protruding from the side walls of the active pattern toward the selection gate films.

선택 게이트의 게이트 절연막과 셀 게이트의 정보저장막을 다른 구조로 형성할 수 있다. 이에 따라, 핫 캐리어(hot carrier)에 의하여 선택 트랜지스터의 문턱 전압이 불안정해지는 것을 방지할 수 있다. 선택 게이트와 셀 게이트의 물질을 다르게 형성할 수 있다. 또한, 선택 게이트와 셀 게이트를 함께 형성하는 경우 보다 선택 게이트의 게이트 길이를 용이하게 조절할 수 있다. The gate insulating film of the select gate and the information storage film of the cell gate can be formed in different structures. Accordingly, it is possible to prevent the threshold voltage of the selection transistor from becoming unstable by the hot carrier. The material of the select gate and the cell gate can be formed differently. Further, the gate length of the select gate can be more easily adjusted than the case of forming the select gate and the cell gate together.

도 1은 본 발명의 실시예들에 따른 반도체 소자의 회로도이다.
도 2는 본 발명의 제 1 실시예에 따른 반도체 소자의 사시도이다.
도 3은 도 2의 A 영역의 확대도이다.
도 4 내지 도 12는 본 발명의 제 1 실시예에 따른 반도체 소자의 제조방법을 설명하기 위한 단면도들이다.
도 13 내지 도 16은 제 1 실시예의 변형예에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도들이다.
도 17 내지 도 19은 제 1 실시예의 다른 변형예에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도들이다.
도 20 내지 도 21은 제 1 실시예의 또 다른 변형예에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도들이다.
도 22는 본 발명의 제 2 실시예에 따른 반도체 소자의 사시도이다.
도 23 내지 도 30은 본 발명의 제 2 실시예에 따른 반도체 소자의 제조방법을 설명하기 위한 단면도들이다.
도 31은 본 발명의 실시예들에 따른 형성된 반도체 소자를 포함하는 메모리 시스템의 일 예를 나타내는 개략 블록도이다.
도 32은 본 발명의 실시예들에 따른 반도체 소자를 구비하는 메모리 카드의 일 예를 나타내는 개략 블록도이다.
도 33은 본 발명의 실시예들에 따른 반도체 소자를 장착하는 정보 처리 시스템의 일 예를 나타내는 개략 블록도이다.
1 is a circuit diagram of a semiconductor device according to embodiments of the present invention.
2 is a perspective view of a semiconductor device according to a first embodiment of the present invention.
3 is an enlarged view of region A in Fig.
4 to 12 are cross-sectional views illustrating a method of manufacturing a semiconductor device according to a first embodiment of the present invention.
13 to 16 are cross-sectional views illustrating a method of manufacturing a semiconductor device according to a modification of the first embodiment.
17 to 19 are cross-sectional views for explaining a method of manufacturing a semiconductor device according to another modification of the first embodiment.
20 to 21 are sectional views for explaining a method of manufacturing a semiconductor device according to still another modification of the first embodiment.
22 is a perspective view of a semiconductor device according to a second embodiment of the present invention.
23 to 30 are cross-sectional views illustrating a method of manufacturing a semiconductor device according to a second embodiment of the present invention.
31 is a schematic block diagram illustrating an example of a memory system including a semiconductor device formed in accordance with embodiments of the present invention.
32 is a schematic block diagram showing an example of a memory card having a semiconductor device according to the embodiments of the present invention.
33 is a schematic block diagram showing an example of an information processing system for mounting a semiconductor device according to the embodiments of the present invention.

이상의 본 발명의 목적들, 다른 목적들, 특징들 및 이점들은 첨부된 도면과 관련된 이하의 바람직한 실시예들을 통해서 쉽게 이해될 것이다. 그러나, 본 발명은 여기서 설명되는 실시예들에 한정되지 않고 다른 형태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예는 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되는 것이다.BRIEF DESCRIPTION OF THE DRAWINGS The above and other objects, features, and advantages of the present invention will become more readily apparent from the following description of preferred embodiments with reference to the accompanying drawings. However, the present invention is not limited to the embodiments described herein but may be embodied in other forms. Rather, the embodiments disclosed herein are provided so that the disclosure can be thorough and complete, and will fully convey the scope of the invention to those skilled in the art.

본 명세서에서, 어떤 막(또는 층)이 다른 막(또는 층) 또는 기판 상에 있다고 언급되는 경우에 그것은 다른 막(또는 층) 또는 기판 상에 직접 형성될 수 있거나 또는 그들 사이에 제 3의 막(또는 층)이 개재될 수도 있다 또한, 도면들에 있어서, 구성들의 크기 및 두께 등은 명확성을 위하여 과장된 것이다. 또한, 본 명세서의 다양한 실시예들에서 제 1, 제 2, 제 3 등의 용어가 다양한 영역, 막들(또는 층들) 등을 기술하기 위해서 사용되었지만, 이들 영역, 막들이 이 같은 용어들에 의해서 한정되어서는 안 된다. 이들 용어들은 단지 어느 소정 영역 또는 막(또는 층)을 다른 영역 또는 막(또는 층)과 구별시키기 위해서 사용되었을 뿐이다. 따라서, 어느 한 실시 예에의 제 1막질로 언급된 막질이 다른 실시 예에서는 제 2막질로 언급될 수도 있다. 여기에 설명되고 예시되는 각 실시 예는 그것의 상보적인 실시 예도 포함한다. 본 명세서에서 '및/또는' 이란 표현은 전후에 나열된 구성요소들 중 적어도 하나를 포함하는 의미로 사용된다. 명세서 전체에 걸쳐서 동일한 참조번호로 표시된 부분들은 동일한 구성요소들을 나타낸다.In this specification, when it is mentioned that a film (or layer) is on another film (or layer) or substrate, it may be formed directly on another film (or layer) or substrate, or a third film (Or layer) may be interposed. In the drawings, the sizes and thicknesses of the structures and the like are exaggerated for the sake of clarity. It should also be understood that although the terms first, second, third, etc. have been used in various embodiments herein to describe various regions, films (or layers), etc., It should not be. These terms are merely used to distinguish any given region or film (or layer) from another region or film (or layer). Thus, the membrane referred to as the first membrane in one embodiment may be referred to as the second membrane in another embodiment. Each embodiment described and exemplified herein also includes its complementary embodiment. The expression " and / or " is used herein to mean including at least one of the elements listed before and after. Like numbers refer to like elements throughout the specification.

도 1은 본 발명의 실시예들에 따른 반도체 메모리 소자의 회로도이다.1 is a circuit diagram of a semiconductor memory device according to embodiments of the present invention.

도 1을 참조하면, 실시예들에 따른 3차원 반도체 메모리 장치는 공통 소오스 라인(CSL0-CSL2), 복수개의 비트 라인들(BL0, BL1, BL2) 및 공통 소오스 라인(CSL0-CSL2)과 비트 라인들(BL0-BL2) 사이에 배치되는 복수개의 셀 스트링들(CSTR)을 포함할 수 있다.1, a three-dimensional semiconductor memory device according to embodiments includes a common source line CSL0-CSL2, a plurality of bit lines BL0, BL1, BL2, a common source line CSL0-CSL2, And a plurality of cell strings CSTR disposed between the plurality of cell strings BL0-BL2.

비트 라인들(BL0-BL2)은 2차원적으로 배열되고, 그 각각에는 복수개의 셀 스트링들(CSTR)이 병렬로 연결된다. 셀 스트링들(CSTR)은 공통 소오스 라인(CSL0-CSL2)에 공통으로 연결될 수 있다. 즉, 복수의 비트 라인들(BL0-BL2)과 하나의 공통 소오스 라인(CSL0, CSL1 또는 CSL2) 사이에 복수의 셀 스트링들(CSTR)이 배치될 수 있다. 일 실시예에 따르면, 공통 소오스 라인(CSL0-CSL2)은 복수 개가 2차원적으로 배열될 수 있다. 여기서, 공통 소오스 라인들(CSL0-CSL2)에는 전기적으로 동일한 전압이 인가될 수 있으며, 또는 공통 소오스 라인들 각각이 전기적으로 제어될 수도 있다. The bit lines BL0-BL2 are two-dimensionally arranged, and a plurality of cell strings CSTR are connected in parallel to each of the bit lines BL0-BL2. Cell strings CSTR may be connected in common to the common source lines CSL0-CSL2. That is, a plurality of cell strings CSTR may be disposed between a plurality of bit lines BL0-BL2 and one common source line CSL0, CSL1, or CSL2. According to one embodiment, a plurality of common source lines CSL0-CSL2 may be two-dimensionally arranged. Here, electrically the same voltage may be applied to the common source lines CSL0 to CSL2, or each common source line may be electrically controlled.

셀 스트링들(CSTR) 각각은 공통 소오스 라인(CSL0-CSL2)에 접속하는 접지 선택 트랜지스터(GST), 비트 라인(BL0-BL2)에 접속하는 스트링 선택 트랜지스터(SST), 및 접지 및 스트링 선택 트랜지스터들(GST, SST) 사이에 배치되는 복수개의 메모리 셀 트랜지스터들(MCT)로 구성될 수 있다. 그리고, 접지 선택 트랜지스터(GST), 스트링 선택 트랜지스터(SST) 및 메모리 셀 트랜지스터들(MCT)은 직렬로 연결될 수 있다. Each of the cell strings CSTR includes a ground selection transistor GST connected to the common source lines CSL0 to CSL2, a string selection transistor SST connected to the bit lines BL0 to BL2, And a plurality of memory cell transistors MCT arranged between the first and second memory cells GST and SST. The ground selection transistor GST, the string selection transistor SST, and the memory cell transistors MCT may be connected in series.

공통 소오스 라인(CSL0-CSL2)은 접지 선택 트랜지스터들(GST)의 소오스들에 공통으로 연결될 수 있다. 이에 더하여, 공통 소오스 라인(CSL0-CSL2)과 비트 라인들(BL0-BL2) 사이에 배치되는, 접지 선택 라인(GSL0-GSL2), 복수개의 워드라인들(WL0-WL3) 및 복수개의 스트링 선택 라인들(SSL0-SSL2)이 접지 선택 트랜지스터(GST), 메모리 셀 트랜지스터들(MCT) 및 스트링 선택 트랜지스터들(SST)의 게이트 전극들로서 각각 사용될 수 있다. 또한, 메모리 셀 트랜지스터들(MCT) 각각은 정보저장체를 포함한다.The common source lines CSL0-CSL2 may be connected in common to the sources of the ground selection transistors GST. In addition, the ground selection lines GSL0-GSL2, the plurality of word lines WL0-WL3 and the plurality of string selection lines GSL0-GSL2, which are disposed between the common source lines CSL0-CSL2 and the bit lines BL- SSL0-SSL2 may be used as the gate electrodes of the ground selection transistor GST, the memory cell transistors MCT and the string selection transistors SST, respectively. In addition, each of the memory cell transistors MCT includes an information storage body.

하나의 셀 스트링(CSTR)은 공통 소오스 라인(CSL0-CSL2)으로부터의 거리가 서로 다른 복수개의 메모리 셀 트랜지스터들(MCT)로 구성되기 때문에, 공통 소오스 라인(CSL0-CSL2)과 비트 라인들(BL0-BL2) 사이에는 다층의 워드라인들(WL0-WL3)이 배치된다. Since one cell string CSTR is composed of a plurality of memory cell transistors MCT having different distances from the common source lines CSL0 to CSL2, the common source lines CSL0 to CSL2 and the bit lines BL0 -BL2) are arranged between the word lines WL0-WL3.

공통 소오스 라인(CSL0-CSL2)으로부터 실질적으로 동일한 거리에 배치되는, 복수의 메모리 셀 트랜지스터들(MCT)의 게이트 전극들은 워드라인들(WL0-WL3) 중의 하나에 공통으로 연결되어 등전위 상태에 있을 수 있다. 이와 달리, 메모리 셀 트랜지스터들(MCT)의 게이트 전극들이 공통 소오스 라인(CSL0-CSL2)으로부터 실질적으로 동일한 거리에 배치되더라도, 서로 다른 행 또는 열에 배치되는 게이트 전극들이 독립적으로 제어될 수 있다. The gate electrodes of the plurality of memory cell transistors MCT, which are disposed at substantially the same distance from the common source lines CSL0 to CSL2, may be connected in common to one of the word lines WL0 to WL3 to be in an equipotential state have. Alternatively, although the gate electrodes of the memory cell transistors MCT are disposed at substantially the same distance from the common source lines CSL0-CSL2, the gate electrodes arranged in different rows or columns can be independently controlled.

도 2 및 도 3을 참조하여, 본 발명의 제 1 실시예에 따른 반도체 소자가 설명된다. 도 2는 본 발명의 제 1 실시예에 따른 반도체 소자의 사시도이고, 도 3은 도 2의 A 부분의 확대도이다.2 and 3, a semiconductor device according to a first embodiment of the present invention is described. FIG. 2 is a perspective view of a semiconductor device according to a first embodiment of the present invention, and FIG. 3 is an enlarged view of a portion A in FIG.

도 2 및 3을 참조하면, 기판(100)이 제공된다. 상기 기판(100)은 실리콘 기판, 게르마늄 기판 또는 실리콘-게르마늄 기판일 수 있다. 상기 기판(100)은 제 1 타입 도펀트로 도핑된 구조일 수 있다. 상기 기판(100) 상에 복수의 게이트막들 및 상기 복수의 게이트막들 사이의 이격된 공간에 절연막들(121~126:120)이 제공될 수 있다. 상기 절연막들(120)은 산화막일 수 있다. 상기 복수의 게이트막들은 선택 게이트막들 및 셀 게이트막들(161~164:160)을 포함할 수 있다. 상기 선택 게이트막들은 상기 셀 게이트막들(160) 아래에 제공되는 하부 선택 게이트막(111) 및 상기 셀 게이트막들(160) 위에 제공되는 상부 선택 게이트막(112)을 포함할 수 있다. 상기 선택 게이트막들(111,112)은 상기 셀 게이트막들(160) 보다 두꺼울 수 있다. 상기 선택 게이트막들(111,112)의 상면 및/또는 하면은 상기 절연막들(120)과 직접 접촉할 수 있다. 상기 기판(100)과 상기 하부 선택 게이트막(111) 사이에 버퍼 절연막(105)이 제공될 수 있다. 상기 버퍼 절연막(105)은 실리콘 산화막일 수 있다.Referring to Figures 2 and 3, a substrate 100 is provided. The substrate 100 may be a silicon substrate, a germanium substrate, or a silicon-germanium substrate. The substrate 100 may be of a structure doped with a first type dopant. The plurality of gate films on the substrate 100 and the insulating films 121 to 126: 120 may be provided in the spaced spaces between the plurality of gate films. The insulating films 120 may be an oxide film. The plurality of gate films may include select gate films and cell gate films 161 to 164 (160). The select gate films may include a lower select gate film 111 provided under the cell gate films 160 and an upper select gate film 112 provided over the cell gate films 160. The select gate films 111 and 112 may be thicker than the cell gate films 160. The top and / or bottom surfaces of the select gate films 111 and 112 may be in direct contact with the insulating films 120. A buffer insulating layer 105 may be provided between the substrate 100 and the lower selection gate layer 111. [ The buffer insulating layer 105 may be a silicon oxide layer.

상기 게이트막들 및 상기 절연막들(120)은 상기 기판(100)의 상면과 평행한 방향, 예를 들면 y방향으로 연장될 수 있다. 상기 셀 게이트막들(160) 4개만 도시되어 있지만 이는 설명의 간략함을 위한 것으로, 이보다 많은 수의 셀 게이트막들이 배치될 수 있다. 또한 상기 선택 게이트막들(111, 112)은 각각 하나씩 도시되었으나, 복수 개 제공될 수 있다.The gate films and the insulating films 120 may extend in a direction parallel to the upper surface of the substrate 100, for example, in the y direction. Only four of the cell gate films 160 are shown, but this is for the sake of simplicity of description, and a greater number of cell gate films can be arranged. Although the selection gate films 111 and 112 are shown one by one, a plurality of the selection gate films 111 and 112 may be provided.

상기 셀 게이트막들(160)과 상기 선택 게이트막들(111,112)은 다른 물질일 수 있다. 상기 셀 게이트막들(160)은 금속, 금속 실리사이드, 도전성 금속 질화물, 및 도핑된 반도체 물질에서 선택된 적어도 하나를 포함할 수 있다. 상기 선택 게이트막들(111,112)은 폴리 실리콘을 포함할 수 있다. The cell gate films 160 and the select gate films 111 and 112 may be different materials. The cell gate films 160 may include at least one selected from a metal, a metal silicide, a conductive metal nitride, and a doped semiconductor material. The select gate films 111 and 112 may include polysilicon.

상기 기판(100)으로부터 위로 연장되며 상기 게이트막들 및 상기 절연막들(120)을 관통하는 활성 패턴(159)이 제공될 수 있다. 상기 활성 패턴(159)과 상기 선택 게이트막들(111,112)이 교차하는 지점에서의 상기 활성 패턴(159)의 횡단면의 면적은 상기 활성 패턴(159)과 상기 셀 게이트막들(160)이 교차하는 지점에서의 상기 활성 패턴(159)의 횡단면의 면적 보다 작을 수 있다. 상기 활성 패턴(159)은 채널 패턴(151) 및 상기 상기 채널 패턴(151)의 내부를 채우는 매립막(155)을 포함하는 마카로니(macaroni) 형태일 수 있다. 상기 활성패턴(159)은 내측벽부 및 바닥부(bottom portion)를 포함할 수 있다. 상기 바닥부의 상부면은 상기 선택 게이트막(141)의 상부면보다 상기 기판(100)에 인접할 수 있다. 도 2에 도시된 것과 달리, 상기 활성 패턴(159)은 상기 매립막(155)을 포함하지 않는 형태일 수 있다. 상기 채널 패턴(151)은 실리콘막일 수 있다. 상기 채널 패턴(151)은 진성 상태(intrinsic state)를 가질 수 있다. 상기 활성 패턴(159)은 평면적 관점(in plan view)에서 원형, 타원형 또는 다각형일 수 있다. An active pattern 159 extending upward from the substrate 100 and passing through the gate films and the insulating films 120 may be provided. The cross-sectional area of the active pattern 159 at the intersection of the active pattern 159 and the select gate films 111 and 112 is set such that the active pattern 159 crosses the cell gate films 160 Sectional area of the active pattern 159 at the point. The active pattern 159 may be in the form of a macaroni including a channel pattern 151 and a buried layer 155 filling the interior of the channel pattern 151. The active pattern 159 may include an inner wall portion and a bottom portion. The top surface of the bottom portion may be adjacent to the substrate 100 above the top surface of the select gate film 141. 2, the active pattern 159 may be in a form that does not include the buried film 155. [ The channel pattern 151 may be a silicon film. The channel pattern 151 may have an intrinsic state. The active pattern 159 may be circular, elliptical or polygonal in plan view.

제 1 방향(x축 방향)으로 배열된 활성 패턴(159)은 하나의 행을 이루고, 제 2 방향(y축 방향)으로 배열된 활성 패턴(159)은 하나의 열을 이룬다. 이하 본 명세서 전체에서 제 1 방향은 도 2의 x축 방향, 제 2 방향은 y축 방향, 제 3 방향은 z축 방향을 지칭할 수 있다. 상기 기판(100) 상에 복수의 행들 및 복수의 열들이 배열될 수 있다. 전극 분리 패턴(175)이 인접한 한 쌍의 상기 열들 사이에 배치될 수 있다. 즉, 상기 전극 분리 패턴(175)은 상기 제 2 방향으로 연장될 수 있다. 상기 전극 분리 패턴(175)은 절연성 물질을 포함할 수 있다. 예컨대, 상기 전극 분리 패턴(175)은 고밀도 플라즈마 산화막, SOG막(Spin On Glass layer) 및/또는 CVD 산화막일 수 있다. 상기 전극 분리 패턴(175)의 바닥면 아래의 기판(100) 내에 공통 소오스 영역(102)이 제공될 수 있다. 상기 공통 소오스 영역(102)은 상기 제 2 방향(y축 방향)으로 연장된 라인 형태일 수 있다. 상기 공통 소오스 영역(102)은 제 2 타입의 도펀트로 도핑된 영역일 수 있다. 상기 제 2 타입은 상기 제 1 타입과 다른 도전형일 수 있다.The active patterns 159 arranged in the first direction (x-axis direction) form one row and the active patterns 159 arranged in the second direction (y-axis direction) form one row. Hereinafter, throughout the present specification, the first direction may be referred to as an x-axis direction in Fig. 2, the second direction may be referred to as a y-axis direction, and the third direction may be referred to as a z-axis direction. A plurality of rows and a plurality of columns may be arranged on the substrate 100. An electrode separation pattern 175 may be disposed between a pair of adjacent columns. That is, the electrode separation pattern 175 may extend in the second direction. The electrode separation pattern 175 may include an insulating material. For example, the electrode separation pattern 175 may be a high density plasma oxide layer, a SOG layer (Spin On Glass layer), and / or a CVD oxide layer. A common source region 102 may be provided in the substrate 100 below the bottom of the electrode isolation pattern 175. The common source region 102 may be in the form of a line extending in the second direction (y-axis direction). The common source region 102 may be a region doped with a second type dopant. The second type may be a different conductivity type than the first type.

상기 선택 게이트막들(111, 112)과 상기 활성 패턴(159) 사이에 선택 게이트 절연막(141)이 제공될 수 있다. 상기 선택 게이트 절연막(141)은 열산화 공정을 통해 형성되는 열산화막일 수 있다. 일 예로, 상기 선택 게이트막들(111,112)이 폴리 실리콘인 경우, 상기 선택 게이트절연막(141)은 상기 선택 게이트막들(111,112)을 열산화시킴으로써 얻어지는 실리콘 산화막일 수 있다. 상기 선택 게이트 절연막(141)은 상기 선택 게이트막들(111, 112) 및 상기 활성 패턴(159)과 직접 접촉할 수 있다. 상기 선택 게이트 절연막(141)은 상기 선택 게이트막(111,112)과 상기 활성패턴(159)의 측벽들 사이에 국소적으로 배치될 수 있다.A select gate insulating layer 141 may be provided between the select gate layers 111 and 112 and the active pattern 159. The select gate insulating layer 141 may be a thermal oxide layer formed through a thermal oxidation process. For example, when the select gate films 111 and 112 are polysilicon, the select gate insulating film 141 may be a silicon oxide film obtained by thermally oxidizing the select gate films 111 and 112. The select gate insulating layer 141 may be in direct contact with the select gate layers 111 and 112 and the active pattern 159. The select gate insulating film 141 may be locally disposed between the select gate films 111 and 112 and the sidewalls of the active pattern 159.

상기 셀 게이트막들(160)과 상기 활성 패턴(159) 사이에 정보 저장막(170)이 제공될 수 있다. 상기 정보 저장막(170)은 상기 셀 게이트막들(160)과 상기 활성 패턴(159) 사이로부터 수평적으로 연장되어 상기 셀 게이트막들(160)의 상면 및 하면을 덮을 수 있다. 즉, 상기 셀 게이트막들(160)은 상기 정보 저장막(170)에 의해 상기 절연막들(120)로부터 이격될 수 있다. 상기 정보 저장막(170)은 실리콘 산화막보다 밴드갭이 작은 물질을 포함하는 다층 박막 구조일 수있다. 일 예로, 상기 정보 저장막(170)은 전하를 저장하는 전하 저장막(172)을 포함할 수 있다. 이에 더하여, 상기 정보 저장막(170)은 상기 전하 저장막(172)과 상기 활성 패턴(159) 사이의 터널 절연막(171), 및 상기 전하 저장막(172)과 상기 셀 게이트막들(160) 사이의 블로킹막(173)을 더 포함할 수 있다. 상기 전하저장막(172)은 상기 터널 절연막(171) 및 상기 블로킹막(173)보다 작은 밴드갭을 갖는 물질을 포함할 수 있다. 상기 전하 저장막(172)은 전하를 저장하는 트랩들을 갖는 물질로 형성될 수 있다. 일 예로, 상기 전하 저장막(172)은 실리콘 질화막, 금속 질화막, 금속 산질화막, 금속 실리콘 산화막, 금속 실리콘 산질화막 및 나노 도트들(nano dots) 중에서 적어도 하나를 포함할 수 있다. 상기 블로킹막(173)은 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막 및 고유전막 중에서 선택된 적어도 어느 하나를 포함할 수 있다. 상기 고유전막은 금속 산화막, 금속 질화막 및 금속 산질화막 중에서 선택된 적어도 어느 하나를 포함할 수 있다. 상기 고유전막은 하프늄(Hf), 지르코늄(Zr), 알루미늄(Al), 탄탈륨(Ta), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr) 중 적어도 하나를 포함할 수 있다. 상기 블로킹막(173)의 유전상수는 상기 터널 절연막(171)의 유전 상수보다 클 수 있다. 상기 터널 절연막(171)은 상기 선택 게이트 절연막(141)과는 달리 열산화막이 아닐 수 있다.An information storage layer 170 may be provided between the cell gate layers 160 and the active pattern 159. The information storage layer 170 may extend horizontally between the cell gate layers 160 and the active patterns 159 to cover the top and bottom surfaces of the cell gate layers 160. That is, the cell gate films 160 may be separated from the insulating films 120 by the information storage film 170. The information storage layer 170 may have a multi-layer structure including a material having a smaller bandgap than a silicon oxide layer. For example, the information storage layer 170 may include a charge storage layer 172 for storing a charge. The information storage layer 170 may include a tunnel insulating layer 171 between the charge storage layer 172 and the active pattern 159 and a tunnel insulating layer 171 between the charge storage layer 172 and the cell gate layers 160. [ And a blocking film 173 between the barrier film 173 and the barrier film 173. The charge storage layer 172 may include a material having a smaller band gap than the tunnel insulating layer 171 and the blocking layer 173. The charge storage layer 172 may be formed of a material having traps for storing charges. For example, the charge storage layer 172 may include at least one of a silicon nitride layer, a metal nitride layer, a metal oxynitride layer, a metal silicon oxide layer, a metal silicon oxynitride layer, and nano dots. The blocking layer 173 may include at least one selected from the group consisting of a silicon oxide layer, a silicon nitride layer, a silicon oxynitride layer, and a high-k dielectric layer. The high-dielectric-constant layer may include at least one selected from a metal oxide layer, a metal nitride layer, and a metal oxynitride layer. The high-k dielectric may include at least one of hafnium (Hf), zirconium (Zr), aluminum (Al), tantalum (Ta), lanthanum (La), cerium (Ce), and praseodymium (Pr). The dielectric constant of the blocking layer 173 may be greater than the dielectric constant of the tunnel insulating layer 171. Unlike the select gate insulating film 141, the tunnel insulating film 171 may not be a thermal oxide film.

상기 상부 선택 게이트막(112) 상의 최상층 절연막(126)에 인접하는 상기 채널 패턴 (151)에 드레인 영역(D)이 제공될 수 있다. 상기 게이트막들과 교차하는 방향(일 예로, x 방향)으로 나란히 연장되며, 상기 드레인 영역(D)과 전기적으로 연결되는 비트 라인들(BL)이 제공된다. 상기 비트 라인들(BL)은 도전성 물질을 포함할 수 있다. A drain region D may be provided in the channel pattern 151 adjacent to the uppermost insulating film 126 on the upper select gate film 112. (BL) extending in parallel to the gate films (for example, x direction) and electrically connected to the drain region (D). The bit lines BL may include a conductive material.

도 4 내지 도 12를 참조하여, 본 발명의 제 1 실시예에 따른 반도체 소자의 제조 방법이 설명된다. 4 to 12, a method of manufacturing a semiconductor device according to the first embodiment of the present invention is described.

도 4를 참조하여, 기판(100)이 준비된다. 상기 기판(100)은 반도체 기판일 수 있다. 예를 들어, 상기 기판(100)은 실리콘 기판, 게르마늄 기판, 실리콘-게르마늄 기판 또는 화합물 반도체 기판 일 수 있다. 상기 기판(100)은 제 1 타입의 도펀트로 도핑될 수 있다.Referring to Fig. 4, a substrate 100 is prepared. The substrate 100 may be a semiconductor substrate. For example, the substrate 100 may be a silicon substrate, a germanium substrate, a silicon-germanium substrate, or a compound semiconductor substrate. The substrate 100 may be doped with a first type of dopant.

상기 기판(100) 상에 희생막들(SC1~SC4:SC) 및 선택 게이트막(111,112)을 포함하는 적층 구조체를 형성할 수 있다. 상기 적층 구조체를 형성하는 것은 상기 희생막들(SC) 사이 및 상기 희생막들(SC)과 상기 선택 게이트막(111,112) 사이에 절연막들을 형성하는 것을 더 포함할 수 있다. 상기 선택 게이트막(111,112)은 폴리 실리콘으로 형성될 수 있다. 상기 희생막들(SC)은 상기 선택 게이트막(111,112) 및 상기 절연막들(120)에 대하여 식각 선택비를 갖는 물질로 형성될 수 있다. 일 예로, 상기 절연막들(120)은 산화물로 형성될 수 있고, 상기 희생막들(SC)은 질화물 및/또는 산화질화물로 형성될 수 있다. 상기 희생막들(SC)은 서로 동일한 물질로 형성되는 것이 바람직하다. 이와 마찬가지로, 상기 절연막들(120)도 서로 동일한 물질로 형성되는 것이 바람직하다. A stacked structure including sacrificial films (SC1 to SC4: SC) and select gate films 111 and 112 can be formed on the substrate 100. [ The formation of the stacked structure may further include forming insulating films between the sacrificial films (SC) and between the sacrificial films (SC) and the selective gate films (111, 112). The select gate films 111 and 112 may be formed of polysilicon. The sacrificial films SC may be formed of a material having an etch selectivity with respect to the select gate films 111 and 112 and the insulating films 120. For example, the insulating films 120 may be formed of an oxide, and the sacrificial films SC may be formed of a nitride and / or an oxynitride. It is preferable that the sacrificial films SC are formed of the same material. Likewise, the insulating films 120 may be formed of the same material.

상기 선택 게이트막(111,112)의 두께는 상기 희생막들(SC)의 두께 보다 두껍게 형성될 수있다. 상기 희생막들(SC)은 서로 동일한 두께로 형성될 수 있다. 상기 선택 게이트막(111,112)과 접하는 희생막들(121,125,126)은 상기 희생막들(SC) 사이의 희생막들(122,123,124) 보다 두껍게 형성될 수 있다. 상기 선택 게이트막(111,112), 상기 희생막들(SC) 및 상기 절연막들(120)은 화학 기상 증착(Chemical Vapor Deposition:CVD) 또는 원자층 증착(Atomic Layer Deposition:ALD)으로 형성될 수 있다.The thickness of the select gate films 111 and 112 may be greater than the thickness of the sacrificial films SC. The sacrificial films SC may be formed to have the same thickness. The sacrificial films 121, 125 and 126 in contact with the selective gate films 111 and 112 may be thicker than the sacrificial films 122, 123 and 124 between the sacrificial films SC. The selective gate films 111 and 112, the sacrificial films SC and the insulating films 120 may be formed by chemical vapor deposition (CVD) or atomic layer deposition (ALD).

상기 적층 구조체를 형성하기 전에, 상기 기판(100) 상에 버퍼 절연막(105)이 형성될 수 있다. 상기 하부 선택 게이트막(111)은 상기 버퍼 절연막(105) 바로 위(directly on)에 형성될 수 있다. 상기 버퍼 절연막(105)은 상기 희생막들(SC)에 대하여 식각 선택비를 갖는 유전물질로 형성될 수 있다. 예컨대, 상기 버퍼 절연막(105)은 산화물, 특히, 열산화물로 형성될 수 있다. A buffer insulating layer 105 may be formed on the substrate 100 before forming the stacked structure. The lower selection gate layer 111 may be formed directly on the buffer insulation layer 105. The buffer insulating layer 105 may be formed of a dielectric material having an etch selectivity with respect to the sacrificial layers SC. For example, the buffer insulating layer 105 may be formed of an oxide, particularly, a thermal oxide.

도 5를 참조하여, 상기 선택 게이트막(111,112),상기 절연막들(120) 및 희생막들(SC)을 연속적으로 패터닝하여, 상기 버퍼 절연막(105)을 노출하는 관통 영역(131)이 형성될 수 있다. 상기 관통 영역(131)은 이방성 식각 공정을 이용하여 형성될 수 있다. 상기 관통 영역(131)의 형성시, 상기 버퍼 절연막(105)의 일부가 함께 식각될 수 있다. 상기 관통 영역(131)은 홀(hole) 형태일 수 있다. 상기 관통 영역(131)은 제 1 방향 및 상기 제 1 방향에 직교(perpendicular)한 제 2 방향을 따라 2차원적으로 배열될 수 있다. 상기 제 1 방향 및 제 2 방향은 상기 기판(100)의 상기 상부면과 평행하다. 상기 관통 영역(131)은 평면적 관점(in plan view)에서 원형, 타원형 또는 다각형일 수 있다. 5, the selective gate films 111 and 112, the insulating films 120 and the sacrificial films SC are continuously patterned to form a through region 131 exposing the buffer insulating film 105 . The through region 131 may be formed using an anisotropic etching process. When the through region 131 is formed, a part of the buffer insulating layer 105 may be etched together. The through region 131 may be in the form of a hole. The through regions 131 may be two-dimensionally arranged along a first direction and a second direction perpendicular to the first direction. The first direction and the second direction are parallel to the upper surface of the substrate 100. The through region 131 may be circular, elliptical or polygonal in plan view.

도 6을 참조하면, 상기 관통 영역(131)에 의하여 노출된 상기 선택 게이트막(111,112)의 측벽 상에 선택 게이트 절연막(141)이 형성될 수 있다. 상기 선택 게이트 절연막(141)은 열산화 공정(thermal oxidation process)에 의하여 형성될 수 있다. Referring to FIG. 6, a select gate insulating layer 141 may be formed on the sidewalls of the select gate layers 111 and 112 exposed by the penetration regions 131. The select gate insulating layer 141 may be formed by a thermal oxidation process.

도 7을 참조하여, 상기 관통 영역(131)에 의하여 노출된 상기 버퍼 절연막(105)을 제거하여 상기 기판(100)을 노출시킬 수 있다. 상기 버퍼 절연막(105)의 제거시, 상기 기판(100)의 일부가 함께 리세스될 수 있다. 일 예로, 상기 버퍼 절연막(105)의 제거는 직진성이 강한 플라즈마를 이용한 건식 식각을 통하여 수행될 수 있다. Referring to FIG. 7, the buffer insulating layer 105 exposed by the penetration region 131 may be removed to expose the substrate 100. When the buffer insulating layer 105 is removed, a part of the substrate 100 may be recessed together. For example, the removal of the buffer insulating layer 105 may be performed by dry etching using a plasma having a strong directivity.

도 8을 참조하여, 상기 관통 영역(131)의 측벽 및 하부를 따라 채널 패턴(151)이 형성될 수 있다. 상기 채널 패턴(151)은 실리콘으로 형성될 수 있다. 상기 채널 패턴(151)은 상기 선택 게이트 절연막(141)에 의하여 굴곡을 가질 수 있다. 즉, 상기 선택 게이트막(111,112)의 측벽 상에 제공되는 상기 채널 패턴(151)의 부분은 상기 희생막들(SC)의 측벽 상에 제공되는 상기 채널 패턴(151)의 부분 보다 돌출될 수 있다.Referring to FIG. 8, a channel pattern 151 may be formed along the sidewalls and the bottom of the through region 131. The channel pattern 151 may be formed of silicon. The channel pattern 151 may be bent by the select gate insulating layer 141. That is, the portion of the channel pattern 151 provided on the sidewalls of the select gate films 111 and 112 may protrude from the portion of the channel pattern 151 provided on the sidewalls of the sacrificial films SC .

도 9를 참조하여, 상기 채널 패턴(151) 상에 상기 관통 영역(131)을 채우는 매립막(155)이 형성될 수 있다. 상기 매립막(155)은 질화막 또는 산화질화막일 수 있다. 상기 채널 패턴(151)과 상기 매립막(155)은 활성 패턴(159)을 구성할 수 있다. 상기 채널 패턴 (151) 및 상기 매립막(155)은 CVD 또는 ALD를 통하여 형성될 수 있다. Referring to FIG. 9, a buried layer 155 filling the penetration area 131 may be formed on the channel pattern 151. The buried film 155 may be a nitride film or a nitride oxide film. The channel pattern 151 and the buried layer 155 may constitute an active pattern 159. The channel pattern 151 and the buried layer 155 may be formed through CVD or ALD.

도 10을 참조하여, 상기 채널 패턴(151), 상기 매립막(155), 상기 선택 게이트막(111,112), 상기 절연막들(120) 및 상기 희생막들(SC)을 연속적으로 패터닝하여 트렌치(135)를 형성할 수 있다. 상기 트렌치(135)를 형성하는 것은 이방성 식각 공정에 의해 수행될 수 있다. 상기 트렌치(135)는 상기 제 2 방향으로 연장될 수 있다. 이로써, 상기 선택 게이트막(111,112), 상기 절연막들(120) 및 상기 희생막들(SC)은 상기 제 2 방향으로 나란히 연장된 라인 형태가 될 수 있다. 상기 트렌치(135)의 바닥에 상기 기판(100)이 노출될 수 있다. 상기 트렌치(135)의 형성시 상기 기판(100)의 일부가 함께 패너닝될 수 있다. 이와는 달리, 상기 트렌치(135)의 바닥에 상기 버퍼 절연막(105)이 노출될 수 있다. 이하, 설명의 편의를 위해, 상기 트렌치(135)의 바닥면에 상기 기판(100)이 노출된 실시 예로 설명한다. 10, the channel pattern 151, the embedded film 155, the select gate films 111 and 112, the insulating films 120 and the sacrificial films SC are successively patterned to form trenches 135 ) Can be formed. The formation of the trenches 135 may be performed by an anisotropic etching process. The trench 135 may extend in the second direction. Thus, the select gate films 111 and 112, the insulating films 120, and the sacrificial films SC may be in the form of a line extending in parallel in the second direction. The substrate 100 may be exposed to the bottom of the trench 135. A portion of the substrate 100 may be panned together when the trench 135 is formed. Alternatively, the buffer insulating layer 105 may be exposed to the bottom of the trench 135. Hereinafter, the substrate 100 is exposed on the bottom surface of the trench 135 for convenience of explanation.

도 11을 참조하여, 상기 트렌치(135)에 노출된 희생 막들(SC)을 선택적 식각 공정에 의하여 제거하여, 리세스 영역들(150)을 형성할 수 있다. 상기 선택적 식각 공정은 등방성 식각일 수 있다. 상기 선택적 식각 공정은 습식 식각 및/또는 등방성 건식 식각으로 수행될 수 있다. 상기 선택적 식각 공정에 의한 상기 희생막들(SC)의 식각율은 상기 절연막들(120), 상기 선택 게이트막(111,112) 및 상기 채널 패턴(151)의 식각율들보다 클 수 있다. 이에 따라, 상기 선택적 식각 공정을 수행한 후에, 상기 절연막들(120), 상기 선택 게이트막(111,112) 및 상기 활성 패턴(159)이 잔존될 수 있다. 상기 리세스 영역들(150)은 상기 희생막들(SC)과 접하던 상기 채널 패턴(151)의 측벽의 일부분들을 각각 노출시킬 수 있다.Referring to FIG. 11, the sacrificial layers SC exposed to the trenches 135 may be removed by a selective etching process to form the recessed regions 150. The selective etching process may be isotropic etching. The selective etching process may be performed by wet etching and / or isotropic dry etching. The etch rate of the sacrificial films SC by the selective etching process may be greater than the etch rates of the insulating films 120, the select gate films 111 and 112, and the channel patterns 151. Accordingly, after the selective etching process, the insulating films 120, the selective gate films 111 and 112, and the active patterns 159 may remain. The recessed regions 150 may expose portions of the sidewalls of the channel pattern 151 that are in contact with the sacrificial layers SC.

도 12 및 도 2 내지 도3을 참조하면, 상기 리세스 영역들(150)이 형성된 후, 상기 기판(100) 상에 정보 저장막(170)이 형성될 수 있다. 상기 정보 저장막(170)은 우수한 단차 도포성을 제공할 수 있는 증착 기술(예컨대, CVD 또는 ALD)을 사용하여 형성될 수 있다. 이로써, 상기 정보 저장막(170)은 실질적으로 콘포말(conformal)하게 형성될 수 있다. 상기 정보 저장막(170)은 상기 리세스 영역들(150)의 내면들을 따라 실질적으로 균일한 두께로 형성될 수 있다. 상기 정보 저장막(170)은 상기 리세스 영역들(150)의 일부를 채울 수 있다. 상기 정보 저장막(170)의 형성방법이 설명된다. 도 3에서 설명된 바와 같이, 상기 정보 저장막(170)을 형성하는 것은, 터널 절연막(171), 전하 저장막(172) 및 블로킹막(173)을 차례로 형성하는 것을 포함할 수 있다. 상기 선택 게이트 절연막(141)은 상기 활성 패턴(159)이 형성되기 전에 형성되는 반면, 상기 정보저장막(170)은 상기 활성 패턴(159)이 형성된 후에 형성될 수 있다.12 and FIGS. 2 to 3, the information storage layer 170 may be formed on the substrate 100 after the recessed regions 150 are formed. The information storage film 170 may be formed using a deposition technique (e.g., CVD or ALD) capable of providing excellent step coverage. Accordingly, the information storage layer 170 may be formed substantially conformally. The information storage layer 170 may be formed to have a substantially uniform thickness along the inner surfaces of the recessed regions 150. The information storage layer 170 may fill a portion of the recessed regions 150. A method of forming the information storage film 170 will be described. 3, forming the information storage layer 170 may include forming a tunnel insulating layer 171, a charge storage layer 172, and a blocking layer 173 in this order. The selection gate insulating layer 141 may be formed before the active pattern 159 is formed while the information storage layer 170 may be formed after the active pattern 159 is formed.

상기 터널 절연막(171)은 상기 채널 패턴(151)의 측벽의 일부를 덮도록 형성될 수 있다. 상기 터널 절연막(171)은 단일층 또는 다층일 수 있다. 예를 들어, 상기 터널 절연막(171)은 실리콘 산질화막, 실리콘 질화막, 실리콘 산화막 및 금속 산화막 중에서 선택된 적어도 어느 하나를 포함할 수 있다. The tunnel insulating layer 171 may be formed to cover a part of the side wall of the channel pattern 151. The tunnel insulating layer 171 may be a single layer or a multilayer. For example, the tunnel insulating layer 171 may include at least one selected from a silicon oxynitride layer, a silicon nitride layer, a silicon oxide layer, and a metal oxide layer.

상기 전하 저장막(172)은 상기 터널 절연막(171)에 의해 상기 활성 패턴(159)과 이격될 수 있다. 상기 전하 저장막(172)은 전하를 저장할 수 있는 전하 트랩 사이트(site)들을 포함할 수 있다. 예를 들면, 상기 전하 저장막(172)은 실리콘 질화막, 금속 질화막, 금속 산질화막, 금속 실리콘 산화막, 금속 실리콘 산질화막 및 나노 도트들(nano dots) 중에서 적어도 어느 하나를 포함할 수 있다.The charge storage layer 172 may be spaced apart from the active pattern 159 by the tunnel insulating layer 171. The charge storage layer 172 may include charge trap sites capable of storing charge. For example, the charge storage layer 172 may include at least one of a silicon nitride layer, a metal nitride layer, a metal oxynitride layer, a metal silicon oxide layer, a metal silicon oxynitride layer, and nano dots.

상기 블로킹막(173)은 상기 전하 저장막(172)을 덮을 수 있다. 상기 블로킹막(173)은 실리콘 산화막, 실리콘 질화막, 실리콘 산질화막 및 고유전막 중에서 선택된 적어도 어느 하나를 포함할 수 있다. 상기 고유전막은 금속 산화막, 금속 질화막 및 금속 산질화막 중에서 선택된 적어도 어느 하나를 포함할 수 있다. 상기 고유전막은 하프늄(Hf), 지르코늄(Zr), 알루미늄(Al), 탄탈륨(Ta), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr) 중 적어도 하나를 포함할 수 있다. 상기 블로킹막(173)의 유전상수는 상기 터널 절연막(171)의 유전 상수보다 클 수 있다. The blocking layer 173 may cover the charge storage layer 172. The blocking layer 173 may include at least one selected from the group consisting of a silicon oxide layer, a silicon nitride layer, a silicon oxynitride layer, and a high-k dielectric layer. The high-dielectric-constant layer may include at least one selected from a metal oxide layer, a metal nitride layer, and a metal oxynitride layer. The high-k dielectric may include at least one of hafnium (Hf), zirconium (Zr), aluminum (Al), tantalum (Ta), lanthanum (La), cerium (Ce), and praseodymium (Pr). The dielectric constant of the blocking layer 173 may be greater than the dielectric constant of the tunnel insulating layer 171.

상기 리세스 영역들(150)을 채우는 셀 게이트막(161~164:160)이 형성될 수 있다. 상기 정보 저장막(170)을 형성 한 후, 상기 기판(100) 상에 게이트 도전막(미도시)이 형성될 수 있다. 상기 게이트 도전막은 상기 리세스 영역들(150)을 채울 수 있다. 상기 게이트 도전막은 화학 기상 증착법(CVD), 물리 기상 증착법(Physical Vapor Depositon: PVD) 또는 원자층 화학 증착법(ALD)에 의해 형성될 수 있다. 상기 게이트 도전막은 금속, 금속 실리사이드, 도전성 금속 질화물, 및 도핑된 반도체 물질에서 선택된 적어도 어느 하나를 포함할 수 있다. 평탄화 공정이 수행되어 상기 최상층 절연막(126)을 노출시킬 수 있다.Cell gate films 161 to 164: 160 filling the recessed regions 150 may be formed. After the information storage layer 170 is formed, a gate conductive layer (not shown) may be formed on the substrate 100. The gate conductive layer may fill the recessed regions 150. The gate conductive layer may be formed by chemical vapor deposition (CVD), physical vapor deposition (PVD), or atomic layer chemical vapor deposition (ALD). The gate conductive film may include at least one selected from a metal, a metal silicide, a conductive metal nitride, and a doped semiconductor material. The planarization process may be performed to expose the uppermost insulating film 126. [

상기 게이트 도전막의 형성 후, 상기 리세스 영역들(150)의 외부에 위치한 상기 게이트 도전막을 제거하여, 상기 리세스 영역들(150) 내에 셀 게이트막들(160)이 형성될 수 있다. 상기 셀 게이트막들(160)의 형성은 상기 트렌치(135) 내의 게이트 도전막을 제거하여 상기 리세스 영역들(150) 내에 상기 게이트 도전막을 남기는 것을 포함할 수 있다. 상기 셀 게이트막들(160)의 형성 시, 상기 리세스 영역들(150)의 외부에 형성된 상기 정보 저장막(170)의 일부가 함께 제거될 수 있다. 상기 게이트 도전막의 일부 및 상기 정보 저장막(170)의 일부의 제거는 습식 및/또는 건식 식각 공정에 의해 수행될 수 있다. 상기 식각 공정에 의하여 상기 기판(100)의 상부면으로부터 수직으로 다른 층에 위치한 상기 셀 게이트막들(161~164)은 서로 분리될 수 있다. After the formation of the gate conductive layer, the gate conductive layer located outside the recessed regions 150 may be removed to form the cell gate layers 160 in the recessed regions 150. The formation of the cell gate films 160 may include removing the gate conductive film in the trenches 135 to leave the gate conductive film in the recessed regions 150. [ When the cell gate films 160 are formed, a part of the information storage layer 170 formed outside the recessed regions 150 may be removed together. Removal of a portion of the gate conductive layer and a portion of the information storage layer 170 may be performed by a wet and / or dry etch process. The cell gate films 161 to 164 located on different layers vertically from the upper surface of the substrate 100 by the etching process can be separated from each other.

상기 트렌치(135)의 바닥면 아래의 상기 기판(100) 내에 공통 소오스 영역(102)이 형성될 수 있다. 공통 소오스 영역(102)은 상기 제 2 방향으로 연장된 라인 형태일 수 있다. 상기 공통 소오스 영역(102)은 제 2 타입의 도펀트로 도핑된 영역이다. 상기 공통 소오스 영역(102)은 상기 기판(100)에 제 2 타입의 도펀트 이온들을 주입하여 형성될 수 있다. 이때, 상기 최상층 절연막(126)이 이온 주입 마스크로 사용될 수 있다. A common source region 102 may be formed in the substrate 100 below the bottom surface of the trench 135. The common source region 102 may be in the form of a line extending in the second direction. The common source region 102 is a doped region of the second type dopant. The common source region 102 may be formed by implanting a second type of dopant ions into the substrate 100. At this time, the uppermost insulating film 126 may be used as an ion implantation mask.

상기 채널 패턴(151)의 윗부분에 드레인 영역(D)이 형성될 수 있다. 상기 드레인 영역(D)은 상기 제 2 타입의 도펀트로 도핑될 수 있다. 상기 드레인 영역(D)의 하면은 상기 상부 선택 게이트막(112)의 상면보다 높을 수 있다. 이와는 달리, 상기 드레인 영역(D)의 하면은 상기 상부 선택 게이트막(112)의 상면과 근접한 높이일 수 있다. 상기 드레인 영역(D)은 상기 공통 소오스 영역(102)과 동시에 형성될 수 있다. 이와는 달리, 상기 드레인 영역(D)은 상기 공통 소오스 영역(102)을 형성하기 전 또는 후에 형성될 수 있다. A drain region D may be formed on the channel pattern 151. The drain region (D) may be doped with the second type of dopant. The lower surface of the drain region D may be higher than the upper surface of the upper select gate film 112. Alternatively, the lower surface of the drain region D may be a height close to the upper surface of the upper select gate film 112. The drain region D may be formed simultaneously with the common source region 102. Alternatively, the drain region D may be formed before or after the common source region 102 is formed.

상기 트렌치(135)를 채우는 전극 분리 패턴(175)이 형성될 수 있다. 상기 전극 분리 패턴(175)을 형성하는 것은, 상기 기판(100) 상에 상기 트렌치(135)를 채우는 전극 분리 패턴을 형성하는 것 및 상기 최상층 절연막(126)이 노출될 때까지 평탄화 공정을 수행하는 것을 포함할 수 있다. 상기 전극 분리 패턴(175)은 절연성 물질을 포함할 수 있다. 예컨대, 상기 전극 분리 패턴(175)은 고밀도 플라즈마 산화막, SOG막(Spin On Glass layer) 및/또는 CVD 산화막으로 형성될 수 있다. An electrode separation pattern 175 filling the trench 135 may be formed. The electrode separation pattern 175 may be formed by forming an electrode separation pattern filling the trench 135 on the substrate 100 and performing a planarization process until the uppermost insulation layer 126 is exposed ≪ / RTI > The electrode separation pattern 175 may include an insulating material. For example, the electrode separation pattern 175 may be formed of a high-density plasma oxide layer, a SOG layer (Spin On Glass layer), and / or a CVD oxide layer.

도 2를 다시 참조하여, 상기 드레인 영역(D)과 전기적으로 접속되는 비트 라인(BL)이 형성될 수 있다. 상기 비트 라인(BL)은 상기 제 1 방향으로 연장될 수 있다. 상기 비트 라인(BL)은 상기 최상층 절연막(126) 및 전극 분리 패턴(175) 상에 형성될 수 있다. 이와는 달리, 상기 최상층 절연막(126) 및 전극 분리 패턴(175)을 덮는 층간 유전막을 형성하고, 상기 층간 유전막 상에 상기 비트 라인(BL)을 형성할 수 있다. 이 경우에, 상기 비트 라인(BL)은 상기 층간 유전막을 관통하는 콘택 플러그를 경유하여 상기 드레인 영역(D)과 전기적으로 접속될 수 있다.Referring again to FIG. 2, a bit line BL electrically connected to the drain region D may be formed. The bit line BL may extend in the first direction. The bit line BL may be formed on the uppermost insulating layer 126 and the electrode isolation pattern 175. Alternatively, an interlayer dielectric layer covering the uppermost insulating layer 126 and the electrode isolation pattern 175 may be formed, and the bit line BL may be formed on the interlayer dielectric layer. In this case, the bit line BL may be electrically connected to the drain region D via a contact plug passing through the interlayer dielectric film.

도 2 내지 도 12에서, 상기 상부 및 하부 선택 게이트막(111,112) 모두가 상기 셀 게이트막들(160)의 형성 전에 형성되는 것으로 설명되었으나, 상기 상부 및 하부 선택 게이트막들(111,112) 중 하나는 상기 셀 게이트막들(160)과 동일한 방식으로 형성될 수 있다.Although it has been described with reference to FIGS. 2 to 12 that both the upper and lower selection gate films 111 and 112 are formed before formation of the cell gate films 160, one of the upper and lower selection gate films 111 and 112 And may be formed in the same manner as the cell gate films 160.

본 발명의 제 1 실시예에 따르면, 선택 게이트와 셀 게이트의 절연막을 다른 물질로 형성할 수 있다. 즉, 상기 선택 게이트의 터널 절연막은 전하 저장막을 포함하지 않을 수 있다. 이에 따라, 핫 캐리어(hot carrier)에 의하여 선택 트랜지스터의 문턱 전압이 불안정해지는 것을 방지할 수 있다. 또한, 선택 게이트와 셀 게이트의 물질을 다르게 형성할 수 있다. 또한, 선택 게이트와 셀 게이트를 함께 형성하는 경우 보다 선택 게이트의 게이트 길이(gate length)를 용이하게 조절할 수 있다. 즉, 게이트 교체(gate replacement)를 통하여 선택 게이트를 형성하는 경우, 선택 게이트의 게이트 길이 증가시 도전막이 리세스 영역을 채우지 않고 리세스 영역을 따라 콘포멀하게 형성될 수 있다. 본 발명의 제 1 실시예에 따르면, 이와 같은 문제를 해결할 수 있다. According to the first embodiment of the present invention, the insulating film of the select gate and the cell gate can be formed of different materials. That is, the tunnel insulating film of the selection gate may not include the charge storage film. Accordingly, it is possible to prevent the threshold voltage of the selection transistor from becoming unstable by the hot carrier. In addition, the material of the select gate and the cell gate can be formed differently. Also, the gate length of the select gate can be more easily controlled than the case of forming the select gate and the cell gate together. That is, when the select gate is formed through gate replacement, the conductive film can be conformally formed along the recess region without increasing the recess region when the gate length of the select gate is increased. According to the first embodiment of the present invention, such a problem can be solved.

도 13 내지 도 16을 참조하여, 제 1 실시예의 변형예에 따른 반도체 소자의 제조 방법이 설명된다. 본 실시예의 일부 구조 및 형성 방법은 앞서 제 1 실시예의 그것과 유사하다. 따라서 설명의 간결함을 위해, 중복되는 기술적 특징들에 대한 설명은 아래에서 생략될 수 있다.13 to 16, a method of manufacturing a semiconductor device according to a modification of the first embodiment will be described. Some structures and forming methods of this embodiment are similar to those of the first embodiment. Thus, for brevity's sake, a description of overlapping technical features may be omitted below.

도 13을 참조하여, 도 4의 선택 게이트막들(111,112), 희생막들(SC), 절연막들(120) 및 버퍼 절연막(105)을 연속적으로 패터닝하여 상기 기판(100)을 노출하는 관통 영역(132)이 형성될 수 있다. 상기 관통 영역(132)은 이방성 식각 공정을 이용하여 형성될 수 있다. 상기 관통 영역(132)의 형성시, 상기 기판(100)의 일부가 함께 식각될 수 있다. Referring to FIG. 13, the selective gate films 111 and 112, the sacrificial films SC, the insulating films 120, and the buffer insulating film 105 of FIG. 4 are successively patterned to form through regions (132) may be formed. The through regions 132 may be formed using an anisotropic etching process. At the time of forming the through regions 132, a part of the substrate 100 may be etched together.

도 14를 참조하여, 상기 관통 영역(132)에 의하여 노출된 상기 선택 게이트막(111,112)의 측벽 상에 선택 게이트 절연막(141)이 형성될 수 있다. 상기 선택 게이트 절연막(141)은 열산화 공정(thermal oxidation process)에 의하여 형성될 수 있다. 상기 열산화 공정 시, 노출된 상기 기판(100) 상에 기판 열산화막(142)이 함께 형성될 수 있다. 상기 기판 열산화막(142)은 상기 선택 게이트 절연막(141) 보다 두께가 얇을 수 있다. 즉, 상기 선택 게이트막(111,112)이 폴리 실리콘이고, 상기 기판(100)이 단결정 실리콘인 경우, 열산화 공정에 의한 산화막이 폴리 실리콘인 상기 선택 게이트막(111,112) 상에 보다 두껍게 형성될 수 있다. Referring to FIG. 14, a select gate insulating film 141 may be formed on the sidewalls of the select gate films 111 and 112 exposed by the penetration regions 132. The select gate insulating layer 141 may be formed by a thermal oxidation process. During the thermal oxidation process, a substrate thermal oxidation film 142 may be formed on the exposed substrate 100. The substrate thermal oxide film 142 may be thinner than the select gate insulating film 141. That is, when the select gate films 111 and 112 are polysilicon and the substrate 100 is monocrystalline silicon, an oxide film formed by a thermal oxidation process may be formed thicker on the polysilicon selective gate films 111 and 112 .

도 15를 참조하여, 상기 기판 열산화막(142)의 하면이 제거될 수 있다. 상기 기판 열산화막(142)의 하면의 제거는 직진성이 강한 플라즈마를 이용한 건식 식각을 통하여 수행될 수 있다. 상기 기판 열산화막(142)의 측벽 부분은 잔존할 수 있다. Referring to FIG. 15, the lower surface of the substrate thermally-oxidized film 142 can be removed. The removal of the lower surface of the substrate thermally-oxidized film 142 can be performed by dry etching using a plasma having high linearity. The side wall portion of the substrate thermally-oxidized film 142 may remain.

도 16을 참조하여, 제 1 실시예의 변형예에 따른 반도체 소자가 제공된다. 도 16의 구조는 도 15의 구조에 도 8 내지 도 12를 참조하여 설명된 공정들을 수행하여 달성될 수 있다. 도 12와는 달리 상기 기판 열산화막(142)의 측벽 부분이 상기 기판(100) 상에 잔존할 수 있다. 16, a semiconductor device according to a modification of the first embodiment is provided. The structure of Fig. 16 can be achieved by performing the processes described with reference to Figs. 8 to 12 on the structure of Fig. 12, a side wall portion of the substrate thermally-oxidized film 142 may remain on the substrate 100. Referring to FIG.

도 17 내지 도 19를 참조하여, 제 1 실시예의 다른 변형예에 따른 반도체 소자의 제조 방법이 설명된다. 설명의 간결함을 위해, 중복되는 기술적 특징들에 대한 설명은 생략될 수 있다. 17 to 19, a method of manufacturing a semiconductor device according to another modification of the first embodiment will be described. For brevity's sake, the description of redundant technical features may be omitted.

도 17은 도 14에서 설명된 상기 기판 열산화막(142)의 하면을 제거하는 다른 방법을 나타낸다. 상기 관통 영역(132)의 측벽 상에 스페이서(154)가 형성될 수 있다. 상기 스페이서(154)는 폴리 실리콘으로 형성될 수 있다. 상기 스페이서(154)를 식각 마스크로 상기 기판 열산화막(142)의 하면의 일부가 제거될 수 있다. 상기 기판 열산화막(142)의 하면의 제거시, 상기 기판(100)의 일부가 함께 제거될 수 있다. 상기 식각 공정은 건식 및/또는 습식 식각 공정일 수 있다. 17 shows another method of removing the bottom surface of the substrate thermally-oxidized film 142 described in Fig. Spacers 154 may be formed on the sidewalls of the through regions 132. The spacers 154 may be formed of polysilicon. A part of the lower surface of the substrate thermally-oxidized film 142 can be removed using the spacer 154 as an etching mask. When the lower surface of the substrate thermally-oxidized film 142 is removed, a part of the substrate 100 may be removed together. The etching process may be a dry and / or wet etching process.

도 18을 참조하여, 상기 스페이서(154) 상에 채널 패턴(151)이 형성될 수 있다. 상기 채널 패턴(151) 내부에 상기 관통 영역(132)을 채우는 매립막(155)이 형성될 수 있다. Referring to FIG. 18, a channel pattern 151 may be formed on the spacer 154. A buried layer 155 filling the penetration region 132 may be formed in the channel pattern 151.

도 19를 참조하여, 제 1 실시예의 다른 변형예에 따른 반도체 소자가 제공된다. 도 19의 구조는 도 18의 구조에 도 10 내지 도 12를 참조하여 설명된 공정들을 수행하여 달성될 수 있다. 상기 스페이서(154)와 상기 채널 패턴(151)은 함께 활성 영역을 구성할 수 있다. 이와는 달리, 상기 스페이서(154)가 절연막으로 형성되는 경우, 상기 채널 패턴(151)의 형성 전에 제거될 수 있다. 상기 활성 패턴(159)의 하면에 상기 기판 열산화막(142)의 일부가 잔존할 수 있다.Referring to Fig. 19, a semiconductor device according to another modification of the first embodiment is provided. The structure of Fig. 19 can be achieved by performing the processes described with reference to Figs. 10 to 12 on the structure of Fig. The spacer 154 and the channel pattern 151 may form an active region together. Alternatively, if the spacer 154 is formed of an insulating film, it may be removed before the channel pattern 151 is formed. A part of the substrate thermally-oxidized film 142 may remain on the lower surface of the active pattern 159.

도 20 내지 도 21을 참조하여, 제 1 실시예의 또 다른 변형예에 따른 반도체 소자의 제조 방법이 설명된다. 설명의 간결함을 위해, 중복되는 기술적 특징들에 대한 설명은 생략될 수 있다. 20 to 21, a method of manufacturing a semiconductor device according to still another modification of the first embodiment will be described. For brevity's sake, the description of redundant technical features may be omitted.

도 20은 도 14의 구조로부터 상기 기판 열산화막(142)이 제거된 결과물을 나타낸다. 도 14에 도시된 바와 같이, 상기 기판 열산화막(142)은 상기 선택 게이트 절연막(141) 보다 두께가 얇을 수 있다. 즉, 상기 선택 게이트막(111,112)이 폴리 실리콘이고, 상기 기판(100)이 단결정 실리콘인 경우, 열산화 공정에 의한 산화막이 폴리 실리콘인 상기 선택 게이트막(111,112) 상에 보다 두껍게 형성될 수 있다. 따라서, 선택 게이트 절연막(141) 및 상기 기판 열산화막(142)을 함께 식각 시, 상기 선택 게이트 절연막(141)이 잔존할 수 있다. 상기 선택 게이트 절연막(141)은 식각 전 보다 두께가 얇아질 수 있다. 상기 식각 공정 시, 상기 절연막들(120)의 측벽들이 함께 식각될 수 있다. 상기 식각 공정은 산화막에 대하여 식각 선택성를 갖는 식각액으로 수행될 수 있다. 상기 선택적 식각 공정에 의하여 상기 관통 영역(132)의 측벽에 요철이 발생할 수 있다.Fig. 20 shows the result of removing the substrate thermally-oxidized film 142 from the structure of Fig. As shown in FIG. 14, the substrate thermal oxide film 142 may be thinner than the select gate insulating film 141. That is, when the select gate films 111 and 112 are polysilicon and the substrate 100 is monocrystalline silicon, an oxide film formed by a thermal oxidation process may be formed thicker on the polysilicon selective gate films 111 and 112 . Therefore, when the selective gate insulating film 141 and the substrate thermal oxidation film 142 are etched together, the selective gate insulating film 141 may remain. The select gate insulating layer 141 may be thinner than before the etching. During the etching process, the sidewalls of the insulating films 120 may be etched together. The etching process may be performed with an etchant having etch selectivity to the oxide film. The sidewall of the through region 132 may be irregularly formed by the selective etching process.

도 21를 참조하여, 제 1 실시예의 또 다른 변형예에 따른 반도체 소자가 제공된다. 도 21의 구조는 도 20의 구조에 도 8 내지 도 12를 참조하여 설명된 공정들을 수행하여 달성될 수 있다. Referring to Fig. 21, there is provided a semiconductor device according to still another modification of the first embodiment. The structure of Fig. 21 can be achieved by performing the processes described with reference to Figs. 8 to 12 on the structure of Fig.

도 22를 참조하여, 본 발명의 제 2 실시예에 따른 반도체 소자가 제공된다. 설명의 간결함을 위해, 중복되는 기술적 특징들에 대한 설명은 생략될 수 있다. 22, a semiconductor device according to a second embodiment of the present invention is provided. For brevity's sake, the description of redundant technical features may be omitted.

활성 패턴(159)은 상기 활성 패턴(159)의 측벽으로부터 상기 선택 게이트막들(111,112) 쪽으로 돌출되는 연장부(E)를 더 포함할 수 있다. 제 2 실시예에서, 관통 영역(133)은 제 2 방향으로 연장하는 트렌치 형태일 수 있다. 상기 활성 패턴(159)은 상기 관통 영역(133)의 측벽들을 따라 형성된 채널 패턴(151) 및 상기 관통 영역(133)을 채우는 매립막(155)을 포함할 수 있다. 상기 제 2 방향으로 배치된 상기 활성 패턴들(159)은 매립 기둥(176)에 의하여 서로 분리될 수 있다. The active pattern 159 may further include an extension E protruding from the sidewall of the active pattern 159 toward the select gate films 111 and 112. In the second embodiment, the penetration area 133 may be in the form of a trench extending in the second direction. The active pattern 159 may include a channel pattern 151 formed along sidewalls of the through region 133 and a buried layer 155 filling the through region 133. The active patterns 159 arranged in the second direction may be separated from each other by the buried pillars 176.

도 23 내지 도 30을 참조하여, 본 발명의 제 2 실시예에 따른 반도체 소자의 제조 방법이 설명된다. 23 to 30, a method of manufacturing a semiconductor device according to a second embodiment of the present invention is described.

도 23을 참조하여, 도 4에서 설명된 선택 게이트막들(111,112)의 측벽이 리세스될 수 있다. 즉, 상기 관통 영역(133)에 의하여 노출된 상기 선택 게이트막(111,112)의 측벽이 식각될 수 있다. 상기 식각 공정은 실리콘에 대한 선택 식각성을 갖는 에칭액으로 수행될 수 있다. Referring to Fig. 23, the sidewalls of the select gate films 111 and 112 described in Fig. 4 can be recessed. That is, the sidewalls of the select gate films 111 and 112 exposed by the penetration region 133 can be etched. The etching process may be performed with an etchant having selectivity to silicon.

도 24를 참조하여, 상기 관통 영역(133)에 의하여 노출된 상기 선택 게이트막(111,112)의 측벽 상에 선택 게이트 절연막(141)이 형성될 수 있다. 상기 선택 게이트 절연막(141)은 열산화 공정(thermal oxidation process)에 의하여 형성될 수 있다. Referring to FIG. 24, a selective gate insulating film 141 may be formed on the sidewalls of the select gate films 111 and 112 exposed by the penetration regions 133. The select gate insulating layer 141 may be formed by a thermal oxidation process.

도 25를 참조하여, 상기 관통 영역(133)에 의하여 노출된 상기 버퍼 절연막(105)을 제거하여 상기 기판(100)을 노출시킬 수 있다. 상기 버퍼 절연막(105)의 제거시, 상기 기판(100)의 일부가 함께 리세스될 수 있다. 일 예로, 상기 버퍼 절연막(105)의 제거는 직진성이 강한 플라즈마를 이용한 건식 식각을 통하여 수행될 수 있다. Referring to FIG. 25, the buffer insulating layer 105 exposed by the penetration region 133 may be removed to expose the substrate 100. When the buffer insulating layer 105 is removed, a part of the substrate 100 may be recessed together. For example, the removal of the buffer insulating layer 105 may be performed by dry etching using a plasma having a strong directivity.

도 26을 참조하여, 상기 관통 영역(133)의 측벽 및 하부를 따라 채널 패턴(151)이 형성될 수 있다. 상기 채널 패턴(151)은 실리콘으로 형성될 수 있다. 상기 선택 게이트막(111,112)의 측벽 상에 제공되는 상기 채널 패턴(151)의 부분은 상기 희생막들(SC)의 측벽 상에 제공되는 상기 채널 패턴(151)의 부분 보다 함몰될 수 있다.Referring to FIG. 26, a channel pattern 151 may be formed along the side wall and the bottom of the through region 133. The channel pattern 151 may be formed of silicon. A portion of the channel pattern 151 provided on the sidewalls of the select gate films 111 and 112 may be recessed than a portion of the channel pattern 151 provided on the sidewalls of the sacrificial films SC.

도 27을 참조하여, 상기 채널 패턴(151) 상에 상기 관통 영역(133)을 채우는 매립막(155)이 형성될 수 있다. 상기 매립막(155)은 질화막 또는 산화질화막일 수 있다. 상기 채널 패턴(151)과 상기 매립막(155)은 활성 패턴(159)을 구성할 수 있다. 상기 채널 패턴 (151) 및 상기 매립막(155)은 CVD 또는 ALD를 통하여 형성될 수 있다. Referring to FIG. 27, a buried layer 155 filling the penetration region 133 may be formed on the channel pattern 151. The buried film 155 may be a nitride film or a nitride oxide film. The channel pattern 151 and the buried layer 155 may constitute an active pattern 159. The channel pattern 151 and the buried layer 155 may be formed through CVD or ALD.

도 28을 참조하여, 상기 채널 패턴(151), 상기 매립막(155), 상기 선택 게이트막(111,112), 상기 절연막들(120) 및 상기 희생막들(SC)을 연속적으로 패터닝하여 트렌치(135)를 형성할 수 있다. 상기 트렌치(135)를 형성하는 것은 이방성 식각 공정에 의해 수행될 수 있다. 상기 트렌치(135)는 상기 제 2 방향으로 나란히 연장될 수 있다. 이로써, 상기 선택 게이트막(111,112), 상기 절연막들(120) 및 상기 희생막들(SC)은 상기 제 2 방향으로 나란히 연장된 라인 형태가 될 수 있다. 상기 트렌치(135)의 바닥에 상기 기판(100)이 노출될 수 있다. 상기 트렌치(135)의 형성시 상기 기판(100)의 일부가 함께 패너닝될 수 있다.  28, the channel pattern 151, the embedded film 155, the select gate films 111 and 112, the insulating films 120 and the sacrificial films SC are successively patterned to form the trenches 135 ) Can be formed. The formation of the trenches 135 may be performed by an anisotropic etching process. The trenches 135 may extend in parallel in the second direction. Thus, the select gate films 111 and 112, the insulating films 120, and the sacrificial films SC may be in the form of a line extending in parallel in the second direction. The substrate 100 may be exposed to the bottom of the trench 135. A portion of the substrate 100 may be panned together when the trench 135 is formed.

도 29를 참조하여, 상기 트렌치(135)에 노출된 희생 막들(SC)을 선택적 식각 공정에 의하여 제거하여, 리세스 영역들(150)을 형성할 수 있다. 상기 선택적 식각 공정은 등방성 식각일 수 있다. 상기 선택적 식각 공정은 습식 식각 및/또는 등방성 건식 식각으로 수행될 수 있다. 상기 선택적 식각 공정에 의한 상기 희생막들(SC)의 식각율은 상기 절연막들(120), 상기 선택 게이트막(111,112) 및 상기 채널 패턴(151)의 식각율들 보다 클 수 있다. 이에 따라, 상기 선택적 식각 공정을 수행한 후에, 상기 절연막들(120), 상기 선택 게이트막(111,112) 및 상기 활성 패턴(159)이 잔존될 수 있다. 상기 리세스 영역들(150)은 상기 희생막들(SC)과 접하던 상기 채널 패턴(151)의 측벽의 일부분들을 각각 노출시킬 수 있다.Referring to FIG. 29, the sacrificial films SC exposed to the trenches 135 may be removed by a selective etching process to form the recessed regions 150. The selective etching process may be isotropic etching. The selective etching process may be performed by wet etching and / or isotropic dry etching. The etch rate of the sacrificial films SC by the selective etching process may be greater than the etch rates of the insulating films 120, the select gate films 111 and 112, and the channel patterns 151. Accordingly, after the selective etching process, the insulating films 120, the selective gate films 111 and 112, and the active patterns 159 may remain. The recessed regions 150 may expose portions of the sidewalls of the channel pattern 151 that are in contact with the sacrificial layers SC.

도 30을 참조하면, 상기 리세스 영역들(150)이 형성된 후, 상기 기판(100) 상에 정보 저장막(170)이 형성될 수 있다. 상기 정보 저장막(170)은 우수한 단차 도포성을 제공할 수 있는 증착 기술(예컨대, CVD 또는 ALD)을 사용하여 형성될 수 있다. 상기 리세스 영역들(150)을 채우는 셀 게이트막(161~164:160)이 형성될 수 있다. 상기 정보 저장막(170)을 형성 한 후, 상기 기판(100) 상에 게이트 도전막(미도시)이 형성될 수 있다. 상기 게이트 도전막의 형성 후, 상기 리세스 영역들(150)의 외부에 위치한 상기 게이트 도전막을 제거하여, 상기 리세스 영역들(150) 내에 셀 게이트막들(160)이 형성될 수 있다. 상기 식각 공정에 의하여 상기 기판(100)의 상부면으로부터 수직으로 다른 층에 위치한 상기 셀 게이트막들(161~164)은 서로 분리될 수 있다. Referring to FIG. 30, after the recessed regions 150 are formed, the information storage layer 170 may be formed on the substrate 100. The information storage film 170 may be formed using a deposition technique (e.g., CVD or ALD) capable of providing excellent step coverage. Cell gate films 161 to 164: 160 filling the recessed regions 150 may be formed. After the information storage layer 170 is formed, a gate conductive layer (not shown) may be formed on the substrate 100. After the formation of the gate conductive layer, the gate conductive layer located outside the recessed regions 150 may be removed to form the cell gate layers 160 in the recessed regions 150. The cell gate films 161 to 164 located on different layers vertically from the upper surface of the substrate 100 by the etching process can be separated from each other.

상기 트렌치(135)의 바닥면 아래의 상기 기판(100) 내에 공통 소오스 영역(102)이 형성될 수 있다. 공통 소오스 영역(102)은 상기 제 2 방향으로 연장된 라인 형태일 수 있다. 상기 공통 소오스 영역(102)은 제 2 타입의 도펀트로 도핑된 영역이다. 상기 공통 소오스 영역(102)은 상기 기판(100)에 제 2 타입의 도펀트 이온들을 주입하여 형성될 수 있다. 이때, 상기 최상층 절연막(126)이 이온 주입 마스크로 사용될 수 있다. A common source region 102 may be formed in the substrate 100 below the bottom surface of the trench 135. The common source region 102 may be in the form of a line extending in the second direction. The common source region 102 is a doped region of the second type dopant. The common source region 102 may be formed by implanting a second type of dopant ions into the substrate 100. At this time, the uppermost insulating film 126 may be used as an ion implantation mask.

상기 채널 패턴(151)의 윗부분에 드레인 영역(D)이 형성될 수 있다. 상기 드레인 영역(D)은 상기 제 2 타입의 도펀트로 도핑될 수 있다. 상기 드레인 영역(D)의 하면은 상기 상부 선택 게이트막(112)의 상면보다 높을 수 있다. 이와는 달리, 상기 드레인 영역(D)의 하면은 상기 상부 선택 게이트막(112)의 상면과 근접한 높이일 수 있다. 상기 드레인 영역(D)은 상기 공통 소오스 영역(102)과 동시에 형성될 수 있다. 이와는 달리, 상기 드레인 영역(D)은 상기 공통 소오스 영역(102)을 형성하기 전 또는 후에 형성될 수 있다. A drain region D may be formed on the channel pattern 151. The drain region (D) may be doped with the second type of dopant. The lower surface of the drain region D may be higher than the upper surface of the upper select gate film 112. Alternatively, the lower surface of the drain region D may be a height close to the upper surface of the upper select gate film 112. The drain region D may be formed simultaneously with the common source region 102. Alternatively, the drain region D may be formed before or after the common source region 102 is formed.

상기 트렌치(135)를 채우는 전극 분리 패턴(175)이 형성될 수 있다. 상기 전극 분리 패턴(175)을 형성하는 것은, 상기 기판(100) 상에 상기 트렌치(135)를 채우는 전극 분리 패턴을 형성하는 것 및 상기 최상층 절연막(126)이 노출될 때까지 평탄화 공정을 수행하는 것을 포함할 수 있다. 상기 전극 분리 패턴(175)은 절연성 물질을 포함할 수 있다. 예컨대, 상기 전극 분리 패턴(175)은 고밀도 플라즈마 산화막, SOG막(Spin On Glass layer) 및/또는 CVD 산화막으로 형성될 수 있다. An electrode separation pattern 175 filling the trench 135 may be formed. The electrode separation pattern 175 may be formed by forming an electrode separation pattern filling the trench 135 on the substrate 100 and performing a planarization process until the uppermost insulation layer 126 is exposed ≪ / RTI > The electrode separation pattern 175 may include an insulating material. For example, the electrode separation pattern 175 may be formed of a high-density plasma oxide layer, a SOG layer (Spin On Glass layer), and / or a CVD oxide layer.

상기 제 2 실시예는 도 13 내지 도 21을 참조하여 설명된 제 1 실시예의 변형예들과 같이 변형될 수 있다. The second embodiment can be modified as the modifications of the first embodiment described with reference to Figs.

도 31은 본 발명의 실시예들의 제조 방법에 따라 제조된 반도체 메모리 장치를 포함하는 메모리 시스템의 일 예를 나타내는 개략 블록도이다. 31 is a schematic block diagram showing an example of a memory system including a semiconductor memory device manufactured according to the manufacturing method of the embodiments of the present invention.

도 31을 참조하면, 메모리 시스템(1100)은 PDA, 포터블(portable) 컴퓨터, 웹 타블렛(web tablet), 무선 전화기(wireless phone), 모바일 폰(mobile phone), 디지털 뮤직 플레이어(digital music player), 메모리 카드(memory card), 또는 정보를 무선환경에서 송신 및/또는 수신할 수 있는 모든 소자에 적용될 수 있다.31, the memory system 1100 may be a PDA, a portable computer, a web tablet, a wireless phone, a mobile phone, a digital music player, A memory card, or any device capable of transmitting and / or receiving information in a wireless environment.

메모리 시스템(1100)은 컨트롤러(1110), 키패드(keypad), 키보드 및 디스플레이와 같은 입출력 장치(1120), 메모리(1130), 인터페이스(1140), 및 버스(1150)를 포함한다. 메모리(1130)와 인터페이스(1140)는 버스(1150)를 통해 상호 소통된다.The memory system 1100 includes an input / output device 1120 such as a controller 1110, a keypad, a keyboard and a display, a memory 1130, an interface 1140, and a bus 1150. Memory 1130 and interface 1140 are in communication with one another via bus 1150.

컨트롤러(1110)는 적어도 하나의 마이크로 프로세서, 디지털 시그널 프로세서, 마이크로 컨트롤러, 또는 그와 유사한 다른 프로세스 장치들을 포함한다. 메모리(1130)는 컨트롤러에 의해 수행된 명령을 저장하는 데에 사용될 수 있다. 입출력 장치(1120)는 시스템(1100) 외부로부터 데이터 또는 신호를 입력받거나 또는 시스템(1100) 외부로 데이터 또는 신호를 출력할 수 있다. 예를 들어, 입출력 장치(1120)는 키보드, 키패드 또는 디스플레이 소자를 포함할 수 있다.The controller 1110 includes at least one microprocessor, digital signal processor, microcontroller, or other similar process device. Memory 1130 may be used to store instructions executed by the controller. The input / output device 1120 may receive data or signals from outside the system 1100, or may output data or signals outside the system 1100. For example, the input / output device 1120 may include a keyboard, a keypad, or a display device.

메모리(1130)는 본 발명의 실시예들에 따른 비휘발성 메모리 소자를 포함한다. 메모리(1130)는 또한 다른 종류의 메모리, 임의의 수시 접근이 가능한 휘발성 메모리, 기타 다양한 종류의 메모리를 더 포함할 수 있다.Memory 1130 includes a non-volatile memory device in accordance with embodiments of the present invention. Memory 1130 may also include other types of memory, volatile memory that may be accessed at any time, and various other types of memory.

인터페이스(1140)는 데이터를 통신 네트워크로 송출하거나, 네트워크로부터 데이터를 받는 역할을 한다.The interface 1140 serves to transmit data to and receive data from the communication network.

도 32는 본 발명의 실시예들의 제조 방법에 따라 제조된 반도체 메모리 장치를 구비하는 메모리 카드의 일 예를 나타내는 개략 블록도이다. 32 is a schematic block diagram showing an example of a memory card having a semiconductor memory device manufactured according to the manufacturing method of the embodiments of the present invention.

도 32를 참조하면, 고용량의 데이터 저장 능력을 지원하기 위한 메모리 카드(1200)는 본 발명에 따른 플래시 메모리 장치(1210)를 장착한다. 본 발명에 따른 메모리 카드(1200)는 호스트(Host)와 플래시 메모리 장치(1210) 간의 제반 데이터 교환을 제어하는 메모리 컨트롤러(1220)를 포함한다. Referring to FIG. 32, a memory card 1200 for supporting a high capacity data storage capability mounts a flash memory device 1210 according to the present invention. The memory card 1200 according to the present invention includes a memory controller 1220 that controls the exchange of all data between the host and the flash memory device 1210.

SRAM(1221)은 프로세싱 유닛(1222)의 동작 메모리로써 사용된다. 호스트 인터페이스(1223)는 메모리 카드(1200)와 접속되는 호스트의 데이터 교환 프로토콜을 구비한다. 에러 정정 블록(1224)은 멀티 비트 플래시 메모리 장치(1210)로부터 독출된 데이터에 포함되는 에러를 검출 및 정정한다. 메모리 인터페이스(1225)는 본 발명의 플래시 메모리 장치(1210)와 인터페이싱 한다. 프로세싱 유닛(1222)은 메모리 컨트롤러(1220)의 데이터 교환을 위한 제반 제어 동작을 수행한다. 비록 도면에는 도시되지 않았지만, 본 발명에 따른 메모리 카드(1200)는 호스트(Host)와의 인터페이싱을 위한 코드 데이터를 저장하는 ROM(미도시됨) 등이 더 제공될 수 있음은 이 분야의 통상적인 지식을 습득한 자들에게 자명하다. The SRAM 1221 is used as the operating memory of the processing unit 1222. The host interface 1223 has a data exchange protocol of a host connected to the memory card 1200. Error correction block 1224 detects and corrects errors contained in data read from multi-bit flash memory device 1210. The memory interface 1225 interfaces with the flash memory device 1210 of the present invention. The processing unit 1222 performs all control operations for data exchange of the memory controller 1220. Although it is not shown in the drawing, the memory card 1200 according to the present invention may be further provided with a ROM (not shown) or the like for storing code data for interfacing with a host, To those who have learned.

도 33은 본 발명의 실시예들의 제조 방법에 따라 제조된 반도체 메모리 장치를 장착하는 정보 처리 시스템의 일 예를 나타내는 개략 블록도이다. 33 is a schematic block diagram showing an example of an information processing system for mounting a semiconductor memory device manufactured according to the manufacturing method of the embodiments of the present invention.

도 33을 참조하면, 모바일 기기나 데스크 톱 컴퓨터와 같은 정보 처리 시스템에 본 발명의 플래시 메모리 시스템(1310)이 장착된다. 본 발명에 따른 정보 처리 시스템(1300)은 플래시 메모리 시스템(1310)과 각각 시스템 버스(760)에 전기적으로 연결된 모뎀(1320), 중앙처리장치(1330), 램(1340), 유저 인터페이스(1350)를 포함한다. 플래시 메모리 시스템(1310)은 앞서 언급된 메모리 시스템 또는 플래시 메모리 시스템과 실질적으로 동일하게 구성될 것이다. 플래시 메모리 시스템(1310)에는 중앙처리장치(1330)에 의해서 처리된 데이터 또는 외부에서 입력된 데이터가 저장된다. 여기서, 상술한 플래시 메모리 시스템(1310)이 반도체 디스크 장치(SSD)로 구성될 수 있으며, 이 경우 정보 처리 시스템(1300)은 대용량의 데이터를 플래시 메모리 시스템(1310)에 안정적으로 저장할 수 있다. 그리고 신뢰성의 증대에 따라, 플래시 메모리 시스템(1310)은 에러 정정에 소요되는 자원을 절감할 수 있어 고속의 데이터 교환 기능을 정보 처리 시스템(1300)에 제공할 것이다. 도시되지 않았지만, 본 발명에 따른 정보 처리 시스템(1300)에는 응용 칩셋(Application Chipset), 카메라 이미지 프로세서(Camera Image Processor: CIS), 입출력 장치 등이 더 제공될 수 있음은 이 분야의 통상적인 지식을 습득한 자들에게 자명하다.Referring to FIG. 33, the flash memory system 1310 of the present invention is installed in an information processing system such as a mobile device or a desktop computer. An information processing system 1300 according to the present invention includes a flash memory system 1310 and a modem 1320, a central processing unit 1330, a RAM 1340, a user interface 1350, . The flash memory system 1310 will be configured substantially the same as the memory system or flash memory system mentioned above. The flash memory system 1310 stores data processed by the central processing unit 1330 or externally input data. In this case, the above-described flash memory system 1310 may be configured as a semiconductor disk device (SSD), in which case the information processing system 1300 can stably store a large amount of data in the flash memory system 1310. As the reliability increases, the flash memory system 1310 can save resources required for error correction and provide a high-speed data exchange function to the information processing system 1300. Although not shown, the information processing system 1300 according to the present invention can be provided with an application chipset, a camera image processor (CIS), an input / output device, and the like. It is clear to those who have learned.

또한, 본 발명에 따른 플래시 메모리 장치 또는 메모리 시스템은 다양한 형태들의 패키지로 실장 될 수 있다. 예를 들면, 본 발명에 따른 플래시 메모리 장치 또는 메모리 시스템은 PoP(Package on Package), Ball grid arrays(BGAs), Chip scale packages(CSPs), Plastic Leaded Chip Carrier(PLCC), Plastic Dual In-Line Package(PDIP), Die in Waffle Pack, Die in Wafer Form, Chip On Board(COB), Ceramic Dual In-Line Package(CERDIP), Plastic Metric Quad Flat Pack(MQFP), Thin Quad Flatpack(TQFP), Small Outline(SOIC), Shrink Small Outline Package(SSOP), Thin Small Outline(TSOP), Thin Quad Flatpack(TQFP), System In Package(SIP), Multi Chip Package(MCP), Wafer-level Fabricated Package(WFP), Wafer-Level Processed Stack Package(WSP) 등과 같은 방식으로 패키지화되어 실장될 수 있다.Further, the flash memory device or memory system according to the present invention can be mounted in various types of packages. For example, the flash memory device or the memory system according to the present invention may be implemented as a package on package (PoP), ball grid arrays (BGAs), chip scale packages (CSPs), plastic leaded chip carriers (PDIP), Die in Waffle Pack, Die in Wafer Form, Chip On Board (COB), Ceramic Dual In-Line Package (CERDIP), Plastic Metric Quad Flat Pack (MQFP), Thin Quad Flatpack (TQFP) SOIC), Shrink Small Outline Package (SSOP), Thin Small Outline (TSOP), Thin Quad Flatpack (TQFP), System In Package (SIP), Multi Chip Package (MCP), Wafer-level Fabricated Package Level Processed Stack Package (WSP) or the like.

이상, 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.While the present invention has been described in connection with what is presently considered to be practical exemplary embodiments, it is to be understood that the invention is not limited to the disclosed embodiments, but, on the contrary, It will be understood. It is therefore to be understood that the above-described embodiments are illustrative and not restrictive in every respect.

100, 200: 기판 105, 205: 버퍼 절연막
111,112: 선택 게이트막 120: 절연막
141:선택 게이트 절연막 142: 기판 열산화막
159: 활성 패턴 160: 셀 게이트막
170: 정보 저장막
100, 200: substrate 105, 205: buffer insulating film
111, 112: selection gate film 120: insulating film
141: select gate insulating film 142: substrate thermal oxide film
159: active pattern 160: cell gate film
170: Information storage film

Claims (10)

기판 상에 희생막들 및 선택 게이트막을 포함하는 적층 구조체를 형성하는 것;
상기 적층 구조체를 관통하는 관통 영역을 형성하는 것;
상기 관통 영역에 의하여 노출된 상기 선택 게이트막의 측벽 상에 선택 게이트 절연막을 형성하는 것;
상기 관통 영역 내에 활성 패턴을 형성하는 것;
상기 희생막들을 제거하여 상기 활성 패턴의 측벽의 일부를 노출하는 것; 및
상기 노출된 활성 패턴의 측벽의 일부 상에 정보 저장막을 형성하는 것을 포함하는 반도체 소자의 제조 방법.
Forming a stacked structure including sacrificial films and a selective gate film on a substrate;
Forming a through region through the laminated structure;
Forming a selective gate insulating film on a sidewall of the select gate film exposed by the through region;
Forming an active pattern in the through region;
Removing the sacrificial layers to expose a portion of the sidewalls of the active pattern; And
And forming an information storage film on a part of the side wall of the exposed active pattern.
제 1 항에 있어서, 상기 선택 게이트 절연막은 상기 활성 패턴의 형성 전에 형성되고, 상기 정보 저장막은 상기 활성 패턴의 형성 후에 형성되는 것을 특징으로 하는 반도체 소자의 제조 방법.The method of manufacturing a semiconductor device according to claim 1, wherein the select gate insulating film is formed before formation of the active pattern, and the information storage film is formed after formation of the active pattern. 제 1 항에 있어서, 상기 선택 게이트막은 폴리실리콘으로 형성되는 반도체 소자의 제조 방법.The method of manufacturing a semiconductor device according to claim 1, wherein the select gate film is formed of polysilicon. 제 1 항에 있어서, 상기 선택 게이트 절연막을 형성하는 것은 열산화 공정에 의해 수행되는 반도체 소자의 제조 방법.The method of manufacturing a semiconductor device according to claim 1, wherein forming the select gate insulating film is performed by a thermal oxidation process. 제 1 항에 있어서, 상기 선택 게이트막을 형성하는 것은:
상기 희생막들과 상기 기판 사이에 하부 선택 게이트막을 형성하는 것; 및
상기 희생막들 상에 상부 선택 게이트막을 형성하는 것을 포함하는 반도체 소자의 제조 방법.
The method of claim 1, wherein forming the select gate film comprises:
Forming a lower selection gate film between the sacrificial films and the substrate; And
And forming an upper select gate film on the sacrificial films.
제 1 항에 있어서, 상기 기판과 상기 적층 구조체 사이에 버퍼 절연막을 형성하는 것을 더 포함하는 반도체 소자의 제조 방법.The method according to claim 1, further comprising forming a buffer insulating film between the substrate and the laminated structure. 제 6 항에 있어서, 상기 관통 영역을 형성하는 것은 상기 버퍼 절연막을 노출시키는 것을 포함하고,
상기 선택 게이트 절연막을 형성한 후, 상기 관통 영역에 의하여 노출된 상기 버퍼 절연막을 제거하여 상기 기판을 노출하는 것을 더 포함하는 반도체 소자의 제조 방법.
7. The semiconductor memory device according to claim 6, wherein forming the penetrating region includes exposing the buffer insulating film,
Further comprising removing the buffer insulating layer exposed by the through region after the formation of the select gate insulating layer to expose the substrate.
제 1 항에 있어서, 상기 선택 게이트 절연막의 형성 전, 상기 관통 영역에 의하여 노출된 상기 선택 게이트막의 측벽을 리세스 하는 것을 더 포함하는 반도체 소자의 제조 방법.The method of manufacturing a semiconductor device according to claim 1, further comprising, before formation of the select gate insulating film, recessing a sidewall of the select gate film exposed by the penetration region. 기판 상에 수직적으로 배치된 활성 패턴;
상기 기판 상에 배치된 제 1 선택 게이트층 및 제 2 선택 게이트층;
상기 제 1 선택 게이트층과 상기 제 2 선택 게이트층 사이에서 절연층들을 개재하여 수직적으로 적층된 복수 개의 셀 게이트층들;
상기 활성 패턴과 상기 제 1 선택 게이트층 사이에 배치된 선택 게이트 절연막;
상기 활성 패턴과 상기 셀 게이트층들 사이에 배치된 터널 절연막; 및
상기 터널 절연막과 상기 셀 게이트층들 사이에 각각 배치된 블록킹 절연막들을 포함하되,
상기 제 1 및 제 2 선택 게이트층들 및 상기 셀 게이트층들은 도전 물질을 포함하고,
상기 제 1 및 제 2 선택 게이트층들의 하면들 및 상면들은 상기 절연층들과 직접 접촉하고,
상기 블록킹 절연막들은 상기 셀 게이트층들의 측벽들과 상기 터널 절연막 사이에서 상기 셀 게이트층들의 상면들과 상기 절연층들 사이와 상기 셀 게이트층들의 하면들과 상기 절연층들 사이로 각각 연장되고,
상기 제 1 선택 게이트층의 측벽은 상기 셀 게이트층의 측벽에 비해 상기 기판의 상면에 평행한 수평 방향으로 리세스되고,
상기 활성 패턴은 상기 제 1 선택 게이트층의 상기 측벽을 향해 돌출된 연장부를 포함하고,
상기 기판의 상면에 평행한 방향에서, 상기 선택 게이트 절연막은 상기 터널 절연막보다 두꺼운 반도체 소자.
An active pattern vertically disposed on a substrate;
A first select gate layer and a second select gate layer disposed on the substrate;
A plurality of cell gate layers vertically stacked with insulating layers between the first select gate layer and the second select gate layer;
A selective gate insulating film disposed between the active pattern and the first select gate layer;
A tunnel insulating layer disposed between the active pattern and the cell gate layers; And
And blocking insulating films respectively disposed between the tunnel insulating film and the cell gate layers,
Wherein the first and second select gate layers and the cell gate layers comprise a conductive material,
The lower surfaces and upper surfaces of the first and second select gate layers are in direct contact with the insulating layers,
The blocking insulating films extending between upper surfaces of the cell gate layers and between the insulating layers and between the lower surfaces of the cell gate layers and the insulating layers between the sidewalls of the cell gate layers and the tunnel insulating film,
The sidewall of the first select gate layer is recessed in a horizontal direction parallel to the upper surface of the substrate, as compared to the sidewall of the cell gate layer,
Wherein the active pattern comprises an extension protruding toward the sidewall of the first select gate layer,
Wherein the select gate insulating film is thicker than the tunnel insulating film in a direction parallel to the upper surface of the substrate.
제 9 항에 있어서,
상기 기판의 상면에 대해 수직한 방향에서, 상기 제 1 선택 게이트층은 상기 셀 게이트층들보다 두껍고,
상기 셀 게이트층들은 금속, 금속 실리사이드, 도전성 금속 질화물 중 선택된 적어도 하나를 포함하고, 상기 제 1 및 제 2 선택 게이트층들은 폴리 실리콘을 포함하는 반도체 소자.
10. The method of claim 9,
In a direction perpendicular to the top surface of the substrate, the first select gate layer is thicker than the cell gate layers,
Wherein the cell gate layers comprise at least one of a metal, a metal suicide, and a conductive metal nitride, and wherein the first and second select gate layers comprise polysilicon.
KR1020170082372A 2017-06-29 2017-06-29 Semiconductor memory device and method of forming the same KR101818675B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020170082372A KR101818675B1 (en) 2017-06-29 2017-06-29 Semiconductor memory device and method of forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170082372A KR101818675B1 (en) 2017-06-29 2017-06-29 Semiconductor memory device and method of forming the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020100063539A Division KR20120002832A (en) 2010-07-01 2010-07-01 Semiconductor memory device and method of forming the same

Publications (2)

Publication Number Publication Date
KR20170081599A KR20170081599A (en) 2017-07-12
KR101818675B1 true KR101818675B1 (en) 2018-01-17

Family

ID=59353151

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170082372A KR101818675B1 (en) 2017-06-29 2017-06-29 Semiconductor memory device and method of forming the same

Country Status (1)

Country Link
KR (1) KR101818675B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11594486B2 (en) 2020-07-27 2023-02-28 SK Hynix Inc. Semiconductor device and manufacturing method of semiconductor device
US11832445B2 (en) 2020-09-21 2023-11-28 SK Hynix Inc. Semiconductor device and manufacturing method of the semiconductor device

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102644525B1 (en) * 2018-11-07 2024-03-07 삼성전자주식회사 A vertical semiconductor device
KR20210092091A (en) * 2020-01-15 2021-07-23 에스케이하이닉스 주식회사 Semiconductor memory device and manufacturing method thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090121271A1 (en) * 2007-11-08 2009-05-14 Samsung Electronics Co., Ltd. Vertical-type non-volatile memory devices
US20100155810A1 (en) * 2008-12-24 2010-06-24 Samsung Electronics Co., Ltd. Multi-layer nonvolatile memory devices having vertical charge storage regions

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090121271A1 (en) * 2007-11-08 2009-05-14 Samsung Electronics Co., Ltd. Vertical-type non-volatile memory devices
US20100155810A1 (en) * 2008-12-24 2010-06-24 Samsung Electronics Co., Ltd. Multi-layer nonvolatile memory devices having vertical charge storage regions

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11594486B2 (en) 2020-07-27 2023-02-28 SK Hynix Inc. Semiconductor device and manufacturing method of semiconductor device
US11967555B2 (en) 2020-07-27 2024-04-23 SK Hynix Inc. Semiconductor device and manufacturing method of semiconductor device
US11832445B2 (en) 2020-09-21 2023-11-28 SK Hynix Inc. Semiconductor device and manufacturing method of the semiconductor device

Also Published As

Publication number Publication date
KR20170081599A (en) 2017-07-12

Similar Documents

Publication Publication Date Title
US9991275B2 (en) Semiconductor memory device
US9899411B2 (en) Three-dimensional semiconductor memory device and method for fabricating the same
KR102323571B1 (en) Semiconductor device and method of manufacturing the same
KR102234799B1 (en) Semiconductor device
KR102154784B1 (en) Semiconductor device and method of manufacturing the same
US9466612B2 (en) Semiconductor memory devices and methods of forming the same
KR101826221B1 (en) Semiconductor memory device and method of forming the same
KR102571561B1 (en) Three-dimensional semiconductor devices
KR101835114B1 (en) Three Dimensional Semiconductor Device And Method Of Fabricating The Same
KR101597686B1 (en) 3 Three Dimensional Semiconductor Memory Device And Method Of Fabricating The Same
US20110298038A1 (en) Three dimensional semiconductor device
KR20130116604A (en) Three dimensional semiconductor memory device and method of fabricating the same
KR20140024632A (en) Three dimensional semiconductor memory device method for manufacturing the same
KR20160049159A (en) Semiconductor device and method of manufacturing the same
KR20120078958A (en) Method of fabricating three dimensional semiconductor memory device
KR20120122764A (en) Methods of forming semiconductor memory devices
KR102082321B1 (en) Semiconductor device and method of manufacturing the same
KR102031179B1 (en) Three dimensional semiconductor memory device Method for manufacturing the same
KR101818675B1 (en) Semiconductor memory device and method of forming the same
KR20130130480A (en) Three dimensional semiconductor memory device method for manufacturing the same
KR20140088424A (en) Semiconductor Memory Device And Method Of Fabricating The Same
KR102054258B1 (en) Three Dimensional Semiconductor Device And Method Of Fabricating The Same
KR101677740B1 (en) Semiconductor memory device and method of forming the same
KR20140100333A (en) Three Dimensional Semiconductor Device And Method Of Fabricating The Same
KR102130057B1 (en) Three Dimensional Semiconductor Memory Device And Method Of Fabricating The Same

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant