KR101800271B1 - Load lock chamber - Google Patents

Load lock chamber Download PDF

Info

Publication number
KR101800271B1
KR101800271B1 KR1020110078472A KR20110078472A KR101800271B1 KR 101800271 B1 KR101800271 B1 KR 101800271B1 KR 1020110078472 A KR1020110078472 A KR 1020110078472A KR 20110078472 A KR20110078472 A KR 20110078472A KR 101800271 B1 KR101800271 B1 KR 101800271B1
Authority
KR
South Korea
Prior art keywords
load lock
wafer
lock chamber
chamber
insert
Prior art date
Application number
KR1020110078472A
Other languages
Korean (ko)
Other versions
KR20130016498A (en
Inventor
이재훈
오현정
서정찬
Original Assignee
에스케이실트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에스케이실트론 주식회사 filed Critical 에스케이실트론 주식회사
Priority to KR1020110078472A priority Critical patent/KR101800271B1/en
Publication of KR20130016498A publication Critical patent/KR20130016498A/en
Application granted granted Critical
Publication of KR101800271B1 publication Critical patent/KR101800271B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명의 실시예에 따른 로드락 챔버는 웨이퍼의 출입을 위해 선택적으로 개폐되는 도어, 웨이퍼가 수용된 유닛이 상기 도어를 통해 출입되는 경로 상의 웨이퍼 출입 영역, 상기 웨이퍼가 수용된 유닛을 내부에 대기시키는 웨이퍼 대기 영역 및 상기 웨이퍼 출입 영역 및 상기 웨이퍼 대기 영역을 제외한 나머지 영역에 삽입된 인서트를 포함하는 것을 특징으로 한다.A load lock chamber according to an embodiment of the present invention includes a door that is selectively opened and closed for entering and exiting a wafer, a wafer entry / exit area on a path through which the wafer is housed, through which the wafer is housed, And an insert inserted into the wafer waiting area, the wafer access area, and the remaining area excluding the wafer waiting area.

Description

로드락 챔버{Load lock chamber}Load lock chamber < RTI ID = 0.0 >

본 발명은 로드락 챔버에 관한 것이다.The present invention relates to a load lock chamber.

일반적으로 화학 기상 증착(chemical vapor deposition, CVD)을 이용하여 고온에서 실리콘 웨이퍼의 표면으로 실리콘을 포함하는 소스가스를 제공하여 실리콘 에피택셜층을 성장시킴으로써 에피택셜 웨이퍼를 만들고 있다. Generally, chemical vapor deposition (CVD) is used to provide an epitaxial wafer by growing a silicon epitaxial layer by providing a source gas containing silicon to the surface of the silicon wafer at a high temperature.

이러한 에피택셜 웨이퍼의 제조장치는 실리콘 웨이퍼를 수용하여 에피택셜 공정이 수행되는 프로세스 챔버와 상기 프로세스 챔버로 상기 웨이퍼를 이송하는 트랜스퍼 챔버 및 로드락 챔버로 이루어진다.Such an apparatus for manufacturing an epitaxial wafer comprises a process chamber in which a silicon wafer is to be subjected to an epitaxial process, and a transfer chamber and a load lock chamber for transferring the wafer into the process chamber.

한편, 화학 기상 증착(CVD) 공정을 거치는 웨이퍼 제조 공정간 챔버 내부의 수분에 의하여 웨이퍼 품질 항목인 MCLT(Minority Carrier Life Time) 항목에 영향을 받는 것으로 분석이 된다. 특히, 메인 공정을 진행하기 위하여 대기중인 웨이퍼가 있는 로드락(Load lock)의 오픈(open) 유무와 퍼지 타임(purge time)에 따라서 MCLT가 변화된다. On the other hand, it is analyzed that the quality of the wafer, the Minority Carrier Life Time (MCLT) item, is influenced by the moisture inside the chamber between the wafer manufacturing processes through the chemical vapor deposition (CVD) process. In particular, the MCLT changes depending on whether the load lock with the waiting wafer is open or purge time in order to proceed with the main process.

실제 실험에 따르면, 퍼지 타임을 1분으로 했을 때의 MCLT 그래프 보다 퍼지 타임을 10분으로 늘렸을 때의 MCLT 그래프가 더 안정된 양상인 것을 확인할 수 있다.According to the actual experiment, it can be confirmed that the MCLT graph when the purge time is increased to 10 minutes is more stable than the MCLT graph when the purge time is 1 minute.

따라서, 최적의 MCLT를 제어하기 위하여 퍼지 타임을 늘리거나 퍼지 가스를 늘리는 양상이 적용중이나, 퍼지 타임의 증가는 생산성 저하가 불가피하기 때문에 퍼지 타임을 늘리는데 제한이 따르는 실정이다. Therefore, in order to control the optimal MCLT, the purge time is increased or the purge gas is increased. However, since the decrease of the purge time is inevitable, the purge time is limited.

따라서, 본 발명은 상기와 같은 문제점을 해결하기 위하여 창안된 것으로서, 웨이퍼 로딩(wafer loading)시 로드락 챔버 내부에 유입되는 수분을 줄이고, 내부 유동 흐름을 원활하게 하여, 퍼지 타임 및 퍼지 가스를 줄여 생산성 증가 및 원부자재 비용을 감소시키는 로드락 챔버를 제공하는 것을 그 목적으로 한다. SUMMARY OF THE INVENTION Accordingly, the present invention has been made in view of the above problems, and it is an object of the present invention to provide a method and apparatus for reducing moisture introduced into a load lock chamber during wafer loading and to smooth internal flow and reduce purge time and purge gas The object of the present invention is to provide a load lock chamber that increases productivity and reduces raw material cost.

실시 예의 로드락 챔버는 웨이퍼의 출입을 위해 선택적으로 개폐되는 도어와, 상기 웨이퍼가 수용된 유닛이 상기 도어를 통해 출입되는 경로 상의 웨이퍼 출입 영역과, 상기 웨이퍼가 수용된 유닛을 내부에 대기시키는 웨이퍼 대기 영역 및 상기 웨이퍼 출입 영역 및 상기 웨이퍼 대기 영역을 제외한 나머지 영역에 삽입된 인서트를 포함한다.The load lock chamber of the embodiment includes a door that is selectively opened and closed for the entrance and exit of the wafer, a wafer entry / exit area on the path through which the unit housing the wafer enters and exits through the door, and a wafer waiting area And an insert inserted in a region other than the wafer entering / leaving region and the wafer waiting region.

상기 인서트는 로드락 챔버 내부에 퍼지용 기체가 입출되는 인렛 및 아웃렛 통로를 제외한 나머지 로드락 챔버 내부에 형성될 수 있다.The insert may be formed inside the load lock chamber other than the inlet and outlet passages in which the purge gas enters and leaves the inside of the load lock chamber.

상기 인서트는 상기 퍼지용 기체가 상기 로드락 챔버 내부에 정체되는 영역에 형성될 수 있다.The insert may be formed in a region where the purge gas stagnates inside the load lock chamber.

상기 인서트는 상기 로드락 챔버 내부에 상기 로드락 챔버의 재질과 동일한 재질 또는 파티클 발생 방지 재질 또는 방수 재질로 이루어질 수 있다.The insert may be made of the same material as the material of the load lock chamber or a material for preventing particle generation or a waterproof material inside the load lock chamber.

상기 인서트는 상기 로드락 챔버와 일체형 또는 분리형일 수 있다.The insert may be integral with or separate from the load lock chamber.

상기 인서트는 상기 로드락 챔버 내부에 안착된 상기 유닛의 최상단으로부터 상기 로드락 챔버 내부의 천장 벽면까지 형성될 수 있다.The insert may be formed from a top end of the unit seated within the load lock chamber to a ceiling wall surface within the load lock chamber.

상기 인서트는 상기 로드락 챔버 내부에 안착된 상기 유닛의 측벽으로부터 인접한 상기 로드락 챔버의 측벽까지 형성될 수 있다.The insert may be formed from a side wall of the unit that is seated within the load lock chamber to a side wall of the adjacent load lock chamber.

본 발명에 따르면 로드락 챔버 내부에 인서트(insert)를 추가하여 웨이퍼 로딩(wafer loading)시 로드락에 유입되는 수분을 줄이고 내부 유동 흐름을 개선하여, 퍼지 타임 및 퍼지 가스를 줄여 생산성 증가 및 원부자재 비용 감소의 효과를 갖는다. According to the present invention, an insert is added to the inside of the load lock chamber to reduce the amount of water flowing into the load lock during wafer loading and to improve the internal flow, thereby reducing the purge time and purge gas, It has an effect of cost reduction.

도 1은 웨이퍼 제조장치를 설명하기 위한 사시도.
도 2는 발명의 실시예에 따른 로드락 챔버를 도시한 도면.
도 3 내지 도 5는 발명의 실시예에 따른 로드락 챔버(100)의 내부를 투시한 도면.
도 6 및 도 7은 로드락 챔버 내부의 수분의 시간에 따른 체적량을 비교한 그래프.
1 is a perspective view for explaining a wafer manufacturing apparatus;
Figure 2 shows a load lock chamber according to an embodiment of the invention;
3 to 5 are perspective views of the inside of a load lock chamber 100 according to an embodiment of the present invention.
Figs. 6 and 7 are graphs comparing volume amounts of moisture in the load lock chamber with time. Fig.

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 이에 앞서, 본 명세서 및 청구범위에 사용된 용어나 단어는 통상적이거나 사전적인 의미로 한정해서 해석되어서는 아니 되며, 발명자는 그 자신의 발명을 가장 최선의 방법으로 설명하기 위해 용어의 개념을 적절하게 정의할 수 있다는 원칙에 입각하여 본 발명의 기술적 사상에 부합하는 의미와 개념으로 해석되어야만 한다.Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings. Prior to this, terms and words used in the present specification and claims should not be construed as limited to ordinary or dictionary terms, and the inventor should appropriately interpret the concepts of the terms appropriately It should be interpreted in accordance with the meaning and concept consistent with the technical idea of the present invention based on the principle that it can be defined.

따라서, 본 명세서에 기재된 실시예와 도면에 도시된 구성은 본 발명의 가장 바람직한 일 실시예에 불과할 뿐이고, 본 발명의 기술적 사상에 모두 대변하는 것은 아니므로, 본 출원시점에 있어서 이들을 대체할 수 있는 다양한 균등물과 변형 예들이 있을 수 있음을 이해하여야 한다.Therefore, the embodiments described in the present specification and the configurations shown in the drawings are only the most preferred embodiments of the present invention, and they do not represent all the technical ideas of the present invention. Therefore, It should be understood that various equivalents and modifications may be present.

도 1은 웨이퍼 제조장치를 설명하기 위한 사시도이다. 1 is a perspective view for explaining a wafer manufacturing apparatus.

본 발명의 웨이퍼 제조장치는 에피택시 성장(Epitaxial Growth)을 위한 장치뿐만 아니라, 화학기상증착(chemical vapor deposition, CVD), 저압화학기상증착(Low Pressure Chemical Vapor Deposition, LPCVD), 플라즈마 화학기상증착(Plasma Enhanced Chemical Vapor Deposigion, PECVD)과 같은 증착 장치에도 적용이 가능할 것이다.The wafer manufacturing apparatus of the present invention can be used not only for the epitaxial growth but also for chemical vapor deposition (CVD), low pressure chemical vapor deposition (LPCVD), plasma chemical vapor deposition Plasma Enhanced Chemical Vapor Deposigion, PECVD).

도 1을 참조하면, 웨이퍼 제조장치는 로드락 챔버(load-lock chamber, 100), 웨이퍼 핸들링 챔버(wafer handling chamber, 20), 웨이퍼 트랜스퍼 아암(21, wafer transfer arm), 웨이퍼(1)의 로딩(loading) 및 언로딩(unloading)을 위한 완드 유닛(wand unit, 30) 프로세스 챔버(process chamber, 50)를 포함한다.Referring to FIG. 1, a wafer manufacturing apparatus includes a load-lock chamber 100, a wafer handling chamber 20, a wafer transfer arm 21, and a wand unit 30 for loading and unloading the process chamber 50. [

예를 들어, 상기 웨이퍼(1)는 반도체 기판이 되는 실리콘 웨이퍼이다. For example, the wafer 1 is a silicon wafer which becomes a semiconductor substrate.

로드락 챔버(100)는 웨이퍼 제조장치로 상기 웨이퍼(1)를 유입 및 반출시킨다. 예를 들어, 로드락 챔버(100)는 SMIF(Standard Mechanical Interface, 웨이퍼 이송장치의 일종, 미도시)로부터 웨이퍼(1)가 에피택셜 장비의 내부로 들어오는 장소로서, 웨이퍼 스테이지(wafer stage) 역할을 한다. The load lock chamber 100 introduces the wafer 1 into and out of the wafer manufacturing apparatus. For example, the load lock chamber 100 is a place where the wafer 1 enters the epitaxial apparatus from a SMIF (Standard Mechanical Interface, a kind of wafer transfer apparatus, not shown), and serves as a wafer stage do.

핸들링 챔버(20)는 로드락 챔버(100)와 프로세스 챔버(50) 사이에 위치하는 챔버로서, 챔버 중앙에 위치한 웨이퍼 트랜스퍼 아암(21)과 완드 유닛(30)에 의하여 웨이퍼(1)가 반송된다. 또한 프로세스 챔버(50)는 웨이퍼 핸들링 챔버(20)와 연결되어 위치한 챔버로서, 에피택셜 공정이 진행되는 챔버이다.The handling chamber 20 is a chamber located between the load lock chamber 100 and the process chamber 50. The wafer transfer arm 21 and the wand unit 30 located at the center of the chamber carry the wafer 1 . Further, the process chamber 50 is a chamber that is connected to the wafer handling chamber 20, and is a chamber in which an epitaxial process is performed.

트랜스퍼 아암(21)은 웨이퍼 핸들링 챔버(20)의 중앙 부위에 설치한 회전 유닛과, 일단은 회전 유닛과 연결되고 타단은 완드 유닛(30)과 연결된 아암 유닛으로 구성되어, 완드 유닛(30)의 회전 운동과 직선운동에 의하여 웨이퍼(1)의 반송이 이루어지는 것이다. The transfer arm 21 is composed of a rotation unit provided at a central portion of the wafer handling chamber 20 and an arm unit connected to the rotation unit at one end and connected to the wand unit 30 at the other end, The wafer 1 is conveyed by the rotational motion and the linear motion.

여기서, 웨이퍼 제조 공정은 진공상태에서 수행될 수 있다. 이를 위해 상기 웨이퍼 제조장치 내부는 진공 상태가 유지되는데, 상기 웨이퍼(1)의 출입시 상기 웨이퍼 제조장치의 진공이 파괴될 수 있다. 따라서, 상기 로드락 챔버(100)는 상기 웨이퍼 제조장치의 진공을 파괴하지 않고 상기 웨이퍼(1)를 출입시키기 위한 완충영역을 형성해야 한다.Here, the wafer manufacturing process can be performed in a vacuum state. To this end, the inside of the wafer manufacturing apparatus is kept in a vacuum state, and the vacuum of the wafer manufacturing apparatus can be destroyed when the wafer 1 enters and exits. Therefore, the load lock chamber 100 must form a buffer area for allowing the wafer 1 to go in and out without breaking the vacuum of the wafer manufacturing apparatus.

그러나, 상기 웨이퍼 제조 공정이 진공상태가 아닌 상압(atmospheric pressure)에서 수행되는 경우, 상기 로드락 챔버(100)에는 진공이 형성되지 않을 수 있다.However, when the wafer manufacturing process is performed at atmospheric pressure rather than a vacuum, a vacuum may not be formed in the load lock chamber 100.

로드락 챔버(100)는 내부로 상기 웨이퍼(1)의 출입이 가능하도록 전면이 개방되게 형성되어야 하기 때문에 상기 개방된 면에는 상기 로드락 챔버(100)를 선택적으로 개폐하는 도어(110)가 구비될 수 있다. Since the front surface of the load lock chamber 100 needs to be opened so that the wafer 1 can be inserted into and removed from the inside of the load lock chamber 100, a door 110 is provided on the opened surface to selectively open and close the load lock chamber 100 .

다수의 웨이퍼(1)는 이송 가능한 유닛(3)에 수용되어, 상기 유닛(3)에 의해 로드락 챔버(100)로 투입된다. 예를 들어, 유닛(3)은 카세트(cassette) 또는 FOUP(front opening unified pod)가 이용될 수 있다. 그리고, 로드락 챔버(100) 하부에는 유닛(3)에서 웨이퍼(1)를 추출하거나 수납하기 위해 유닛(3)을 승강시키는 승강 유닛(12)이 구비된다.A plurality of wafers 1 are accommodated in the transportable unit 3 and are introduced into the load lock chamber 100 by the unit 3. [ For example, the unit 3 may be a cassette or a front opening unified pod (FOUP). A lower portion of the load lock chamber 100 is provided with an elevating unit 12 for elevating and lowering the unit 3 in order to extract or store the wafer 1 from the unit 3.

예를 들어, 상기 웨이퍼 제조장치에는 2개의 로드락 챔버(100)가 구비될 수 있다. 즉, 하나의 로드락 챔버(100)는 웨이퍼 제조 공정이 수행되기 전의 웨이퍼(1)를 투입하고, 공정이 수행되기 전까지 대기시키는 역할을 하고, 다른 하나의 로드락 챔버(100)는 웨이퍼 제조 공정이 완료된 웨이퍼(1)를 반출하는 역할을 한다.For example, the wafer manufacturing apparatus may be provided with two load lock chambers 100. That is, one load lock chamber 100 serves to put the wafer 1 before the wafer fabrication process is performed and to wait until the process is performed, while the other load lock chamber 100 performs a wafer fabrication process And performs the function of carrying out the completed wafer 1.

도 2는 발명의 실시예에 따른 로드락 챔버(100)의구조를 도시한 도면이고, 도 2 내지 도 5는 발명의 실시예에 따른 로드락 챔버(100)의 내부를 투시한 투시도이다. FIG. 2 is a view showing the structure of a load lock chamber 100 according to an embodiment of the present invention, and FIGS. 2 to 5 are perspective views showing the inside of a load lock chamber 100 according to an embodiment of the present invention.

도 2를 참조하면, 로드락 챔버(100)는 챔버의 상면에 퍼지용 가스가 유입되는 인렛(inlet, 120)을 포함할 수 있고, 챔버의 측벽에 상기 퍼지용 가스가 반출될 수 있는 적어도 하나 이상의 아웃렛(130)을 포함할 수 있다. 상기 퍼지용 가스는 예를 들어 질소가스(N2)가 사용될 수 있다. Referring to FIG. 2, the load lock chamber 100 may include an inlet 120 through which purge gas is introduced into the upper surface of the chamber, and at least one Or more of the outlet 130. For purge gas, for example, nitrogen gas (N 2 ) may be used.

도 3을 참조하면, 로드락 챔버(100)는 웨이퍼(1)의 출입을 위해 선택적으로 개폐되는 도어(110), 상기 웨이퍼(1)가 수용된 유닛(3)이 상기 도어(110)를 통해 출입되는 경로 상의 웨이퍼 출입 영역(200), 상기 웨이퍼(1)가 수용된 유닛(3)을 내부에 대기시키는 웨이퍼 대기 영역(300) 및 상기 웨이퍼 출입 영역(200) 및 웨이퍼 대기 영역(300)을 제외한 나머지 영역(400)으로 구분될 수 있고, 상기 나머지 영역(400)에 인서트(insert, 140)를 포함할 수 있다.3, the load lock chamber 100 includes a door 110 selectively opened and closed for accessing the wafer 1, a unit 3 in which the wafer 1 is accommodated is opened and closed through the door 110, A wafer waiting area 300 for waiting the unit 3 in which the wafer 1 is accommodated and a wafer waiting area 300 for holding the wafer 1 in the path excluding the wafer waiting area 200 and the wafer waiting area 300 Area 400 and may include an insert 140 in the remaining area 400. As shown in FIG.

인서트(140)는 웨이퍼 출입 영역(200) 및 상기 웨이퍼 대기 영역(300)을 제외한 나머지 영역(400)에 형성된 구조로서, 로드락 챔버(100) 내부의 빈 공간을 채우게 함으로써, 로드락 챔버(100) 내부에 유입되는 수분을 줄이고, 내부 유동 흐름을 원활하게 할 수 있다. The insert 140 is formed in the wafer access area 200 and the remaining area 400 except for the wafer waiting area 300. By filling the void space inside the load lock chamber 100, ), And the flow of the internal fluid can be smoothly performed.

인서트(140)는 로드락 챔버(100)의 재질과 동일한 재질로 형성되거나, 파티클(particle) 발생 방지 재질 또는 방수 재질로 형성될 수 있다.The insert 140 may be formed of the same material as the material of the load lock chamber 100 or may be formed of a particle generation preventing material or a waterproof material.

인서트(140)는 시뮬레이션 결과 상기 퍼지용 기체가 로드락 챔버(100) 내에 정체되는 빈 공간 영역에 대응하여 형성될 수 있다.The insert 140 may be formed in correspondence with a void space region where the purge gas stagnates in the load lock chamber 100 as a result of a simulation.

그리고, 인서트(140)는 로드락 챔버(100)의 측벽 및 상부벽에 근접하게 형성되거나 또는 일체형으로 형성될 수 있다. 이때, 인서트(140)는 로드락 챔버(100) 내에 인렛(120) 및 아웃렛(130) 통로를 제외한 나머지 영역에 형성될 수 있다. The insert 140 may be formed close to the side wall and the upper wall of the load lock chamber 100, or may be integrally formed. At this time, the insert 140 may be formed in the load lock chamber 100 in a region other than the inlet 120 and outlet 130 passages.

도 4는 로드락 챔버(100)의 내부를 상부에서 투시한 도면이고, 도 5는 로드락 챔버(100)의 내부를 측면에서 투시한 도면이다. FIG. 4 is a top view of the inside of the load lock chamber 100, and FIG. 5 is a side view of the inside of the load lock chamber 100.

도 3 내지 도 5를 참조하면, 로드락 챔버(100)는 웨이퍼 출입 영역(200) 및 웨이퍼 대기 영역(300)을 제외한 나머지 영역(400)으로써 예를 들어, 로드락 챔버(100) 내부에 안착된 유닛(3)의 측벽으로부터 인접한 로드락 챔버(100)의 측벽까지 인서트(140)를 포함할 수 있다. 3 through 5, the load lock chamber 100 may be a region 400 excluding the wafer access region 200 and the wafer waiting region 300, for example, To the side walls of adjacent load lock chambers 100 from the side walls of the unloaded unit 3.

또한, 로드락 챔버(100) 내부에 안착된 유닛(3)의 최상단으로부터 로드락 챔버(100) 내부의 천장 벽면까지 인서트(140)를 포함할 수 있다.In addition, it may include an insert 140 from the uppermost end of the unit 3 seated within the load lock chamber 100 to the ceiling wall surface within the load lock chamber 100.

도 6은 로드락 챔버 내부에 유입된 수분(H2O)의 시간에 따른 체적량을 비교한 그래프이다.FIG. 6 is a graph comparing the volume of water (H 2 O) introduced into the load lock chamber with time.

발명의 실시예에 따라 내부에 인서트(140)를 포함하는 로드락 챔버(100)의 수분 체적량(A, B)을 도시하는 그래프가 상대적으로 종래의 인서트를 포함하지 않은 일반적인 로드락 챔버의 수분 체적량(Ref)을 도시하는 그래프보다 감소하고 있음을 알 수 있다.A graph showing the moisture volume (A, B) of the load lock chamber 100 including the insert 140 therein according to an embodiment of the invention is shown in a graph of the moisture content of a typical load lock chamber It can be seen that the volume amount Ref is smaller than the graph showing the volume amount Ref.

또한, 동일한 체적의 두 로드락 챔버가 서로 다른 체적의 인서트를 포함하는 경우, 두 로드락 챔버 내부의 수분량을 비교할 경우, 상대적으로 더 큰 체적의 인서트를 포함하는 로드락 챔버의 수분 체적량(A)이 더 작은 체적의 인서트를 포함하는 로드락 챔버의 수분 체적량(B) 보다 적음을 알 수 있다.In addition, when two load lock chambers of the same volume include inserts of different volumes, when comparing the moisture contents inside the two load lock chambers, the moisture volume of the load lock chamber including the insert of a relatively larger volume ) Is less than the water volume (B) of the load lock chamber containing the insert of smaller volume.

도 7은 도 6의 그래프 중 800초 이후의 로드락 챔버들의 수분 체적 감소를 나타내는 그래프이다. 일반적인 로드락 챔버의 수분 체적량(Ref)이 10-12에 이르는 1460초를 기준으로 하여 비교할 경우 서로 다른 체적의 인서트를 포함하는 로드락 챔버들은 각각 1140초, 900초로 단축되는 결과를 얻을 수 있다. FIG. 7 is a graph showing the water volume reduction of the load lock chambers after 800 seconds in the graph of FIG. 6; FIG. Load lock chambers with inserts of different volumes can be shortened to 1140 seconds and 900 seconds, respectively, when compared to a typical load lock chamber with a water volume (Ref) of 10 -12 to 1460 seconds .

도 7의 그래프에서도 역시 상대적으로 더 큰 체적의 인서트를 포함하는 로드락 챔버의 수분 체적량(A)이 더 작은 체적의 인서트를 포함하는 로드락 챔버의 수분 체적량(B) 보다 더 단축되는 결과로 보아, 로드락 챔버 내에 인서트의 추가가 늘어날수록, 로드락 챔버 내부에 유입되는 수분량이 줄어듦에 따라 로드락 내부에 수분이 정체되는 구간이 감소되며, 내부의 원활한 유동 흐름이 형성되어 수분의 제거가 원활해짐을 알 수 있다. The graph of FIG. 7 also shows that the moisture volume A of the load lock chamber, which also includes the insert of a relatively larger volume, is shorter than the moisture volume B of the load lock chamber comprising the smaller volume insert As the number of inserts increases in the load lock chamber, the amount of water flowing into the load lock chamber decreases, the section where the water stagnates in the load lock is reduced, and the smooth flow flow inside is formed, Is smooth.

결론적으로, 본 발명의 실시예에 따르면 로드락 챔버 내부에 인서트를 추가하여 웨이퍼 로딩(wafer loading)시 로드락 챔버에 유입되는 수분을 줄임으로써, 퍼지 타임 및 퍼지 가스를 줄여 생산성 증가 및 원부자재 비용을 감소시킬 수 있다. As a result, according to the embodiment of the present invention, inserts are added to the inside of the load lock chamber to reduce the amount of moisture introduced into the load lock chamber during wafer loading, thereby reducing purge time and purge gas, Can be reduced.

로드락 챔버 100, 핸들링 챔버 20
완드 유닛 30, 프로세스 챔버 50
도어 110, 인렛 120
아웃렛 130, 인서트 140
A load lock chamber 100, a handling chamber 20
A wand unit 30, a process chamber 50
Door 110, inlet 120
Outlet 130, insert 140

Claims (7)

웨이퍼의 출입을 위해 선택적으로 개폐되는 도어;
챔버에 형성되며 퍼지용 가스가 유입되는 인렛;
챔버에 형성되며 상기 퍼지용 가스가 반출되는 아웃렛;
상기 웨이퍼가 수용된 유닛이 상기 도어를 통해 출입되는 경로 상의 웨이퍼 출입 영역;
상기 웨이퍼가 수용된 유닛을 내부에 대기시키는 웨이퍼 대기 영역; 및
상기 웨이퍼 출입 영역, 상기 웨이퍼 대기 영역, 상기 인렛 및 아웃렛 통로를 제외한 나머지 영역의 빈 공간을 채우도록 삽입되어 상기 퍼지용 가스가 로드락 챔버 내부에서 정체되는 것을 방지하는 인서트를 포함하며,
상기 인서트는
상기 로드락 챔버 내부에 안착된 상기 유닛의 최상단으로부터 상기 로드락 챔버 내부의 천장 벽면까지 형성되고, 상기 유닛의 측벽으로부터 상기 로드락 챔버의 내부 측벽까지 형성된 것을 특징으로 하는 로드락 챔버.
A door that is selectively opened and closed for entering and exiting the wafer;
An inlet formed in the chamber and into which purge gas is introduced;
An outlet formed in the chamber and through which the purge gas is delivered;
A wafer entry / exit area on a path through which the unit in which the wafer is accommodated enters / exits through the door;
A wafer waiting area for waiting a unit containing the wafer therein; And
And an insert inserted to fill the vacant space of the wafer entry / exit area, the wafer standby area, and other areas except the inlet and outlet passages to prevent the purge gas from stagnating inside the load lock chamber,
The insert
Wherein the load lock chamber is formed from a top end of the unit that is seated inside the load lock chamber to a ceiling wall surface inside the load lock chamber and extends from a side wall of the unit to an inner side wall of the load lock chamber.
삭제delete 삭제delete 제 1 항에 있어서,
상기 인서트는 상기 로드락 챔버 내부에 상기 로드락 챔버의 재질과 동일한 재질 또는 파티클 발생 방지 재질 또는 방수 재질로 이루어진 것을 특징으로 하는 로드락 챔버.
The method according to claim 1,
Wherein the insert is made of the same material as the material of the load lock chamber or a material for preventing particle generation or a waterproof material inside the load lock chamber.
제 1 항에 있어서,
상기 인서트는 상기 로드락 챔버와 일체형 또는 분리형인 것을 특징으로 하는 로드락 챔버.
The method according to claim 1,
Wherein the insert is integral with or separate from the load lock chamber.
삭제delete 삭제delete
KR1020110078472A 2011-08-08 2011-08-08 Load lock chamber KR101800271B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020110078472A KR101800271B1 (en) 2011-08-08 2011-08-08 Load lock chamber

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110078472A KR101800271B1 (en) 2011-08-08 2011-08-08 Load lock chamber

Publications (2)

Publication Number Publication Date
KR20130016498A KR20130016498A (en) 2013-02-18
KR101800271B1 true KR101800271B1 (en) 2017-12-20

Family

ID=47895829

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110078472A KR101800271B1 (en) 2011-08-08 2011-08-08 Load lock chamber

Country Status (1)

Country Link
KR (1) KR101800271B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106898566B (en) * 2015-12-18 2021-03-02 北京北方华创微电子装备有限公司 Semiconductor processing equipment

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010062526A1 (en) * 2008-11-26 2010-06-03 Univation Technologies, Llc Systems using mass flow promoting insert with gas purging and methods thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010062526A1 (en) * 2008-11-26 2010-06-03 Univation Technologies, Llc Systems using mass flow promoting insert with gas purging and methods thereof

Also Published As

Publication number Publication date
KR20130016498A (en) 2013-02-18

Similar Documents

Publication Publication Date Title
US20110114129A1 (en) Methods and apparatuses for controlling contamination of substrates
US20190145641A1 (en) Method for manufacturing semiconductor
KR102068368B1 (en) Purging device and purging method for substrate-containing vessel
KR101731144B1 (en) Method for managing atmosphere in storage container
US20180114710A1 (en) Equipment front end module and semiconductor manufacturing apparatus including the same
US20050111935A1 (en) Apparatus and method for improved wafer transport ambient
KR100799415B1 (en) Purge system for a product container and table for use in the purge system
KR100832452B1 (en) Substrate carrier and facility interface and apparatus including same
TWI310972B (en) Cluster processing apparatus
KR20030011536A (en) Loadport, substrate processing apparatus and method of substituting atmosphere
US20100022093A1 (en) Vacuum processing apparatus, method of operating same and storage medium
KR20100138757A (en) Liquid processing apparatus
JP2008507153A (en) Wafer handling system in processing tool
CN100382231C (en) Apparatus and method for processing wafers
KR100839911B1 (en) Apparatus for treating substrate
KR100905899B1 (en) Substrate lifting unit, appratus and method for treating substrate using the same
KR101800271B1 (en) Load lock chamber
US20180073141A1 (en) Method of Filling Recesses in Substrate with Tungsten
US20120288355A1 (en) Method for storing wafers
JP2000058619A (en) Device and method for treating substrate
KR100572321B1 (en) Semiconductor device manufacturing equipment and method and stocker used therein
US20050121142A1 (en) Thermal processing apparatus and a thermal processing method
US5616025A (en) Vertical diffusion furnace having improved gas flow
KR20080071682A (en) Loadlock chamber and semiconductor manufacturing apparatus using the same
KR101677591B1 (en) substrate boat and Cluster Apparatus Including The Same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant