KR101721931B1 - Device for atomic layer deposition and method of atomic layer deposition - Google Patents

Device for atomic layer deposition and method of atomic layer deposition Download PDF

Info

Publication number
KR101721931B1
KR101721931B1 KR1020150137762A KR20150137762A KR101721931B1 KR 101721931 B1 KR101721931 B1 KR 101721931B1 KR 1020150137762 A KR1020150137762 A KR 1020150137762A KR 20150137762 A KR20150137762 A KR 20150137762A KR 101721931 B1 KR101721931 B1 KR 101721931B1
Authority
KR
South Korea
Prior art keywords
gas
supply unit
gas supply
substrate
atomic layer
Prior art date
Application number
KR1020150137762A
Other languages
Korean (ko)
Inventor
박형상
Original Assignee
(주)아이작리서치
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by (주)아이작리서치 filed Critical (주)아이작리서치
Priority to KR1020150137762A priority Critical patent/KR101721931B1/en
Priority to PCT/KR2016/010555 priority patent/WO2017057871A1/en
Application granted granted Critical
Publication of KR101721931B1 publication Critical patent/KR101721931B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Electrochemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

The present invention provides an atomic layer depositing apparatus and an atomic layer depositing method. The atomic layer depositing apparatus includes: a vacuum chamber; a substrate supporter which is arranged in the vacuum chamber and receives the substrate; a source gas supply unit which includes a metal target which is arranged in the vacuum chamber to face the substrate supporter and a first gas injection unit for supplying a first gas including an etching gas for generating a source gas by etching the metal target; and a reactive gas supply unit which is combined with the vacuum chamber and supplies a second gas including the reactive gas on the substrate, wherein, the source gas and the reactive gas are alternately supplied on the substrate. Accordingly, the present invention can deposit an atomic layer thin film by using metal halogen compounds formed in a reactor without external supply of metal precursors.

Description

원자층 증착 장치 및 원자층 증착 방법{Device for atomic layer deposition and method of atomic layer deposition}[0001] The present invention relates to an atomic layer deposition apparatus and an atomic layer deposition method,

본 발명은 원자층 증착 장치 및 원자층 증착 방법에 관한 것으로서, 더 상세하게는 반응기내 금속타겟 식각을 통해 자체 생성된 금속할로겐 화합물을 이용하여 원자층 증착을 수행하는 원자층 증착 장치 및 원자층 증착 방법에 관한 것이다.The present invention relates to an atomic layer deposition apparatus and an atomic layer deposition method, and more particularly, to an atomic layer deposition apparatus and an atomic layer deposition apparatus for performing atomic layer deposition using a metal halide compound produced by self- ≪ / RTI >

원자층 증착을 통하여 증착할 수 있는 박막은 대부분 금속 산화물이나 금속 질화물 등 특정온도에서 원료 기체간의 반응을 충분히 유도할 수 있는 원료물질이 구비되는 경우에 가능하다. 원자층 증착시에 충분한 원료 물질의 공급은 효과적인 박막 증착 결과를 얻기 위한 필수 조건이어서, 지금까지도 충분한 평형증기압을 가지는 원료 전구체를 개발하기 위한 많은 노력이 수행되어 지고 있다. 이는 평형 증기압이 충분해야지만, 반응기내로 충분한 원료 기체를 공급할 수 있고, 증착 설비의 안정적인 운영이 가능하기 때문이다. 금속 할로겐 화합물은 흡착 특성도 우수하고 원료 물질에 탄소, 수소 등의 불순물이 개입될 여지도 적어서 원료 전구체로 사용될 수 있으나, 많은 경우에 150℃ 미만의 낮은 온도에서도 고체 형태로 존재하면서 증기압이 낮아서 반응기까지 전달되는 과정에서 쉽게 응축되거나 잘 전달되지 않는 단점이 있다. 이런 단점 때문에 지금까지 원자층 증착이나, 일반적인 CVD(Chemical Vapor Deposition)에서도 원료 물질로 사용되는데 제약이 있었으며, 따라서 대부분의 원자층 증착 공정이 금속 유기 화합물을 이용하는 공정으로 진행되어 왔다.The thin film that can be deposited through the atomic layer deposition can be used when a raw material capable of sufficiently inducing a reaction between the raw material gases at a specific temperature such as a metal oxide or a metal nitride is provided. The supply of sufficient raw material in the atomic layer deposition is a necessary condition for obtaining an effective thin film deposition result, so far, much efforts have been made to develop a raw precursor having a sufficient equilibrium vapor pressure. This is because sufficient equilibrium vapor pressure is sufficient, but sufficient raw material gas can be supplied into the reactor and stable operation of the deposition equipment is possible. The metal halide has excellent adsorption characteristics and can be used as a raw material precursor because the raw material has little intervention of impurities such as carbon and hydrogen. However, in many cases, the metal halide exists in a solid form even at a temperature lower than 150 ° C., It has a disadvantage that it is not condensed easily or is not easily transferred in the process of being delivered. Due to these disadvantages, there has been a limitation in the use of atomic layer deposition or general CVD (Chemical Vapor Deposition) as a raw material. Therefore, most atomic layer deposition processes have been proceeded to processes using metal organic compounds.

한국등록특허 제 10-0503514호 (2005.07.15)Korean Patent No. 10-0503514 (July 15, 2005)

본 발명의 기술적 과제는 이러한 점에서 착안된 것으로 본 발명의 목적은 듀얼 플라즈마와 타겟 에칭을 이용해 반응기 밖에서 별도의 금속 전구체 원료의 공급 없이도 손쉽게 금속할로겐 화합물을 반응기 내부에서 자체 형성하여 원자층 박막 증착을 이루게 하는 장치 및 원자층 증착 방법을 제공하는 것을 목적으로 한다. 그러나 이러한 과제는 예시적인 것으로, 이에 의해 본 발명의 범위가 한정되는 것은 아니다.SUMMARY OF THE INVENTION The present invention has been made in view of the above problems, and it is an object of the present invention to provide a method and apparatus for forming a metal halide layer in a reactor by using a dual plasma and a target etching, And an atomic layer deposition method. However, these problems are exemplary and do not limit the scope of the present invention.

본 발명의 일 관점에 따르면, 원자층 증착 장치가 제공된다. 상기 원자층 증착 장치는 진공챔버; 상기 진공챔버 내에 배치되고 기판이 안착되는 기판지지체; 상기 진공챔버 내에 상기 기판지지체와 대향되게 배치된 금속타겟(target) 및 상기 금속타겟을 식각하여 소스가스를 생성하기 위한 식각가스를 포함하는 제 1 가스를 공급하기 위한 제 1 가스주입부를 포함하는 소스가스공급부; 및 상기 진공챔버에 결합되며, 상기 기판 상에 반응가스를 포함하는 제 2 가스를 공급하는 반응가스공급부;를 포함하고, 상기 소스가스와 상기 반응가스는 상기 기판 상에 교번하여 공급될 수 있다.According to one aspect of the present invention, an atomic layer deposition apparatus is provided. The atomic layer deposition apparatus includes a vacuum chamber; A substrate support disposed within the vacuum chamber and on which the substrate rests; And a first gas injection portion for supplying a first gas including a metal target disposed in the vacuum chamber to face the substrate support and an etch gas for etching the metal target to generate a source gas, A gas supply unit; And a reactive gas supply unit coupled to the vacuum chamber and supplying a second gas including a reactive gas onto the substrate, wherein the source gas and the reactive gas may be alternately supplied to the substrate.

상기 원자층 증착 장치에 있어서, 상기 식각가스는 할로겐 가스를 포함하고, 상기 소스가스는 상기 할로겐 가스를 이용하여 상기 금속타겟을 식각하여 생성된 금속 할로겐 화합물을 포함하고, 상기 제 1 가스 및/또는 상기 제 2 가스는 불활성 퍼지가스를 더 포함할 수 있다.Wherein the etch gas comprises a halogen gas and the source gas comprises a metal halide compound produced by etching the metal target using the halogen gas and the first gas and / The second gas may further include an inert purge gas.

상기 원자층 증착 장치에 있어서, 상기 반응가스공급부는 상기 기판지지체와 상기 소스가스공급부 사이에 배치된 것일 수 있다.In the atomic layer deposition apparatus, the reaction gas supply unit may be disposed between the substrate support and the source gas supply unit.

상기 원자층 증착 장치에 있어서, 상기 소스가스공급부는 상부전극과 하부전극을 구비하며, 상기 상부전극과 상기 하부전극 각각의 적어도 일면에 상기 금속타겟이 형성된 것일 수 있다.In the atomic layer deposition apparatus, the source gas supply unit may include an upper electrode and a lower electrode, and the metal target may be formed on at least one surface of each of the upper electrode and the lower electrode.

상기 원자층 증착 장치에 있어서, 상기 반응가스공급부는 상기 기판지지체 상에 서로 이격 배치된 복수의 가스노즐들을 포함하고, 상기 복수의 가스 노즐들은 상기 소스가스공급부 및 상기 반응가스공급부의 접지 전극으로 기능하며, 상기 소스가스는 상기 복수의 가스노즐들 상에서 형성되어 상기 복수의 가스 노즐들 사이를 통과하여 상기 기판지지체 상에 제공될 수 있다.In the atomic layer deposition apparatus, the reaction gas supply unit may include a plurality of gas nozzles spaced apart from each other on the substrate support, and the plurality of gas nozzles function as a ground electrode of the source gas supply unit and the reaction gas supply unit. And the source gas may be formed on the plurality of gas nozzles and provided on the substrate support through the plurality of gas nozzles.

상기 원자층 증착 장치에 있어서, 상기 소스가스공급부는 상기 식각가스를 이용한 제 1 플라즈마 형성을 위해 상기 복수의 노즐들 상에 제 1 전원이 인가되는 상부전극을 포함하고, 상기 반응가스공급부는 상기 반응가스를 이용한 제 2 플라즈마 형성을 위해서 상기 기판지지체에 제 2 전원이 인가되는 것일 수 있다.Wherein the source gas supply unit includes an upper electrode to which a first power is applied on the plurality of nozzles for forming a first plasma using the etching gas, And a second power source is applied to the substrate support to form a second plasma using the gas.

상기 원자층 증착 장치에 있어서, 상기 소스가스공급부 및 상기 반응가스공급부는 상기 기판지지체 상에 공간분할식으로 교번하여 하나 또는 복수개 배치되고, 상기 기판 지지체는 상기 소스가스공급부 및 상기 반응가스공급부 아래에서회전 또는 왕복운동할 수 있다.Wherein the source gas supply unit and the reaction gas supply unit are arranged on the substrate support in a space division manner alternately or in plurality, and the substrate support is placed under the source gas supply unit and the reaction gas supply unit It is possible to rotate or reciprocate.

본 발명의 또 다른 관점에 따르면, 원자층 증착 방법이 제공된다. 상기 원자층 증착 방법은 단위 사이클을 복수회 반복하여 박막을 증착하는 방법으로서, 상기 단위 사이클은, 진공챔버 내에 구비된 소스가스공급부에 배치된 금속타겟을 상기 소스가스공급부 내에 공급된 식각가스를 이용하여 식각함으로써 형성된 소스가스를 기판지지체 상에 안착된 기판 상에 흡착시키는 단계; 및 상기 진공챔버 내에 배치된 반응가스공급부를 통해서 상기 기판 상에 반응가스를 공급하여 상기 기판 상에 단위 박막을 형성하는 단계;를 포함할 수 있다.According to another aspect of the present invention, a method of atomic layer deposition is provided. The atomic layer deposition method is a method for depositing a thin film by repeating a unit cycle a plurality of times, wherein the unit cycle is performed by using a metal target disposed in a source gas supply unit provided in a vacuum chamber, using an etching gas supplied in the source gas supply unit Thereby adsorbing a source gas formed by etching on a substrate placed on a substrate support; And supplying a reaction gas onto the substrate through a reaction gas supply unit disposed in the vacuum chamber to form a unit thin film on the substrate.

상기 원자층 증착 방법에 있어서, 상기 흡착시키는 단계는, 상기 식각가스를 상기 소스가스공급부 내에 공급하는 단계; 상기 소스가스공급부에 구비된 상부전극에 제 1 전원을 인가하여 상기 금속타겟을 상기 식각가스로 식각함으로써 상기 소스가스를 형성하는 단계; 및 상기 소스가스를 하부전극들 사이를 통해서 상기 기판 상에 흡착시키는 단계;를 포함할 수 있다.In the atomic layer deposition method, the step of adsorbing may include: supplying the etching gas into the source gas supply unit; Forming a source gas by applying a first power to an upper electrode of the source gas supply unit and etching the metal target with the etching gas; And adsorbing the source gas on the substrate through the lower electrodes.

상기 원자층 증착 방법에 있어서, 상기 단위 박막을 형성하는 단계는, 상기 반응가스공급부 내에 상기 반응가스를 공급하는 단계; 및 상기 기판지지체에 제 2 전원을 인가하여 상기 반응가스를 이용한 제 2 플라즈마를 형성하는 단계;를 포함할 수 있다.In the atomic layer deposition method, the forming the unit thin film may include: supplying the reaction gas into the reaction gas supply unit; And forming a second plasma using the reactive gas by applying a second power to the substrate support.

상기 원자층 증착 방법에 있어서, 상기 식각가스의 공급을 차단하고, 상기 소스가스공급부 내에 제 1 불활성 기체를 공급하여 1차 퍼지하는 단계; 및 상기 박막을 형성한 후 반응가스공급부 내에 제 2 불활성 기체를 공급하여 2차 퍼지하는 단계;를 더 포함할 수 있다.The atomic layer deposition method comprising the steps of: interrupting the supply of the etching gas and supplying a first inert gas into the source gas supply unit to first purge the inert gas; And a second purge step of forming the thin film and then supplying a second inert gas into the reaction gas supply part.

상기 원자층 증착 방법에 있어서, 상기 식각가스는 불소(F2), 삼불화질소(NF3), 염소(Cl2), 브롬(Br2), 요오드(I2), 탄화불소 화합물(CxF2x+2, X=1,2,3), CF3Cl, CF2Cl2, CHF3, SiF4, SF6, HCl, HF, CCl4 및 BCl3 중 하나 이상을 포함한 기체이며, 또는 이에 더하여 Ar, He, N2, O2 등과 혼합된 기체일 수 있으며, 상기 반응가스는 수소(H2), 암모니아(NH3), 질소(N2), 산소(O2), 오존(O3), 물(H2O) 및 과산화수소수(H2O2) 중 하나이상을 포함할 수 있다.In the atomic layer deposition method, the etching gas is a fluorine (F 2), nitrogen trifluoride (NF 3), chlorine (Cl 2), bromine (Br 2), iodine (I 2), a fluorocarbon compound (C x F 2x + 2 , X = 1, 2 or 3), CF 3 Cl, CF 2 Cl 2 , CHF 3 , SiF 4 , SF 6 , HCl, HF, CCl 4 and BCl 3 , In addition, Ar, He, N 2, O 2 may be a gas mixed with the reaction gas is hydrogen (H 2), ammonia (NH 3), nitrogen (N 2), oxygen (O 2), ozone (O 3 ), water (H 2 O), and hydrogen peroxide (H 2 O 2 ).

상기한 바와 같이 이루어진 본 발명의 일 실시예에 따르면, 원자층 증착 장치 및 원자층 증착 방법을 제공한다. 인-시튜 형태로 하나의 챔버 안에서 듀얼 플라즈마와 타겟 에칭을 이용해 공정이 단순하며, 별도의 외부 금속 전구체의 공급없이 반응기내에서 형성된 금속 할로겐 화합물을 이용하여 원자층 증착 박막을 제조할 수 있다. 물론 이러한 효과에 의해 본 발명의 범위가 한정되는 것은 아니다.According to an embodiment of the present invention, an atomic layer deposition apparatus and an atomic layer deposition method are provided. The process is simple using a dual plasma and a target etching in a single chamber in an in-situ mode, and an atomic layer deposited thin film can be manufactured using a metal halide formed in a reactor without supplying an external metal precursor. Of course, the scope of the present invention is not limited by these effects.

도 1a 내지 도 1e는 본 발명의 일 실시예에 따른 원자층 증착 장치를 이용하여 박막 증착 방법을 개략적으로 도시하는 단면도이다.
도 2는 본 발명의 일 실시예에 따른 원자층 증착 방법을 개략적으로 도해하는 공정 순서도이다.
도 3은 본 발명의 다른 실시예에 따른 원자층 증착 장치를 개략적으로 도해하는 단면도이다.
FIGS. 1A to 1E are cross-sectional views schematically showing a thin film deposition method using an atomic layer deposition apparatus according to an embodiment of the present invention.
2 is a process flow diagram schematically illustrating an atomic layer deposition method according to an embodiment of the present invention.
3 is a cross-sectional view schematically illustrating an atomic layer deposition apparatus according to another embodiment of the present invention.

이하, 첨부된 도면들을 참조하여 본 발명의 실시예를 상세히 설명하면 다음과 같다. 그러나 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있는 것으로, 이하의 실시예는 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다. 또한 설명의 편의를 위하여 도면에서는 구성 요소들이 그 크기가 과장 또는 축소될 수 있다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. It should be understood, however, that the invention is not limited to the disclosed embodiments, but may be embodied in many different forms and should not be construed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, Is provided to fully inform the user. Also, for convenience of explanation, the components may be exaggerated or reduced in size.

도 1a 내지 도 1e는 본 발명의 일 실시예에 따른 원자층 증착 장치를 이용하여 원자층 증착 방법을 개략적으로 도시하는 단면도이며, 도 2는 본 발명의 일 실시예에 따른 원자층 증착 방법을 개략적으로 도해하는 공정 순서도이다.FIGS. 1A to 1E are cross-sectional views schematically showing a method of depositing an atomic layer using an atomic layer deposition apparatus according to an embodiment of the present invention. FIG. 2 is a schematic view of an atomic layer deposition method according to an embodiment of the present invention. Fig.

도 1a를 참조하면, 본 발명의 일 실시예에 의한 원자층 증착 장치(100)를 설명할 수 있다. 예를 들어, 원자층 증착 장치(100)는 하나의 진공챔버(102)로 이루어져 있다. 진공챔버(102)의 일측면에 배관(140) 및 배관(140)을 따라 진공챔버(102) 내부의 진공상태를 유지하기 위한 진공펌프(미도시)가 연결될 수 있다.Referring to FIG. 1A, an atomic layer deposition apparatus 100 according to an embodiment of the present invention can be described. For example, the atomic layer deposition apparatus 100 consists of one vacuum chamber 102. A vacuum pump (not shown) may be connected to one side of the vacuum chamber 102 to maintain a vacuum inside the vacuum chamber 102 along the pipe 140 and the pipe 140.

상기 배관(140) 또는 진공펌프(미도시)와 간섭되지 않도록 기판지지체(130)가 형성될 수 있다. 여기서, 진공펌프는 예를 들어, 로타리펌프, 확산펌프, 터보분자펌프 및 크라이오펌프 중 하나를 선택할 수 있으며, 챔버의 크기 및 공정속도에 맞게 펌프의 수량을 선택할 수 있으며, 배기성능을 만족하는 진공펌프를 설계할 수 있다. 기판지지체(130)는 진공챔버(102) 내에 배치되고, 기판(134)이 안착될 수 있다. 기판지지체(130)는 기판(134)을 고정시켜주는 기능 이외에 기판(134) 상에 증착된 박막의 결정화 또는 기판(134)과 박막의 접착력 향상을 위해 온도를 조절할 수 있는 히터(132)를 포함할 수 있다.The substrate support 130 may be formed so as not to interfere with the pipe 140 or the vacuum pump (not shown). Here, the vacuum pump can be selected from, for example, a rotary pump, a diffusion pump, a turbo molecular pump, and a cryo pump, and the number of pumps can be selected in accordance with the size of the chamber and the process speed. A vacuum pump can be designed. The substrate support 130 may be disposed within the vacuum chamber 102 and the substrate 134 may be seated. The substrate support 130 may include a heater 132 capable of controlling the temperature of the substrate 134 to improve the adhesion of the thin film deposited on the substrate 134 or the adhesion between the substrate 134 and the thin film, can do.

또한, 본 발명의 일 실시예에 의한 원자층 증착 장치(100)는 소스가스공급부(110)와 반응가스공급부(120)를 포함할 수 있다. 소스가스공급부(110)와 반응가스공급부(120)는 하나의 챔버에서 서로 다른 공간에 위치하여 각 공정을 수행할 수 있으며, 후술하게 될 복수의 가스노즐들(124)을 기준으로 소스가스공급부(110) 및 반응가스공급부(120)로 구분될 수 있다.In addition, the atomic layer deposition apparatus 100 according to an embodiment of the present invention may include a source gas supply unit 110 and a reaction gas supply unit 120. The source gas supply unit 110 and the reaction gas supply unit 120 are located in different chambers and can perform the respective processes. The source gas supply unit 110 and the source gas supply unit 120 are formed on the basis of the plurality of gas nozzles 124, 110 and a reaction gas supply unit 120.

먼저, 소스가스공급부(110)를 살펴보면, 제 1 가스주입부(114), 제 1 전원공급장치(112) 및 금속타겟(116)을 구비할 수 있다. 제 1 가스주입부(114)로 공급되는 식각가스는 할로겐 가스를 포함할 수 있으며, 예를 들어, 불소(F2), 삼불화질소(NF3), 염소(Cl2), 브롬(Br2) 및 요오드(I2) 중 하나를 포함할 수 있다.First, the source gas supply unit 110 may include a first gas injection unit 114, a first power supply unit 112, and a metal target 116. First gas etching gas to be fed into the injecting section 114 may include a halogen gas, e.g., fluorine (F 2), nitrogen trifluoride (NF 3), chlorine (Cl 2), bromine (Br 2 ) And iodine (I < 2 >).

제 1 전원공급장치(112)는 소스가스공급부(110)에 구비된 전극에 제 1 전원을 공급하는 기능을 한다. 제 1 전원공급장치(112)는 예를 들어, 직류(DC) 또는 무선 주파수(RF) 파워 서플라이 중 하나를 사용할 수 있다. 여기서, 전극은 상부전극과 하부전극으로 구분될 수 있으며, 상기 상부전극과 상기 하부전극 각각의 적어도 일면에는 금속타겟(target)이 형성될 수 있다.The first power supply 112 supplies the first power to the electrodes of the source gas supply unit 110. The first power supply 112 may use, for example, either a direct current (DC) or a radio frequency (RF) power supply. Here, the electrode may be divided into an upper electrode and a lower electrode, and a metal target may be formed on at least one surface of each of the upper electrode and the lower electrode.

즉, 소스가스공급부(110)의 상부와 하부에는 전극이 각각 형성될 수 있으며, 상부전극의 하면과 하부전극의 상면에는 각각 금속타겟이 배치될 수 있다. 따라서, 이하에서는 상부전극과 하부전극은 금속타겟(116)으로 이해될 수 있다. 금속타겟(116)은 제 1 전원공급장치(112)가 직접 연결되는 전극 또는 복수의 가스노즐들(124) 상에 구비될 수 있다. 금속타겟(116)은 크기가 작은 유닛으로 장착되거나 작은 단위를 조립하여 큰 유닛으로 장착될 수 있다. 또한 금속타겟(116)의 뒷면에는 냉각수가 공급되어 금속타겟이 에칭될 때 온도가 높아지는 것을 방지할 수 있다.That is, electrodes may be formed on the upper and lower portions of the source gas supply unit 110, respectively, and metal targets may be disposed on the upper surface of the upper electrode and the upper surface of the lower electrode, respectively. Therefore, in the following, the upper electrode and the lower electrode can be understood as the metal target 116. [ The metal target 116 may be provided on an electrode or a plurality of gas nozzles 124 to which the first power supply 112 is directly connected. The metal target 116 may be mounted as a small unit or a small unit may be assembled and mounted as a large unit. Further, cooling water is supplied to the rear surface of the metal target 116 to prevent the temperature from rising when the metal target is etched.

소스가스공급부(110)의 상부전극에 제 1 전원을 인가하면, 진공챔버(102) 내에 공급되는 식각가스의 일부가 여기되거나 또는 이온화 됨으로써 금속타겟(116)을 식각할 수 있다. 여기서, 식각가스가 여기되거나 또는 이온화 된 것은 예를 들어, 플라즈마로 이해될 수 있다.When the first power source is applied to the upper electrode of the source gas supply unit 110, a part of the etching gas supplied into the vacuum chamber 102 is excited or ionized to etch the metal target 116. Here, the etching gas is excited or ionized can be understood, for example, as a plasma.

예를 들면, 소스가스공급부(110)의 상부전극에 제 1 전원을 인가하고, 하부전극은 접지될 경우, 소스가스공급부(110) 내에 공급된 식각가스를 포함하는 제 1 가스가 이온화되면서 제 1 플라즈마(P1)가 발생할 수 있다. 생성된 제 1 플라즈마(P2)에 의해 진공챔버(102) 내에 장착된 금속타겟(116)을 식각할 수 있으며, 식각된 금속타겟(116)의 입자가 떨어져 나와 이온화된 식각가스 또는 공급되는 식각가스와 적어도 일부가 반응하여 금속 화합물을 형성할 수 있다. 금속 화합물은 식각가스로 할로겐 가스를 사용하게 되면 금속할로겐 화합물이 될 수 있다. 여기서, 상기 금속 화합물은 소스가스로 이해될 수 있다.For example, when the first power source is applied to the upper electrode of the source gas supply unit 110 and the lower electrode is grounded, the first gas including the etching gas supplied into the source gas supply unit 110 is ionized, The plasma P1 may occur. The metal target 116 mounted in the vacuum chamber 102 can be etched by the generated first plasma P2 and the particles of the etched metal target 116 can be removed and the ionized etching gas or the supplied etching gas And at least a part of them may react with each other to form a metal compound. A metal compound can be a metal halide compound if a halogen gas is used as the etching gas. Here, the metal compound may be understood as a source gas.

한편, 반응가스공급부(120)는 복수의 가스노즐들(124), 기판지지체(130) 및 제 2 전원공급장치(122)를 구비할 수 있다. 복수의 가스노즐들(124)을 기준으로 소스가스공급부(110)와 반응가스공급부(120)로 구분될 수 있다. 예를 들어, 진공챔버(102)의 하단부에 기판(134)이 위치하게 되면, 그에 대향하는 진공챔버(102)의 상단부에 소스가스공급부(110)가 위치할 수 있다. 반응가스공급부(120)는 소스가스공급부(110)와 기판(134) 사이, 즉, 진공챔버(102)의 중단부에 위치할 수 있다.Meanwhile, the reaction gas supply unit 120 may include a plurality of gas nozzles 124, a substrate support 130, and a second power supply unit 122. The source gas supply unit 110 and the reaction gas supply unit 120 may be divided into a plurality of gas nozzles 124 as a reference. For example, when the substrate 134 is positioned at the lower end of the vacuum chamber 102, the source gas supply unit 110 may be positioned at the upper end of the vacuum chamber 102 opposed thereto. The reaction gas supply unit 120 may be located between the source gas supply unit 110 and the substrate 134, that is, at a middle portion of the vacuum chamber 102.

소스가스공급부(110)에서 생성된 소스가스는 복수의 홀(126)을 통과하여 기판지지체(130) 상에 제공될 수 있으며, 기판지지체(130) 상에 기판(134)이 안착될 경우, 기판(134)의 표면 상에 소스가스가 흡착될 수 있다. 여기서, 복수의 홀(126)은 복수의 가스노즐들(124)의 사이로서, 복수의 가스노즐들(124)에 의해 형성된 통로를 의미한다.The source gas generated in the source gas supply unit 110 may be provided on the substrate support 130 through the plurality of holes 126. When the substrate 134 is mounted on the substrate support 130, The source gas can be adsorbed on the surface of the substrate 134. Here, the plurality of holes 126 means a passage formed by the plurality of gas nozzles 124 between the plurality of gas nozzles 124.

제 2 전원공급장치(122)는 제 1 전원공급장치(112)와 같은 기능을 수행하며, 기판지지체(130)에 제 2 전원을 인가하면, 진공챔버(102)내에 공급되는 반응가스를 포함하는 제 2 가스가 일부 여기되거나 또는 이온화됨에 따라 제 2 플라즈마(P2)가 생성될 수 있다. 이에 의해 발생되는 라디칼 또는 이온이 기판(134) 상에 흡착된 소스가스와 반응하여 성분이 다른 박막을 형성할 수도 있다. 여기서, 원하는 박막의 물성을 얻기 위해서 소스가스와 반응가스를 기판(134) 상에 교번하여 공급함으로써 원자층의 두께를 조절할 수 있다.The second power supply 122 performs the same function as the first power supply 112. When the second power supply is applied to the substrate support 130, The second plasma P2 can be generated as the second gas is partially excited or ionized. The radical or ion generated thereby may react with the source gas adsorbed on the substrate 134 to form a different thin film. Here, in order to obtain the desired physical properties of the thin film, the thickness of the atomic layer can be adjusted by alternately supplying the source gas and the reactive gas onto the substrate 134.

또한, 기판지지체(130)는 제 2 전원공급장치(122)가 직접 연결되는 전극 및 히터(132)를 구비할 수 있다. 히터(132)는 웨이퍼를 지지하거나 가열하기 위한 것으로서, 히터(132)는 예를 들어, 세라믹 소재로 이루어진 히터나 시스히터로 구성될 수 있다. 세라믹 소재로 이루어진 히터의 경우, 고온에서 장시간 운전에도 부식 등의 문제가 발생하지 않을 수 있다. 세라믹 기판지지체의 내부에 발열체가 매설된 형태로 세라믹 기판지지체와 발열체가 일체형으로 구성될 수 있다.In addition, the substrate support 130 may include a heater 132 and an electrode to which the second power supply 122 is directly connected. The heater 132 is for supporting or heating the wafer, and the heater 132 may be composed of, for example, a heater or a sheath heater made of a ceramic material. In the case of a heater made of a ceramic material, corrosion and the like may not occur even at a high temperature for a long time. The ceramic substrate support and the heating element may be integrally formed in such a manner that a heating element is buried in the ceramic substrate support.

반면에, 시스히터와 같은 경우는, 고온에 장시간 노출되거나 할로겐 가스와 같이 반응성이 큰 물질과 접촉시 부식 등의 문제가 발생할 수 있다. 그러나, 본 발명에 의하면, 식각가스를 이용한 금속 화합물을 형성하는 공간이 소스가스공급부(110)에서 처리를 함으로써, 기판(134) 상에 직접 식각가스가 접촉하지 않아 부식이 적다는 장점이 있다. 그에 따라 히터(132) 등과 같은 부식성 부품들의 교체주기 또한 증가하여 비용절감 효과를 극대화할 수 있다.On the other hand, in the case of a sheath heater, a problem such as corrosion may occur when the substrate is exposed to a high temperature for a long time or a substance having a high reactivity such as a halogen gas. However, according to the present invention, since the space for forming the metal compound using the etching gas is treated in the source gas supply unit 110, the etching gas is not directly contacted on the substrate 134, which is advantageous in that corrosion is less. Accordingly, the replacement period of the corrosive parts such as the heater 132 and the like also increases, thereby maximizing the cost saving effect.

도 2를 참조하면, 본 발명의 일 실시예에 의한 원자층 증착 방법은 단위 사이클을 복수회 반복하여 박막을 증착하는 방법으로서, 상기 단위 사이클은 진공챔버(102) 내에 구비된 소스가스공급부(110)에 배치된 금속타겟(116)을 소스가스공급부(110) 내에 공급된 식각가스를 이용하여 식각함으로써 형성된 소스가스를 기판지지체(130) 상에 안착된 기판(134) 상에 흡착시키는 단계(S10)와 진공챔버(102) 내에 배치된 반응가스공급부(120)를 통해서 기판(134) 상에 반응가스를 공급하여 기판(134) 상에 단위 박막을 형성하는 단계(S20)를 포함할 수 있다.2, the atomic layer deposition method according to an embodiment of the present invention is a method of depositing a thin film by repeating a unit cycle a plurality of times, wherein the unit cycle includes a source gas supply unit 110 (Step S10) of adsorbing the source gas formed by etching the metal target 116 disposed on the substrate support 130 using the etching gas supplied in the source gas supply unit 110 onto the substrate 134 placed on the substrate support 130 And forming a unit thin film on the substrate 134 by supplying a reaction gas onto the substrate 134 through the reaction gas supply unit 120 disposed in the vacuum chamber 102. [

예를 들어, 동일한 진공챔버(102) 내에서 서로 다른 공간에서 형성되는 제 1 플라즈마(P1)와 제 2 플라즈마(P2)는 반응성이 매우 강한 식각가스에 의한 진공챔버(102) 내부의 오염을 최소화 할 수 있고, 그에 따른 진공챔버(102)의 유지보수(maintenance) 주기를 길게 할 수 있는 효과가 있다.For example, the first plasma P1 and the second plasma P2, which are formed in different spaces in the same vacuum chamber 102, minimize contamination of the inside of the vacuum chamber 102 by the highly reactive etching gas. And the maintenance cycle of the vacuum chamber 102 can be lengthened.

상기 원자층 증착 방법은 도 1a 내지 도 1e를 참조하여 상세하게 설명할 수 있다.The atomic layer deposition method can be described in detail with reference to FIGS. 1A to 1E.

도 1a 및 도 1b를 참조하면, 본 발명의 일 실시예에 의한 원자층 증착 방법은 진공챔버(102) 내부에 배치된 기판지지체(130) 상에 기판(134)을 준비할 수 있다. 기판(134)은 실리콘, 실리콘 게르마늄, 실리콘 카본, 갈륨 비소, Ⅲ-Ⅴ 화합물 반도체 물질 등으로 이루어진 웨이퍼를 포함할 수 있다. 기판(134) 장착이 완료된 후 진공챔버(102)에 연결된 배기펌프로 진공챔버(102)의 진공상태를 유지한다.1A and 1B, an atomic layer deposition method according to an embodiment of the present invention can prepare a substrate 134 on a substrate support 130 disposed inside a vacuum chamber 102. The substrate 134 may comprise wafers made of silicon, silicon germanium, silicon carbon, gallium arsenide, III-V compound semiconductor materials, and the like. The vacuum state of the vacuum chamber 102 is maintained by the exhaust pump connected to the vacuum chamber 102 after the mounting of the substrate 134 is completed.

이후에 소스가스공급부(110)의 상부전극에 제 1 전원이 인가됨에 따라 식각가스를 포함하는 제 1 가스가 여기되거나 일부 이온화됨에 따라 금속타겟(116)을 식각하여 형성된 금속 화합물을 기판(134) 상에 흡착할 수 있다. 즉, 진공이 유지되는 진공챔버(102)에 제 1 가스주입부(114)를 통해 식각가스를 포함하는 제 1 가스(G1)를 공급할 수 있다. 식각가스는 예를 들어, 불소(F2), 삼불화질소(NF3), 염소(Cl2), 브롬(Br2), 요오드(I2), 탄화불소 화합물(CxF2x+2, X=1,2,3), CF3Cl, CF2Cl2, CHF3, SiF4, SF6, HCl, HF, CCl4 및 BCl3 중 하나 이상을 포함한 기체일 수 있으며, 또는 이에 더하여 Ar, He, N2, O2 등과 혼합된 기체일 수 있다.The first gas including the etching gas is excited or partially ionized as the first power is applied to the upper electrode of the source gas supply unit 110. The metal compound formed by etching the metal target 116 is applied to the substrate 134, . ≪ / RTI > That is, the first gas G1 including the etching gas can be supplied to the vacuum chamber 102 in which the vacuum is maintained through the first gas injection unit 114. The etching gas may be, for example, fluorine (F 2 ), nitrogen trifluoride (NF 3 ), chlorine (Cl 2 ), bromine (Br 2 ), iodine (I 2 ), fluorocarbon compounds (C x F 2x + X = 1, 2, 3), CF 3 Cl, CF 2 Cl 2 , CHF 3 , SiF 4 , SF 6 , HCl, HF, CCl 4 and BCl 3 , , He, N 2 , O 2 and the like.

제 1 가스(G1)는 불활성 퍼지가스를 더 포함할 수 있다. 제 1 가스(G1)를 화살표 방향으로 주입하면서, 제 1 전원공급장치(112)로 소스가스공급부(110)에 고전압을 인가할 경우, 제 1 플라즈마(P1)가 생성될 수 있다.The first gas G1 may further include an inert purge gas. The first plasma P1 can be generated when a high voltage is applied to the source gas supply unit 110 by the first power supply 112 while injecting the first gas G1 in the direction of the arrow.

생성된 제 1 플라즈마(P1)에 의해 진공챔버(102) 내에 장착된 금속타겟(116)을 식각할 수 있다. 제 1 가스(G1) 또는 이온화된 제 1 가스(G1) 이온들과 식각된 금속타겟(116)의 입자가 서로 반응하여 금속 화합물을 형성할 수 있다. 금속 화합물은 할로겐 가스가 사용된다면, 금속할로겐 화합물이 형성될 수 있다. The metal target 116 mounted in the vacuum chamber 102 can be etched by the generated first plasma P1. The first gas G1 or the ionized first gas (G1) ions and the particles of the etched metal target 116 may react with each other to form a metal compound. If a halogen gas is used as the metal compound, a metal halide compound may be formed.

한편, 반응가스공급부(120)는 기판지지체(130) 상에 서로 이격되어 배치된 복수의 가스노즐들(124)을 포함하고, 복수의 가스노즐들(124)은 제 1 플라즈마(P1)가 생성될 때, 소스가스공급부(110)의 하부전극으로 이해될 수 있으며, 접지 전극으로서 접지될 수 있다. 또, 생성된 금속 화합물은 복수의 가스노즐들(124) 상에서 형성되어 복수의 홀(126)을 통해 화살표 방향으로 이동할 수 있으며, 기판(134) 상에 흡착될 수 있다.The reaction gas supply unit 120 includes a plurality of gas nozzles 124 spaced apart from each other on a substrate support 130 and a plurality of gas nozzles 124 are formed on the substrate support 130 such that a first plasma P1 is generated It can be understood as a lower electrode of the source gas supply unit 110 and can be grounded as a ground electrode. The generated metal compound may be formed on the plurality of gas nozzles 124, move in the direction of the arrow through the plurality of holes 126, and adsorbed on the substrate 134.

기판(134) 상에 금속 화합물이 흡착될 때, 기판지지체(130)에 구비된 히터(132)에 의해 반응온도를 높여주게 되면, 금속화합물과 기판(134)과의 접착력 향상에도 도움이 되며, 이후 반응가스에 의해 발생되는 이온들과의 반응 또한 쉽게 이루어 질 수도 있다.When the reaction temperature is raised by the heater 132 provided on the substrate support 130 when the metal compound is adsorbed on the substrate 134, the bonding strength between the metal compound and the substrate 134 is improved, The reaction with the ions generated by the reaction gas may then be easily performed.

도 1c 내지 도 1e를 참조하면, 기판(134) 상에 금속 화합물이 형성된 후 진공챔버(102) 내에 공급된 제 1 가스(G1)를 1차 퍼지할 수 있다. 제 1 가스주입부(114)를 통해 진공챔버(102) 내에 공급되는 제 1 가스(G1)를 차단한다. 이후에, 예를 들어, 아르곤(Ar) 또는 질소(N2) 중 하나를 포함하는 불활성 기체를 제 1 가스주입부(114) 또는 복수의 가스노즐들(124)을 이용해 진공챔버(102) 내에 공급할 수 있다. 공급되어진 불활성 기체로 진공챔버(102) 내부에 있는 제 1 가스(G1)를 모두 퍼지할 수 있다. 상기 불활성 기체로 퍼지하는 단계는 이후 반응가스가 기판 상에 골고루 잘 분산되어 제공될 수 있도록 캐리어 역할을 할 수도 있다.1C to 1E, a first gas G1 supplied into the vacuum chamber 102 may be firstly purged after a metal compound is formed on the substrate 134. Referring to FIG. And blocks the first gas (G1) supplied into the vacuum chamber (102) through the first gas injection unit (114). Thereafter, an inert gas containing, for example, either argon (Ar) or nitrogen (N 2 ) is introduced into the vacuum chamber 102 using the first gas injection unit 114 or the plurality of gas nozzles 124 Can supply. The first gas G1 in the vacuum chamber 102 can be completely purged with the supplied inert gas. The step of purging with the inert gas may then serve as a carrier so that the reaction gas can be provided evenly dispersed on the substrate.

이후에, 제 2 플라즈마(P2)에 의해 발생되는 라디칼 또는 이온이 기판 상에 흡착된 금속 화합물과 반응하여 박막을 형성할 수 있다. 진공챔버(102) 내에 공급된 제 1 가스(G1)를 퍼지한 후 제 1 가스주입부(114)를 통해 예를 들어, 아르곤(Ar) 기체를 흘려준다. 이와 동시에 복수의 가스노즐들(124)를 통해 반응가스를 포함하는 제 2 가스(G2)를 화살표 방향으로 공급할 수 있다. 반응가스는 예를 들어, 수소(H2), 암모니아(NH3), 질소(N2), 산소(O2), 오존(O3), 물(H2O) 및 과산화수소수(H2O2) 및 이들의 조합, 또는 그 외 금속 할로겐 화합물과 반응할 수 있는 특정 화학물질을 포함하는 것일 수 있다. 제 2 가스(G2)는 반응가스 이외에도 불활성 퍼지가스를 더 포함할 수 있다.Thereafter, radicals or ions generated by the second plasma P2 can react with the metal compound adsorbed on the substrate to form a thin film. The first gas G1 supplied into the vacuum chamber 102 is purged and then argon (Ar) gas is flowed through the first gas injection unit 114, for example. At the same time, the second gas (G2) containing the reactive gas can be supplied in the direction of the arrow through the plurality of gas nozzles (124). Reaction gas, for example, hydrogen (H 2), ammonia (NH 3), nitrogen (N 2), oxygen (O 2), ozone (O 3), water (H 2 O) and hydrogen peroxide (H 2 O 2 ) and combinations thereof, or other chemical compounds capable of reacting with other metal halide compounds. The second gas G2 may further include an inert purge gas in addition to the reactive gas.

반응가스공급부(120)의 기판지지체에 제 2 전원을 인가하면, 반응가스공급부(120) 내에 공급된 반응가스를 포함하는 제 2 가스(G2)가 여기되거나 이온화됨에 따라 제 1 플라즈마(P1)와 서로 다른 공간에서 제 2 플라즈마(P2)를 생성할 수 있다. 이 때, 복수의 가스노즐들(124)은 반응가스공급부(120)의 접지전극으로 기능하며, 제 2 플라즈마(P2)에 의해 발생된 H* 또는 N*와 같은 라디칼 또는 이온에 의해, 기판(134)에 흡착된 금속 화합물과 반응하여 단위 박막을 형성한다.When the second power source is applied to the substrate support of the reaction gas supply unit 120, the second gas G2 containing the reactive gas supplied into the reaction gas supply unit 120 is excited or ionized, It is possible to generate the second plasma P2 in different spaces. At this time, the plurality of gas nozzles 124 function as the ground electrode of the reaction gas supply unit 120, and the radicals or ions, such as H * or N * generated by the second plasma P2, 134) to form a unit thin film.

기판(134) 상에 금속 화합물을 형성할 때와 같이, 기판지지체(130)에 구비된 히터(132)를 이용해 기판(134)의 온도를 증가할 경우, 상기 라디칼 또는 이온에 의해 쉽게 단위 박막을 형성할 수도 있다.When the temperature of the substrate 134 is increased by using the heater 132 provided on the substrate support 130 as in the case of forming the metal compound on the substrate 134, .

단위 박막을 형성하는 단계 이후 진공챔버(102) 내에 공급된 제 2 가스(G2)를 2차 퍼지할 수 있다. 제 1 가스주입부(114) 및 복수의 가스노즐들(124)을 통해 불활성 기체를 공급하여 진공챔버(102) 내에 공급된 제 2 가스(G2)를 모두 퍼지할 수 있다.The second gas G2 supplied into the vacuum chamber 102 may be secondarily purged after the step of forming the unit thin film. The inert gas may be supplied through the first gas injection unit 114 and the plurality of gas nozzles 124 to purge all the second gas G2 supplied into the vacuum chamber 102. [

마지막으로, 도 1a 내지 도 1e에 도시된 원자층 증착 방법을 포함하는 단위 사이클을 적어도 1회 이상 반복 수행할 수 있다. 이는 박막의 특성에 따라 적절하게 반복 횟수를 설계할 수 있다.Finally, the unit cycle including the atomic layer deposition method shown in FIGS. 1A to 1E can be repeated at least once. It is possible to design the number of repetition as appropriate according to the characteristics of the thin film.

도 3은 본 발명의 다른 실시예에 따른 원자층 증착 장치를 개략적으로 도해하는 단면도이다.3 is a cross-sectional view schematically illustrating an atomic layer deposition apparatus according to another embodiment of the present invention.

도 3을 참조하면, 본 발명의 다른 실시예에 의한 원자층 증착 장치(200)는 진공챔버(102), 진공챔버(102) 내에 배치되는 기판지지체(130) 및 기판지지체(130)와 서로 이격되어 배치되며, 기판지지체(130)와 대향되는 내부공간에 금속타겟(116)을 구비하고, 식각가스를 포함하는 제 1 가스를 이용하여 금속타겟(116)을 식각함으로써 기판지지체(130) 상에 소스가스를 공급할 수 있는 소스가스공급부(110)를 포함할 수 있다.3, an atomic layer deposition apparatus 200 according to another embodiment of the present invention includes a vacuum chamber 102, a substrate support 130 disposed in the vacuum chamber 102, and a substrate support 130, And a metal target 116 is provided in an inner space facing the substrate support 130 and the metal target 116 is etched using a first gas containing an etching gas And a source gas supply unit 110 capable of supplying a source gas.

또한, 동일한 진공챔버(102)에 결합되며, 소스가스가 흡착된 기판(134)의 표면에서 반응을 유도할 수 있도록 반응가스를 포함하는 제 2 가스를 공급하는 반응가스공급부(120)를 포함할 수 있다. 여기서, 반응가스공급부(120)는 기판지지체(130)의 회전축을 기준으로 소스가스공급부(110)와 서로 대칭되도록 배치될 수 있다. 반응가스공급부(120)에 대한 상세한 설명은 그 기능과 구조가 도 1a를 참조하여 상술한 원자층 증착 장치(100)의 내용과 유사하므로 생략한다.And a reaction gas supply unit 120 connected to the same vacuum chamber 102 and supplying a second gas including a reactive gas so as to induce a reaction on the surface of the substrate 134 on which the source gas is adsorbed . Here, the reaction gas supply unit 120 may be disposed to be symmetrical with respect to the source gas supply unit 110 with respect to the rotation axis of the substrate support 130. The detailed description of the reaction gas supply unit 120 is omitted because its function and structure are similar to those of the atomic layer deposition apparatus 100 described above with reference to FIG. 1A.

또한, 소스가스공급부(110) 및 반응가스공급부(120)는 기판지지체(130) 상에 공간분할식으로 교번하여 하나 또는 복수개 배치될 수 있으며, 소스가스공급부(110) 및 반응가스공급부(120) 사이에 배치되는 복수의 퍼지가스공급부(미도시)를 더 포함할 수 있다. 여기서, 퍼지가스공급부(미도시)는 소스가스공급부(110)와 반응가스공급부(120) 사이의 공간에서 소스가스공급부(110)와 반응가스공급부(120)를 공간적으로 분할하는 기능을 수행하며, 각 공정 단계에서 기판(134) 상에 잔류하는 불순물 즉, 잔류가스를 제거하는 기능을 수행한다. 이 때, 기판지지체(130)는 소스가스공급부(110) 및 반응가스공급부(120) 아래에서 회전 또는 왕복 운동함으로써 기판(134) 상에 단위 박막을 형성할 수 있다.The source gas supply unit 110 and the reaction gas supply unit 120 may be alternately arranged in a space division manner on the substrate support 130. The source gas supply unit 110, And a plurality of purge gas supply units (not shown) disposed between the purge gas supply units. Here, the purge gas supply unit (not shown) performs a function of spatially dividing the source gas supply unit 110 and the reaction gas supply unit 120 in a space between the source gas supply unit 110 and the reaction gas supply unit 120, I.e., residual gas, remaining on the substrate 134 in each process step. At this time, the substrate support 130 may form a unit thin film on the substrate 134 by rotating or reciprocating under the source gas supply unit 110 and the reaction gas supply unit 120.

예를 들면, 진공챔버(102) 내에 기판(134)이 로딩된 후, 소스가스공급부(110) 내에 제 1 가스주입부(114)를 통해 식각가스를 포함하는 제 1 가스(G1)를 공급할 수 있다. 이후에 소스가스공급부(110)의 상부전극에 제 1 전원을 인가하면, 제 1 가스(G1)가 여기되거나 이온화되어 소스가스공급부(110)의 금속타겟(116)을 식각함으로써 소스가스를 형성할 수 있다. 상기 소스가스는 복수의 홀(126)을 통해서 이동되며, 기판(134)의 표면 상에 흡착될 수 있다.For example, after the substrate 134 is loaded in the vacuum chamber 102, a first gas G1 containing an etching gas may be supplied through the first gas injection unit 114 into the source gas supply unit 110 have. The first gas G1 is excited or ionized to form the source gas by etching the metal target 116 of the source gas supply unit 110 . The source gas is moved through the plurality of holes 126 and can be adsorbed on the surface of the substrate 134.

한편, 소스가스가 흡착된 기판(134)은 챔버 내부에서 회전 또는 이동되어 반응가스공급부(120) 내에 위치할 수 있다. 이후에 반응가스공급부(120) 내에 제 2 가스주입부(124a)를 통해 반응가스를 포함하는 제 2 가스(G2)가 공급되고, 반응가스공급부(120)의 기판지지체에 제 2 전원을 인가하여 제 2 가스(G2)가 여기되거나 이온화될 수 있다. 이 때, 반응가스공급부(120)에 구비된 상부전극(116a)은 접지될 수 있다. 여기되거나 이온화된 제 2 가스(G2)와 기판(134)의 표면에 흡착된 소스가스가 서로 반응하여 기판(134) 상에 상기 소스가스와 성질이 다른 단위 박막이 형성될 수 있다.On the other hand, the substrate 134 on which the source gas is adsorbed may be rotated or moved inside the chamber to be located in the reaction gas supply unit 120. A second gas G2 containing a reactive gas is supplied into the reaction gas supply unit 120 through the second gas injection unit 124a and a second power source is applied to the substrate support of the reaction gas supply unit 120 The second gas G2 can be excited or ionized. At this time, the upper electrode 116a of the reaction gas supply unit 120 may be grounded. The excited or ionized second gas G2 and the source gas adsorbed on the surface of the substrate 134 react with each other to form a unit thin film having different properties from the source gas on the substrate 134.

여기서, 소스가스공급부(110)와 반응가스공급부(120)로 기판(134)이 이동될 때, 식각가스를 포함하는 제 1 가스(G1)와 반응가스를 포함하는 제 2 가스(G2)가 서로 구분될 수 있도록 공간적으로 분할하기 위해서, 퍼지가스를 공급할 수 있는 퍼지가스공급부(미도시)가 소스가스공급부(110)와 반응가스공급부(120) 사이사이에 배치될 수 있다. 또, 원자층 증착 공정시에 사용되는 제 1 가스(G1), 제 2 가스(G2) 및 원자층 증착 장치의 기본적인 구성은 도 1a 내지 도 1e를 참조하여 상술한 바와 동일하므로 상세한 설명은 생략한다.Here, when the substrate 134 is moved to the source gas supply unit 110 and the reaction gas supply unit 120, the first gas G1 containing the etching gas and the second gas G2 containing the reactive gas A purge gas supply unit (not shown) capable of supplying a purge gas may be disposed between the source gas supply unit 110 and the reaction gas supply unit 120 so as to be divided so as to be divided. The basic structure of the first gas (G1), the second gas (G2), and the atomic layer deposition apparatus used in the atomic layer deposition process is the same as that described above with reference to FIGS. 1A to 1E, .

상술한 바와 같이 본 발명에서는 하나의 진공챔버(102) 내에서 장착된 금속타겟(116)을 식각가스로 에칭하여 기판(134) 상에 흡착시킨 후 상기 식각하는 공간과 다른 공간에서 반응가스의 이온과 기판(134)에 흡착된 금속할로겐 화합물을 반응시켜 단위 박막을 증착하는 기술이다. 금속할로겐 화합물은 대개 고체상이어서 반응기 내부로 공급함에 있어 어려움이 따르며, 장치 및 공정이 복잡하고 비용이 많이 들어갈 수 있다. 그러나, 본 발명에 의하면, 별도의 액체 금속 원료의 공급 없이, 반응챔버 내에서 자체 에칭으로 금속할로겐 화합물을 공급하여 반응가스의 종류에 따라 손쉽게 금속막, 산화막 또는 질화막 중 적어도 어느 하나를 형성할 수 있다.As described above, in the present invention, the metal target 116 mounted in one vacuum chamber 102 is etched with an etching gas and adsorbed on the substrate 134, and then ions of the reactive gas And a metal halide compound adsorbed on the substrate 134 to deposit a unit thin film. Metal halide compounds are usually solid and difficult to feed into the reactor, and the equipment and process can be complex and expensive. However, according to the present invention, it is possible to easily form a metal film, an oxide film, or a nitride film depending on the kind of a reaction gas by supplying a metal halide compound by self-etching in a reaction chamber without supplying a separate liquid metal raw material have.

또한, 하나의 챔버로 구성되어, 듀얼 플라즈마를 사용해 단위 박막을 형성할 수 있으므로 작업 공간의 효율성 및 챔버가 작게 설계될 수도 있어, 공정비용 및 제조 단가가 낮아지는 효과도 얻을 수 있다.In addition, since the single thin film can be formed by using the dual plasma formed by one chamber, the efficiency of the work space and the chamber can be designed to be small, so that the process cost and manufacturing cost can be reduced.

본 발명은 도면에 도시된 실시예를 참고로 설명되었으나 이는 예시적인 것에 불과하며, 당해 기술분야에서 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 다른 실시예가 가능하다는 점을 이해할 것이다. 따라서 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위의 기술적 사상에 의하여 정해져야 할 것이다.While the present invention has been described with reference to exemplary embodiments thereof, it is to be understood that the invention is not limited to the disclosed exemplary embodiments, but, on the contrary, is intended to cover various modifications and equivalent arrangements included within the spirit and scope of the appended claims. Accordingly, the true scope of the present invention should be determined by the technical idea of the appended claims.

100, 200 : 박막 증착 장치
102 : 진공챔버
110 : 소스가스공급부
112 : 제 1 전원공급장치
114 : 제 1 가스주입부
116 : 금속타겟
116a : 상부전극
120 : 반응가스공급부
122 : 제 2 전원공급장치
124 : 복수의 가스노즐들
124a : 제 2 가스주입부
126 : 홀
130 : 기판지지체
132 : 히터
134 : 기판
140 : 배관
100, 200: Thin film deposition apparatus
102: vacuum chamber
110: source gas supply unit
112: first power supply
114: first gas injection portion
116: metal target
116a: upper electrode
120: Reaction gas supply part
122: second power supply
124: a plurality of gas nozzles
124a: a second gas injection part
126: hole
130: substrate support
132: heater
134: substrate
140: Piping

Claims (12)

진공챔버;
상기 진공챔버 내에 배치되고 기판이 안착되는 기판지지체;
상기 진공챔버 내에 상기 기판지지체와 대향되게 배치된 금속타겟(target) 및 상기 금속타겟을 식각하여 소스가스를 생성하기 위한 식각가스를 포함하는 제 1 가스를 공급하기 위한 제 1 가스주입부를 포함하는 소스가스공급부; 및
상기 진공챔버에 결합되며, 상기 기판 상에 반응가스를 포함하는 제 2 가스를 공급하는 반응가스공급부;
를 포함하고,
상기 반응가스공급부는 상기 기판지지체 상에 서로 이격되어 배치된 복수의 가스노즐들을 포함하며, 상기 복수의 가스 노즐들은 상기 소스가스공급부 및 상기 반응가스공급부의 접지 전극으로 기능하고,
상기 소스가스는 상기 복수의 가스노즐들 상에서 형성되어 상기 복수의 가스노즐들 사이를 통과하여 상기 기판지지체 상에 제공되며,
상기 소스가스와 상기 반응가스는 상기 기판 상에 교번하여 공급되는,
원자층 증착 장치.
A vacuum chamber;
A substrate support disposed within the vacuum chamber and on which the substrate rests;
And a first gas injection portion for supplying a first gas including a metal target disposed in the vacuum chamber to face the substrate support and an etch gas for etching the metal target to generate a source gas, A gas supply unit; And
A reaction gas supply unit coupled to the vacuum chamber and supplying a second gas including a reactive gas onto the substrate;
Lt; / RTI >
Wherein the plurality of gas nozzles function as a ground electrode of the source gas supply unit and the reaction gas supply unit, and the plurality of gas nozzles function as a ground electrode of the reaction gas supply unit,
Wherein the source gas is formed on the plurality of gas nozzles and is provided on the substrate support passing between the plurality of gas nozzles,
Wherein the source gas and the reactive gas are alternately supplied onto the substrate,
Atomic layer deposition apparatus.
제 1 항에 있어서,
상기 식각가스는 할로겐 가스를 포함하고,
상기 소스가스는 상기 할로겐 가스를 이용하여 상기 금속타겟을 식각하여 생성된 금속 할로겐 화합물을 포함하고,
상기 제 1 가스와 상기 제 2 가스 중 적어도 어느 하나는 불활성 퍼지가스를 더 포함하는,
원자층 증착 장치.
The method according to claim 1,
Wherein the etching gas comprises a halogen gas,
Wherein the source gas comprises a metal halide compound produced by etching the metal target using the halogen gas,
Wherein at least one of the first gas and the second gas further comprises an inert purge gas,
Atomic layer deposition apparatus.
삭제delete 제 1 항에 있어서,
상기 소스가스공급부는 상부전극과 하부전극을 구비하며, 상기 상부전극과 상기 하부전극 각각의 적어도 일면에 상기 금속타겟이 형성된 것인,
원자층 증착 장치.
The method according to claim 1,
Wherein the source gas supply unit has an upper electrode and a lower electrode, and the metal target is formed on at least one surface of each of the upper electrode and the lower electrode.
Atomic layer deposition apparatus.
삭제delete 제 1 항에 있어서,
상기 소스가스공급부는 상기 식각가스를 이용한 제 1 플라즈마 형성을 위해 상기 복수의 노즐들 상에 제 1 전원이 인가되는 상부전극을 포함하고,
상기 반응가스공급부는 상기 반응가스를 이용한 제 2 플라즈마 형성을 위해서 상기 기판지지체에 제 2 전원이 인가되는 것인,
원자층 증착 장치.
The method according to claim 1,
Wherein the source gas supply unit includes an upper electrode to which a first power is applied on the plurality of nozzles for forming a first plasma using the etching gas,
Wherein the reactive gas supply unit applies a second power to the substrate support for forming a second plasma using the reactive gas.
Atomic layer deposition apparatus.
삭제delete 단위 사이클을 복수회 반복하여 박막을 증착하는 방법으로서,
상기 단위 사이클은,
진공챔버 내에 구비된 소스가스공급부에 배치된 금속타겟을 상기 소스가스공급부 내에 공급된 식각가스를 이용하여 식각함으로써 형성된 소스가스를 기판지지체 상에 안착된 기판 상에 흡착시키는 단계; 및
상기 진공챔버 내에 배치된 반응가스공급부를 통해서 상기 기판 상에 반응가스를 공급하여 상기 기판 상에 단위 박막을 형성하는 단계;
를 포함하고,
상기 흡착시키는 단계는,
상기 식각가스를 상기 소스가스공급부 내에 공급하는 단계;
상기 소스가스공급부에 구비된 상부전극에 제 1 전원을 인가하여 상기 식각가스를 이용한 제 1 플라즈마를 형성하여 상기 금속타겟을 식각함으로써 상기 소스가스를 형성하는 단계;
상기 소스가스를 상기 기판 상에 흡착시키는 단계; 및
상기 식각가스의 공급을 차단하고, 상기 소스가스공급부 내에 제 1 불활성 기체를 공급하여 1차 퍼지하는 단계;
를 포함하며,
상기 단위 박막을 형성하는 단계는,
상기 반응가스공급부 내에 상기 반응가스를 공급하는 단계;
상기 기판지지체에 제 2 전원을 인가하여 상기 반응가스를 이용한 제 2 플라즈마를 형성하는 단계; 및
상기 박막을 형성한 후 상기 반응가스공급부 내에 제 2 불활성 기체를 공급하여 2차 퍼지하는 단계;
를 포함하는,
원자층 증착 방법.
A method for depositing a thin film by repeating a unit cycle a plurality of times,
The unit cycle includes:
Adsorbing a source gas formed by etching a metal target disposed in a source gas supply portion provided in a vacuum chamber using an etching gas supplied in the source gas supply portion onto a substrate placed on a substrate support; And
Forming a unit thin film on the substrate by supplying a reaction gas onto the substrate through a reaction gas supply unit disposed in the vacuum chamber;
Lt; / RTI >
Wherein the adsorbing step comprises:
Supplying the etch gas into the source gas supply;
Forming a source gas by applying a first power to an upper electrode of the source gas supply unit to form a first plasma using the etching gas to etch the metal target;
Adsorbing the source gas onto the substrate; And
Interrupting the supply of the etch gas and supplying the first inert gas into the source gas supply unit to firstly purge the etch gas;
/ RTI >
Wherein forming the unit thin film comprises:
Supplying the reaction gas into the reaction gas supply unit;
Applying a second power to the substrate support to form a second plasma using the reactive gas; And
Forming a thin film and then supplying a second inert gas into the reaction gas supply unit to perform second purge;
/ RTI >
Atomic layer deposition method.
제 8 항에 있어서,
상기 반응가스공급부는 상기 기판지지체 상에 서로 이격되어 배치된 복수의 가스노즐들을 포함하고,
상기 복수의 가스노즐들은 상기 소스가스공급부 및 상기 반응가스공급부의 접지 전극으로 기능하며,
상기 소스가스를 상기 기판 상에 흡착시키는 단계는,
상기 소스가스가 상기 복수의 가스노즐들 상에서 형성되어 상기 복수의 가스노즐들 사이를 통과하여 상기 기판지지체 상에 제공되는 단계를 포함하는,
원자층 증착 방법.
9. The method of claim 8,
Wherein the reaction gas supply unit includes a plurality of gas nozzles disposed on the substrate support and spaced from each other,
The plurality of gas nozzles function as a ground electrode of the source gas supply unit and the reaction gas supply unit,
Wherein the step of adsorbing the source gas on the substrate comprises:
Wherein the source gas is formed on the plurality of gas nozzles and is provided on the substrate support passing between the plurality of gas nozzles.
Atomic layer deposition method.
삭제delete 삭제delete 제 8 항에 있어서,
상기 식각가스는 불소(F2), 삼불화질소(NF3), 염소(Cl2), 브롬(Br2), 요오드(I2), 탄화불소 화합물(CxF2x+2, X=1,2,3), CF3Cl, CF2Cl2, CHF3, SiF4, SF6, HCl, HF, CCl4 및 BCl3 중 하나 이상을 포함하고,
상기 반응가스는 수소(H2), 암모니아(NH3), 질소(N2), 산소(O2), 오존(O3), 물(H2O) 및 과산화수소수(H2O2) 중 하나 이상을 포함하는, 원자층 증착 방법.
9. The method of claim 8,
The etchant gas may be at least one selected from the group consisting of fluorine (F 2 ), nitrogen trifluoride (NF 3 ), chlorine (Cl 2 ), bromine (Br 2 ), iodine (I 2 ), fluorocarbon compounds (C x F 2x + , 2,3), at least one of CF 3 Cl, CF 2 Cl 2 , CHF 3 , SiF 4 , SF 6 , HCl, HF, CCl 4 and BCl 3 ,
The reaction gas is hydrogen (H 2), ammonia (NH 3), nitrogen (N 2), oxygen (O 2), ozone (O 3), water (H 2 O) and hydrogen peroxide (H 2 O 2) of the ≪ / RTI >
KR1020150137762A 2015-09-30 2015-09-30 Device for atomic layer deposition and method of atomic layer deposition KR101721931B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020150137762A KR101721931B1 (en) 2015-09-30 2015-09-30 Device for atomic layer deposition and method of atomic layer deposition
PCT/KR2016/010555 WO2017057871A1 (en) 2015-09-30 2016-09-22 Atomic layer deposition device and atomic layer deposition method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150137762A KR101721931B1 (en) 2015-09-30 2015-09-30 Device for atomic layer deposition and method of atomic layer deposition

Publications (1)

Publication Number Publication Date
KR101721931B1 true KR101721931B1 (en) 2017-04-03

Family

ID=58424174

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150137762A KR101721931B1 (en) 2015-09-30 2015-09-30 Device for atomic layer deposition and method of atomic layer deposition

Country Status (2)

Country Link
KR (1) KR101721931B1 (en)
WO (1) WO2017057871A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021045385A3 (en) * 2019-09-03 2021-05-20 주식회사 유진테크 머티리얼즈 Method for forming metal nitride thin film
KR20210117070A (en) * 2020-03-18 2021-09-28 (주)아이작리서치 Plasma atomic layer deposition apparatus and horizontal guide type electrode
US11499232B2 (en) 2017-12-28 2022-11-15 Samsung Display Co., Ltd. Deposition apparatus and deposition method using the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001342564A (en) * 2000-03-27 2001-12-14 Mitsubishi Heavy Ind Ltd Method for preparing metallic thin film and preparation device
KR100503514B1 (en) 2003-06-27 2005-07-22 삼성전자주식회사 Method of forming electrode of semiconductor device
KR100527219B1 (en) * 2002-03-08 2005-11-08 미츠비시 쥬고교 가부시키가이샤 Method and apparatus for production of metal film or the like
KR101153664B1 (en) * 2007-06-04 2012-06-18 고쿠리츠 다이가쿠 호진 도호쿠 다이가쿠 Film forming method and film forming apparatus

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5417754B2 (en) * 2008-07-11 2014-02-19 東京エレクトロン株式会社 Film forming method and processing system
JP5487748B2 (en) * 2009-06-16 2014-05-07 東京エレクトロン株式会社 Barrier layer, film forming method and processing system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001342564A (en) * 2000-03-27 2001-12-14 Mitsubishi Heavy Ind Ltd Method for preparing metallic thin film and preparation device
KR100527219B1 (en) * 2002-03-08 2005-11-08 미츠비시 쥬고교 가부시키가이샤 Method and apparatus for production of metal film or the like
KR100503514B1 (en) 2003-06-27 2005-07-22 삼성전자주식회사 Method of forming electrode of semiconductor device
KR101153664B1 (en) * 2007-06-04 2012-06-18 고쿠리츠 다이가쿠 호진 도호쿠 다이가쿠 Film forming method and film forming apparatus

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11499232B2 (en) 2017-12-28 2022-11-15 Samsung Display Co., Ltd. Deposition apparatus and deposition method using the same
WO2021045385A3 (en) * 2019-09-03 2021-05-20 주식회사 유진테크 머티리얼즈 Method for forming metal nitride thin film
KR20210117070A (en) * 2020-03-18 2021-09-28 (주)아이작리서치 Plasma atomic layer deposition apparatus and horizontal guide type electrode
KR102460503B1 (en) * 2020-03-18 2022-10-31 (주)아이작리서치 Plasma atomic layer deposition apparatus and horizontal guide type electrode

Also Published As

Publication number Publication date
WO2017057871A1 (en) 2017-04-06

Similar Documents

Publication Publication Date Title
KR102158307B1 (en) Plasma treatment process to improve in-situ chamber cleaning efficiency in plasma processing chamber
CN111286719B (en) Tuning a remote plasma source to achieve improved performance with repeatable etch and deposition rates
US10465294B2 (en) Oxide and metal removal
US20190013211A1 (en) Tantalum-containing material removal
TWI624870B (en) Procedure for etch rate consistency
KR102139245B1 (en) Cleaning process to remove boron-carbon residues in the processing chamber at high temperatures
TW202335085A (en) Improved germanium etching systems and methods
KR100855597B1 (en) Sulfur hexafluoride remote plasma source clean
KR102476934B1 (en) Chamber cleaning and semiconductor etching gases
CN108878285B (en) Etching method
US20070131171A1 (en) Plasma process device and plasma process method
US10892143B2 (en) Technique to prevent aluminum fluoride build up on the heater
KR101721931B1 (en) Device for atomic layer deposition and method of atomic layer deposition
US20230035732A1 (en) Efficient cleaning and etching of high aspect ratio structures
KR20190088079A (en) How to Enable High Temperature Processing Without Chamberped Lifting
WO2022055876A1 (en) Systems and methods for aluminum-containing film removal
KR20230149711A (en) Metal-based liner protection for high aspect ratio plasma etching
CN116568862A (en) Method for aging a processing chamber
US12009224B2 (en) Apparatus and method for etching metal nitrides
JP4958658B2 (en) Plasma processing method
US9613819B2 (en) Process chamber, method of preparing a process chamber, and method of operating a process chamber
TW202336862A (en) Metal deposition and etch in high aspect-ratio features
US20160133441A1 (en) Etch enhancement via controlled introduction of chamber contaminants

Legal Events

Date Code Title Description
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20200309

Year of fee payment: 4