KR101681862B1 - Method and apparatus for scan cell partition-based x-filling and scan cell reordering method for low power scan test - Google Patents

Method and apparatus for scan cell partition-based x-filling and scan cell reordering method for low power scan test Download PDF

Info

Publication number
KR101681862B1
KR101681862B1 KR1020160012440A KR20160012440A KR101681862B1 KR 101681862 B1 KR101681862 B1 KR 101681862B1 KR 1020160012440 A KR1020160012440 A KR 1020160012440A KR 20160012440 A KR20160012440 A KR 20160012440A KR 101681862 B1 KR101681862 B1 KR 101681862B1
Authority
KR
South Korea
Prior art keywords
scan
partition
scan cell
scan cells
cell
Prior art date
Application number
KR1020160012440A
Other languages
Korean (ko)
Inventor
강성호
서성열
Original Assignee
연세대학교 산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 연세대학교 산학협력단 filed Critical 연세대학교 산학협력단
Priority to KR1020160012440A priority Critical patent/KR101681862B1/en
Application granted granted Critical
Publication of KR101681862B1 publication Critical patent/KR101681862B1/en

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318536Scan chain arrangements, e.g. connections, test bus, analog signals
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318541Scan latches or cell details
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318583Design for test
    • G01R31/318586Design for test with partial scan or non-scannable parts

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

The present invention relates to X-filling and low power scan cell rearrangement. According to an aspect, a low power scan test device comprises: a scan cell arrangement information obtaining unit configured to obtain scan cell arrangement information of a test target integrated circuit (IC); a partitioning unit configured to divide the test target IC into a plurality of partitions based on the scan cell arrangement information and configured to adjust the number of scan cells included in each partition; and a control unit configured to rearrange the scan cells per the divided partition and generate scan cell rearrangement information.

Description

스캔 셀 파티션에 기반을 둔 X-FILLING 및 저전력 스캔 셀 재배열 장치 및 방법{METHOD AND APPARATUS FOR SCAN CELL PARTITION-BASED X-FILLING AND SCAN CELL REORDERING METHOD FOR LOW POWER SCAN TEST}BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to an X-FILLING and low power scan cell rearrangement apparatus and method based on a scan cell partition,

X-filling 및 저전력 스캔 셀 재배열에 관한 것으로서, 과도한 라우팅 오버헤드(routing overhead)의 억제를 막아주며, 같은 파티션의 테스트 패턴의 미지정 비트(unspecified bit)를 같은 값으로 필링(filling) 후 스캔 재배열을 함으로써, 효과적인 패턴 생성과 저전력 스캔 재배열 효과를 기대할 수 있는 기술적 사상에 관한 것이다.X-filling and low-power scan cell rearrangement, which prevents the excessive routing overhead from being suppressed, filling the unspecified bits of the test pattern of the same partition with the same value, And to a technical idea which can expect effective pattern generation and low-power scan rearrangement effect.

칩의 집적도 및 복잡도의 증가에 따라 지속적으로 테스트의 복잡도가 비례하여 증가하고 있다. 이에 대한 대안으로 스캔 테스트 기법이 널리 사용되는데, 스캔 셀의 개수가 증가함에 따라 스캔 시프팅 동작 시 발생하는 power issue로 인해 스캔 테스트 속도 증가에 어려움을 겪고 있다. 이는 곧 테스트 시간과 비용을 증가시키거나, 테스트 신뢰성을 저하시키는 문제를 야기한다.As the integration and complexity of the chip increases, the complexity of the test continues to increase proportionately. As an alternative to this, a scan test technique is widely used. As the number of scan cells increases, it is difficult to increase a scan test speed due to a power issue occurring during a scan shifting operation. This causes problems such as increasing test time and cost, or degrading test reliability.

이를 위해 저전력 스캔 테스트 기법이 소개되고 있으며, 크게 두 가지 방향으로 나뉜다. ATPG-based 와 DFT-based 기법이 소개되고 있는데, 이는 각각 ATPG 결과인 테스트 패턴의 X-비트를 효과적으로 filling하여 파워를 감소시키거나 추가적인 DFT 회로를 삽입하여 파워를 감소시키려는 노력이 이뤄지고 있다. DFT 회로를 삽입하는 방법이 더 큰 파워 감소를 가져오지만 하드웨어 오버헤드를 증가시키고 특히 가장 좋은 결과를 주는 것으로 알려진 clock gating의 경우 clock skew문제를 야기시킬 수 있는 단점을 가지고 있다.To do this, a low-power scan test technique is introduced and divided into two major directions. ATPG-based and DFT-based techniques have been introduced to reduce the power by effectively filling the X-bit of the ATPG test pattern, respectively, or by inserting an additional DFT circuit. The insertion of the DFT circuit leads to a greater power reduction, but it has the disadvantage of increasing the hardware overhead and causing a clock skew problem especially for clock gating, which is known to give the best results.

이에 따라 두 가지 방법을 병행하여 적절한 overhead 내에서 ATPG-based 기법이 사용되는 해결책이 개발되고 있다. 이는 하드웨어에 큰 부담없이 파워 감소를 효율적으로 가져오는 장점을 지니고 있다.Accordingly, a solution is being developed in which the ATPG-based technique is used in an appropriate overhead in parallel with the two methods. This has the advantage of efficiently reducing the power without burdening the hardware.

그럼에도 불구하고, 기존의 routing-aware 스캔 재배열 구조에서는 저전력 스캔 테스트를 위한 제약이 많이 따르며, 기존의 functional mode에 비해 많은 power를 소모하는 스캔 테스트에서 과도한 switching activity가 발생하여 스캔 시프팅 동작 중 과도한 IR-drop이 발생한다. 결국, 테스터에서 제공하는 높은 주파수로 스캔 시프팅을 할수 없게 되며, 이는 스캔 테스트 시 가장 비중이 큰 시프팅 동작의 속도를 낮추게 되어 테스트 시간 증가로 인해 결국 테스트 비용 증가로 이어진다.Nevertheless, in the existing routing-aware scan rearrangement scheme, there are a lot of limitations for low-power scan test and excessive switching activity occurs in the scan test consuming a lot of power compared to the conventional functional mode, IR-drop occurs. As a result, the high frequency offered by the tester does not allow scan shifting, which slows down the most heavily shifting operation in scan testing, resulting in increased test time, which ultimately leads to increased test costs.

대한민국 등록특허 제10-1539712호 (저전력 스캔 테스트가 가능한 반도체 장치 및 그를 테스트하는 방법)Korean Patent No. 10-1539712 (Semiconductor device capable of low power scan test and method of testing the same) 미국 특허 US7,779,320호 (Low power scan shifting with random-like test patterns)U.S. Patent No. 7,779,320 (Low power scan shifting with random-like test patterns) 미국 특허 US7,937,677호(Design-for-test-aware hierarchical design planning)U.S. Patent No. 7,937,677 (Design-for-test-aware hierarchical design planning)

3D IC를 위한 스캔 셀 재배열을 이용한 저전력 테스트 방법(Low Power Test using Scan Cell Reordering Method for 3D IC), 한국통신학회 학술대회논문집, Vol.2015 No.1 [2015], pp. 457-458Low Power Test Method using Scan Cell Rearrangement for 3D ICs, Proceedings of the 2007 Spring Conference of the Institute of Electronics, Information and Communication Engineers, Vol.2015 No.1 [2015], pp. 457-458

실제 물리적 정보를 토대로 파티션을 한 후 각 파티션 내 스캔 셀의 패턴을 분석하여 X-filling 값을 결정한 후 스캔 재배열을 진행함으로써, 라우팅 오버헤드(routing overhead)를 최소화 시키면서 스캔 시프팅 파워를 감소하는 것이다.After partitioning based on actual physical information, the pattern of the scan cells in each partition is analyzed to determine the X-filling value, and the scan rearrangement is performed to reduce the scanning overhead while minimizing the routing overhead. will be.

일측에 따른 저전력 스캔 테스트 장치는, 테스트 대상 집적회로(IC: Integrated circuit)의 스캔 셀 배치 정보를 획득하는 스캔 셀 배치 정보 획득부, 상기 스캔 셀 배치 정보에 기초하여 상기 테스트 대상 집적 회로를 복수의 파티션(partition)으로 구분하고, 각각의 파티션에 포함되는 스캔 셀의 개수를 조정하는 파티셔닝부, 및 상기 구분된 파티션 별로 스캔 셀을 재 배열하고 스캔 셀 재배열 정보를 생성하는 제어부를 포함한다.A low-power scan test apparatus according to one aspect includes a scan cell arrangement information acquisition unit for acquiring scan cell arrangement information of an IC (Integrated Circuit) to be tested, a plurality of A partitioning unit for dividing the plurality of scan cells into a plurality of partitions and adjusting the number of scan cells included in each partition, and a control unit for rearranging the scan cells according to the divided partitions and generating scan cell rearrangement information.

일실시예에 따른 상기 파티셔닝부는, 상기 구분된 파티션 내의 스캔 셀 중에서 특정 스캔 셀을 기준으로 파티션 경계선을 이동하면서 상기 각각의 파티션에 포함되는 스캔 셀의 개수를 조정한다.The partitioning unit adjusts the number of scan cells included in each partition by moving a partition boundary based on a specific scan cell among the scan cells in the partitioned partition.

일실시예에 따른 상기 파티셔닝부는, 상기 구분된 파티션의 경계선에서 가장 가까운 거리에 위치하는 스캔 셀을 상기 특정 스캔 셀로 결정한다.The partitioning unit according to an embodiment determines a scan cell located at a nearest distance from a boundary of the divided partition as the specific scan cell.

일실시예에 따른 상기 제어부는, X-필링 값을 결정하고, 상기 결정된 X-필링 값에 기초하여 상기 스캔 셀을 재 배열한다.The controller determines an X-filling value and rearranges the scan cell based on the determined X-filling value.

일실시예에 따른 상기 제어부는, 시뮬레이션 패턴을 생성하고, 상기 생성된 시뮬레이션 패턴에 따른 시뮬레이션을 통해 응답(response) 값을 획득하며, 상기 획득된 응답(response) 값을 이용하여 전이 확률(transition probability)을 계산하고, 상기 계산된 전이 확률(transition probability)에 기초하여 상기 X-필링 값을 결정한다.The control unit may generate a simulation pattern, obtain a response value through a simulation according to the generated simulation pattern, and use the obtained response value to calculate a transition probability ), And determines the X-filling value based on the calculated transition probability.

일실시예에 따른 상기 제어부는, 상기 구분된 파티션 별로 스캔 셀에 대한 가중 해밍 거리(weighted hamming distance, WHD)를 계산하고, 상기 계산 결과 파티션 내에서 가장 작은 가중 해밍 거리(weighted hamming distance, WHD)에 해당하는 스캔 셀에 대해 연속으로 재 배열 및 스티칭한다.The controller calculates a weighted hamming distance (WHD) for a scan cell for each partitioned partition, and calculates a weighted hamming distance (WHD) And stitches are successively rearranged with respect to the scan cells corresponding to the scan cells.

일측에 따른 저전력 스캔 테스트 방법은 테스트 대상 집적회로(IC: Integrated circuit)의 스캔 셀 배치 정보를 획득하는 단계, 상기 스캔 셀 배치 정보에 기초하여 상기 테스트 대상 집적 회로를 복수의 파티션(partition)으로 구분하고, 각각의 파티션에 포함되는 스캔 셀의 개수를 조정하는 단계, 및 상기 구분된 파티션 별로 스캔 셀을 재 배열하고 스캔 셀 재배열 정보를 생성하는 단계를 포함한다.According to one aspect of the present invention, there is provided a low power scan test method comprising: acquiring scan cell placement information of an integrated circuit (IC) to be tested; dividing the integrated circuit under test into a plurality of partitions based on the scan cell placement information; Adjusting the number of scan cells included in each partition, and rearranging the scan cells according to the divided partitions and generating scan cell rearrangement information.

일실시예에 따른 상기 스캔 셀의 개수를 조정하는 단계는, 상기 구분된 파티션의 경계선에서 가장 가까운 거리에 위치하는 스캔 셀을 결정하고, 상기 결정된 스캔 셀을 기준으로 파티션 경계선을 이동하면서 상기 각각의 파티션에 포함되는 스캔 셀의 개수를 조정한다.The step of adjusting the number of the scan cells according to an exemplary embodiment of the present invention may include determining a scan cell located at a nearest distance from a boundary of the partitioned partition and moving the partition boundary based on the determined scan cell, Adjust the number of scan cells included in the partition.

일실시예에 따른 상기 스캔 셀을 재 배열하는 단계는, 시뮬레이션 패턴을 생성하는 단계, 상기 생성된 시뮬레이션 패턴에 따른 시뮬레이션을 통해 응답(response) 값을 획득하는 단계, 상기 획득된 응답(response) 값을 이용하여 전이 확률(transition probability)을 계산하는 단계, 상기 계산된 전이 확률(transition probability)에 기초하여 상기 X-필링 값을 결정하는 단계, 및 상기 결정된 X-필링 값에 기초하여 상기 스캔 셀을 재 배열하는 단계를 포함한다.The step of rearranging the scan cells according to an embodiment may include generating a simulation pattern, acquiring a response value through simulation according to the generated simulation pattern, Calculating a transition probability using the determined transition probability, determining the X-filling value based on the calculated transition probability, and determining the X-filling value based on the determined X- And rearranging.

일실시예에 따른 상기 스캔 셀을 재 배열하는 단계는, 상기 구분된 파티션 별로 스캔 셀에 대한 가중 해밍 거리(weighted hamming distance, WHD)를 계산하는 단계, 상기 계산 결과 파티션 내에서 가장 작은 가중 해밍 거리(weighted hamming distance, WHD)에 해당하는 스캔 셀에 대해 연속으로 재 배열 및 스티칭하는 단계를 포함한다.The step of rearranging the scan cells according to an exemplary embodiment may include calculating a weighted hamming distance (WHD) for a scan cell for each divided partition, calculating a weighted hamming distance and rearranging and stitching successively the scan cells corresponding to the weighted hamming distance (WHD).

일측에 따른 저전력 스캔 테스트 방법은 스캔 셀 배치(placement) 정보를 활용하여 파티셔닝 하는 단계, 파티션닝된 각 파티션 및 상기 각 파티션 내의 PI를 0 또는 1로 X-필링하는 시뮬레이션 패턴을 생성하는 단계, 상기 생성된 시뮬레이션 패턴을 이용하여 패턴 시뮬레이션을 수행하고, 상기 패턴 시뮬레이션 결과로 전이 확률(transition probability)을 계산하는 단계, 상기 각 파티션 및 상기 각 파티션 내 PI의 X-비트를 0 또는 1로 필링하는 단계, 상기 각 파티션 내 스캔 셀 패턴 정보를 활용하여 가중 해밍 거리(weighted hamming distance)를 계산하는 단계, 상기 계산된 가중 해밍 거리(weighted hamming distance)를 이용하여 스캔 셀을 재배열 하는 단계를 포함한다.A method for testing a low power scan according to one aspect includes the steps of partitioning using scan cell placement information, generating a simulation pattern for X-filling a partitioned partition and a PI in each partition to 0 or 1, Performing a pattern simulation using the generated simulation pattern and calculating a transition probability from the result of the pattern simulation, filling the X-bit of each partition and PI in each partition with 0 or 1 Calculating a weighted hamming distance using the intra-partition scan cell pattern information, and rearranging the scan cells using the calculated weighted hamming distance.

일실시예에 따른 상기 전이 확률(transition probability)을 계산하는 단계는, 직각 거리를 이용해 스캔 셀의 파티션의 경계를 이동하고, 상기 경계가 이동된 각 스캔 셀을 임시로 재 배열하며, 상기 임시로 재 배열된 스캔 셀을 기반으로 상기 전이 확률(transition probability)을 계산하는 단계를 포함한다.The calculating of the transition probability according to an exemplary embodiment may include shifting a boundary of a partition of a scan cell using a perpendicular distance, temporarily rearranging each of the scan cells to which the boundary is shifted, And calculating the transition probability based on the rearranged scan cells.

일실시예에 따르면, 기존의 디자인 흐름에 조금의 작업을 추가하여 EDA 툴과 호환성을 유지함과 동시에 저전력 시프팅이 가능하다.According to one embodiment, low power shifting is possible while maintaining compatibility with EDA tools by adding a little work to the existing design flow.

일실시예에 따르면, 큰 라우팅 오버헤드(routing overhead)와 추가 회로 없이 효과적으로 저전력 스캔 테스트가 가능하다.According to one embodiment, a low power scan test is effectively possible without large routing overhead and additional circuitry.

일실시예에 따르면, 스캔 시프팅 동작 주파수를 증가시킴으로써 테스트 시간을 줄이고, 결국 전체 테스트 비용을 절감할 수 있다.According to one embodiment, increasing the scan shifting operating frequency may reduce test time and ultimately reduce overall test cost.

일실시예에 따르면, 과도한 라우팅 오버헤드(routing overhead)의 억제를 막아주며, 같은 파티션의 테스트 패턴의 미지정 비트(unspecified bit)을 같은 값으로 filling 후 스캔 재배열을 함으로써, 효과적인 패턴 생성과 저전력 스캔 재배열 효과를 동시에 가져올 수 있다.According to one embodiment, the suppression of excessive routing overhead is prevented, and the unspecified bits of the test pattern of the same partition are filled with the same value and then rearranged by scanning. Thus, effective pattern generation and low power scanning The effect of rearrangement can be brought at the same time.

일실시예에 따르면, 실제 레이아웃 이후 실제 셀의 위치정보를 사용하여 파티션을 진행하므로, 파티션 개수에 따른 라우팅 억제를 제어 가능하며, 기존 EDA 툴에서 진행하는 라우팅 기법에 비해 저전력 스캔 시프팅에 유용하게 접근하여 시프팅 속도를 현저히 향상시킬 수 있다.According to an exemplary embodiment of the present invention, since partitioning is performed using actual cell location information after the actual layout, routing suppression according to the number of partitions can be controlled, and it is useful for low power scan shifting And the shifting speed can be remarkably improved.

일실시예에 따르면, 추가적인 DFT 회로 없이 적은 라우팅 오버헤드(routing overhead)만으로 구현이 가능하여 회로 크기에 큰 영향을 미치는 게이트 카운트에 영향을 미치지 않으며, 기존 기능적인 동작에 영향을 주는 요소가 없으므로 기존 디자인에 호환해서 사용하기 용이하다.According to one embodiment, there is no influence on the gate count that greatly affects the circuit size, and there is no factor that affects the existing functional operation since it can be implemented with only a small routing overhead without additional DFT circuit. It is compatible with design and easy to use.

도 1은 일실시예에 따른 저전력 스캔 테스트 장치를 설명하는 도면이다.
도 2는 스캔 셀 위치정보에 따른 파티션 및 스캔 재 배열을 설명하는 도면이다.
도 3은 직선 거리를 고려하여 파티션 크기를 조절하는 실시예를 설명하는 도면이다.
도 4는 일실시예에 따른 저전력 스캔 테스트 방법을 설명하는 도면이다.
도 5는 테스트 데이터에 대한 압축 예시를 나타내는 도면이다.
도 6은 스캔 테스트를 위해 테스트 데이터를 인가하는 실시예를 설명하는 도면이다.
1 is a view for explaining a low power scan test apparatus according to an embodiment.
2 is a view for explaining partitioning and scan rearrangement according to scan cell position information.
FIG. 3 is a view for explaining an embodiment of adjusting the partition size in consideration of the linear distance.
4 is a diagram illustrating a low power scan test method according to one embodiment.
5 is a diagram showing an example of compression for test data.
6 is a diagram for explaining an embodiment of applying test data for a scan test.

이하에서, 실시예들을 첨부된 도면을 참조하여 상세하게 설명한다. 그러나, 권리범위는 이러한 실시예들에 의해 제한되거나 한정되는 것은 아니다. 각 도면에 제시된 동일한 참조 부호는 동일한 부재를 나타낸다.Hereinafter, embodiments will be described in detail with reference to the accompanying drawings. However, the scope of the rights is not limited or limited by these embodiments. Like reference symbols in the drawings denote like elements.

아래 설명에서 사용되는 용어는, 연관되는 기술 분야에서 일반적이고 보편적인 것으로 선택되었으나, 기술의 발달 및/또는 변화, 관례, 기술자의 선호 등에 따라 다른 용어가 있을 수 있다. 따라서, 아래 설명에서 사용되는 용어는 기술적 사상을 한정하는 것으로 이해되어서는 안 되며, 실시예들을 설명하기 위한 예시적 용어로 이해되어야 한다.The terms used in the following description are chosen to be generic and universal in the art to which they are related, but other terms may exist depending on the development and / or change in technology, customs, preferences of the technician, and the like. Accordingly, the terminology used in the following description should not be construed as limiting the technical thought, but should be understood in the exemplary language used to describe the embodiments.

또한 특정한 경우는 출원인이 임의로 선정한 용어도 있으며, 이 경우 해당되는 설명 부분에서 상세한 그 의미를 기재할 것이다. 따라서 아래 설명에서 사용되는 용어는 단순한 용어의 명칭이 아닌 그 용어가 가지는 의미와 명세서 전반에 걸친 내용을 토대로 이해되어야 한다.Also, in certain cases, there may be a term chosen arbitrarily by the applicant, in which case the meaning of the detailed description in the corresponding description section. Therefore, the term used in the following description should be understood based on the meaning of the term, not the name of a simple term, and the contents throughout the specification.

도 1은 일실시예에 따른 저전력 스캔 테스트 장치(100)를 설명하는 도면이다.1 is a diagram illustrating a low power scan test apparatus 100 according to an embodiment.

스캔 시프팅(Scan shifting)의 속도를 향상시키기 위해서는 스캔체인의 타이밍을 만족하는 범위내의 속도를 사용하여 충분히 시프팅(shifting) 속도를 향상 할 수 있지만 이는 스위칭 액티비티(switching activity)를 0.2-0.3정도로 되는 기능(function) 동작을 기준으로 파워 레일(power rail)이 설계되기 때문에 스위칭 액티비티(switching activity)를 0.5를 가지는 스캔 시프팅(scan shifting)동작에서 전력의 부족으로 인하여 동작속도로 시프팅(shifting)시킬 수 없게 된다. 스캔 체인(Scan chain)사이에는 별도의 로직이 추가되지 않기 때문에 PI(primary input) to FF, SI(Scan Input) to FF 그리고 전력 이슈(power issue)만을 해결하면 빠른 속도로 스캔 시프팅(scan shifting)이 가능하여 테스트 시간을 획기적으로 줄일 수 있게 된다. PI,SI to FF의 셋업 시간은 STA로 충분하게 분석이 가능하기 때문에 스캔 시프팅 속도(scan shifting speed) 향상을 위해서는 전력 이슈(power issue)의 해결이 요구된다.In order to improve the speed of scan shifting, it is possible to improve the shifting speed sufficiently by using the speed within the range of the timing of the scan chain. However, this may result in a switching activity of about 0.2-0.3 Since the power rail is designed on the basis of the function operation of shifting the operation speed to the operating speed due to the power shortage in the scan shifting operation having the switching activity of 0.5, ). Since no additional logic is added between the scan chains, it is possible to solve problems such as PI (primary input) to FF, SI (scan input) to FF and power issue, ), Which can significantly reduce the test time. Since the setup time of PI and SI to FF can be sufficiently analyzed by the STA, it is necessary to solve the power issue in order to improve the scan shifting speed.

이를 위해, 저전력 스캔 테스트 장치(100)는 스캔 셀 배치 정보 획득부(110), 파티셔닝부(120), 및 제어부(130)를 포함할 수 있다. 저전력 스캔 테스트 장치(100)는 컴퓨팅 단말에 의해 적어도 일시적으로 구현될 수 있다. 컴퓨팅 단말은 퍼스널 컴퓨터, 의료용 기기, 스마트폰, 태블릿 컴퓨터, 웨어러블 디바이스 등 임의의 형태의 전자 기기를 포함한다. 스캔 셀 배치 정보 획득부(110), 파티셔닝부(120), 및 제어부(130)는 각각 이러한 전자 기기에 포함되는 물리적 및/또는 논리적 엘리먼트일 수 있다. 이를테면 전용 하드웨어(dedicated hardware) 또는 소프트웨어나 오퍼레이팅 시스템에 의해 제어되는 범용 연산 자원에 의해 스캔 셀 배치 정보 획득부(110), 파티셔닝부(120), 및 제어부(130)가 구현될 수 있다. 또한 스캔 셀 배치 정보 획득부(110), 파티셔닝부(120), 및 제어부(130)가 하나의 칩에서 함께 구현되는, 따라서 물리적으로 구분되지 않는 것일 수도 있으며, 이러한 하드웨어 자원의 구현은 기술의 발달이나 설계 변경에 의해 얼마든지 변경될 수 있다. 따라서 스캔 셀 배치 정보 획득부(110), 파티셔닝부(120), 및 제어부(130)의 기능과 동작 및 구조가 서로 구분되는 것으로 이해되지만 실시예에 따라 이러한 구분이 다르게 해석되는 경우도 있을 수 있다.For this purpose, the low power scan test apparatus 100 may include a scan cell layout information obtaining unit 110, a partitioning unit 120, and a control unit 130. The low power scan test apparatus 100 may be implemented at least temporarily by the computing terminal. The computing terminal includes any type of electronic device such as a personal computer, a medical device, a smart phone, a tablet computer, and a wearable device. The scan cell layout information obtaining unit 110, the partitioning unit 120, and the control unit 130 may be physical and / or logical elements included in such electronic devices, respectively. For example, the scan cell placement information obtaining unit 110, the partitioning unit 120, and the control unit 130 may be implemented by dedicated hardware or general purpose computing resources controlled by software or an operating system. In addition, the scan cell placement information obtaining unit 110, the partitioning unit 120, and the control unit 130 may be implemented together on one chip, and thus may not be physically separated. Or by design changes. Accordingly, it is understood that the functions, operations and structures of the scan cell layout information obtaining unit 110, the partitioning unit 120, and the control unit 130 are distinguished from each other, but there may be cases where such a distinction is interpreted differently according to the embodiment .

구체적으로, 스캔 셀 배치 정보 획득부(110)는 테스트 대상 집적회로(IC: Integrated circuit)의 스캔 셀 배치 정보를 획득한다.Specifically, the scan cell layout information obtaining unit 110 obtains scan cell layout information of an IC (integrated circuit) to be tested.

다음으로, 파티셔닝부(120)는 스캔 셀 배치 정보에 기초하여 테스트 대상 집적 회로를 복수의 파티션(partition)으로 구분하고, 각각의 파티션에 포함되는 스캔 셀의 개수를 조정한다. 일실시예에 따르면, 파티셔닝부(120)는 구분된 파티션 내의 스캔 셀 중에서 특정 스캔 셀을 기준으로 파티션 경계선을 이동하면서 상기 각각의 파티션에 포함되는 스캔 셀의 개수를 조정할 수 있다. 보다 구체적으로, 파티셔닝부(120)는 구분된 파티션의 경계선에서 가장 가까운 거리에 위치하는 스캔 셀을 특정 스캔 셀로 결정하여 스캔 셀의 개수를 조정할 수 있다.Next, the partitioning unit 120 divides the test object integrated circuit into a plurality of partitions based on the scan cell placement information, and adjusts the number of scan cells included in each partition. According to one embodiment, the partitioning unit 120 may adjust the number of scan cells included in each partition by moving a partition boundary line based on a specific scan cell among the scan cells in the partitioned partition. More specifically, the partitioning unit 120 may determine the number of scan cells to be a specific scan cell by determining a scan cell located closest to the boundary of the divided partition.

제어부(130)는 구분된 파티션 별로 스캔 셀을 재 배열하고 스캔 셀 재배열 정보를 생성한다.The controller 130 rearranges the scan cells according to the partitioned partition and generates scan cell rearrangement information.

이를 위해, 제어부(130)는 X-필링 값을 결정하고, 결정된 X-필링 값에 기초하여 스캔 셀을 재 배열할 수 있다. 구체적인 실시예로, 제어부(130)는 시뮬레이션 패턴을 생성하여 X-필링 값 결정에 활용할 수 있다. 제어부(130)는 생성된 시뮬레이션 패턴에 따른 시뮬레이션을 통해 응답(response) 값을 획득하고, 획득된 응답(response) 값을 이용하여 전이 확률(transition probability)을 계산할 수 있다. 또한, 제어부(130)는 계산된 전이 확률(transition probability)에 기초하여 X-필링 값을 결정할 수 있다.To this end, the controller 130 may determine the X-fill value and rearrange the scan cells based on the determined X-fill value. In a specific embodiment, the controller 130 may generate a simulation pattern and utilize it to determine the X-fill value. The controller 130 may obtain a response value through a simulation according to the generated simulation pattern and calculate a transition probability using the obtained response value. In addition, the controller 130 may determine the X-filling value based on the calculated transition probability.

한편, 제어부(130)는 가중 해밍 거리(weighted hamming distance, WHD)를 이용하여 파티션 내 스캔 셀을 재 배열 할 수 있다. 구체적으로, 제어부(130)는 구분된 파티션 별로 스캔 셀에 대한 가중 해밍 거리(weighted hamming distance, WHD)를 계산하고, 계산 결과 파티션 내에서 가장 작은 가중 해밍 거리(weighted hamming distance, WHD)에 해당하는 스캔 셀에 대해 연속으로 재 배열 및 스티칭을 수행할 수 있다.Meanwhile, the controller 130 may rearrange the scan cells in the partition using a weighted hamming distance (WHD). Specifically, the controller 130 calculates a weighted hamming distance (WHD) for the scan cells for each divided partition, and calculates a weighted hamming distance (WHD) corresponding to the smallest weighted hamming distance Rearrangement and stitching can be performed continuously on the scan cells.

도 2는 스캔 셀 위치정보에 따른 파티션 및 스캔 재 배열을 설명하는 도면이다.2 is a view for explaining partitioning and scan rearrangement according to scan cell position information.

저전력 스캔 테스트 장치는 백엔드(back-end) 작업에 속하는 배치(placement) 과정에서 생성되는 scan def 파일을 이용해 스캔 셀 정보를 획득하면, 도면부호 200에서 보는 바와 같이 같은 크기로 전체 디자인을 파티션 할 수 있다. 이후, 저전력 스캔 테스트 장치는 파티션 경계선에서 직선거리로 가장 짧은 스캔 셀을 선택하여 그 셀을 기준으로 파티션 경계선을 움직이면서 사이즈를 조절하며, 임의 방향으로 경계선을 움직이면서 조절하여 각 파티션의 스캔 셀 개수가 같거나 비슷할 수 있도록 파티션 크기를 조절할 수 있다.The low power scan test apparatus can obtain the scan cell information by using the scan def file generated during the placement process belonging to the back-end operation, and can divide the entire design into the same size as shown at reference numeral 200 have. Then, the low power scan test apparatus selects the shortest scan cell with a straight line distance from the partition boundary, adjusts the size of the partition boundary line by moving the partition boundary line relative to the cell, adjusts the boundary line in an arbitrary direction, You can adjust the size of the partitions to be similar.

도 3은 직선 거리를 고려하여 파티션 크기를 조절하는 실시예를 설명하는 도면이다.FIG. 3 is a view for explaining an embodiment of adjusting the partition size in consideration of the linear distance.

저전력 스캔 테스트 장치는 도면부호 310과 같이, 파티션 경계선에서 직선거리로 가장 짧은 스캔 셀을 선택하여 그 셀을 기준으로 파티션 경계선을 움직이면서 사이즈를 조절할 수 있다. 이때, 저전력 스캔 테스트 장치는 도면부호 320과 같이, 도 2의 화살표 방향으로 경계선을 움직이면서 각 파티션 내의 스캔 셀 개수가 같거나 비슷할 수 있도록 파티션 크기를 조절할 수 있다. 이때, 직선 거리는 [수학식 1]로 계산될 수 있다.The low power scan test apparatus can select a scan cell having the shortest straight line distance at a partition boundary line, such as a reference numeral 310, and adjust the size while moving a partition boundary line with respect to the cell. At this time, the low power scan test apparatus can adjust the partition size so that the number of scan cells in each partition may be the same or similar while moving the boundary line in the arrow direction of FIG. At this time, the straight line distance can be calculated by Equation (1).

[수학식 1][Equation 1]

Figure 112016010746302-pat00001
Figure 112016010746302-pat00001

여기서, (x1, y1)은 각 스캔 셀의 x, y 좌표이고, ax+by+c=0는 각 파티션 경계선이다.Here, (x 1 , y 1 ) is the x and y coordinates of each scan cell, and ax + by + c = 0 is each partition boundary line.

저전력 스캔 테스트 장치는 이후, 시뮬레이션 패턴을 생성하는데, 이에 필요한 시뮬레이션 패턴 개수는 [수학식 2]로 산출될 수 있다.The low-power scan test apparatus then generates a simulation pattern, and the number of simulation patterns required therefor can be calculated by Equation (2).

[수학식 2]&Quot; (2) "

Figure 112016010746302-pat00002
Figure 112016010746302-pat00002

Figure 112016010746302-pat00003
는 시뮬레이션 패턴의 개수이고,
Figure 112016010746302-pat00004
는 파티션 개수를 나타낸다.
Figure 112016010746302-pat00003
Is the number of simulation patterns,
Figure 112016010746302-pat00004
Represents the number of partitions.

시뮬레이션 패턴은 다음과 같이 생성될 수 있다.The simulation pattern can be generated as follows.

전체 PI(primary input)가 0-fill 되어 있고 나머지 스캔 셀 값은 X-비트로 남겨두는 첫 번째 패턴이 생성되고, 두 번째는 전체 PI가 1-fill이 적용 되어 있고 똑같이 나머지 스캔 셀 값은 X-비트로 남겨두는 패턴을 생성하며 이 때문에 위의 식에서 뒤에 '+2'가 마지막 항으로 되어있다. 다음으로, 첫 번째 파티션부터 파티션 내 스캔 셀 값만 0-fill, 1-fill 후 나머지 셀과 PI는 X-비트로 남겨두는 패턴을 생성하므로 [수학식 2]가 생성될 수 있다.The first pattern is generated in which the entire PI (primary input) is 0-filled and the remaining scan cell values are left as X-bits. In the second case, the entire PI is applied with 1-fill, This creates a pattern that leaves a bit, so '+2' is followed by the last term in the above expression. Next, from the first partition, a pattern is generated in which 0-fill and 1-fill only the values of the scan cells in the partition are left, and the remaining cells and the PI are left as X-bits, so that [Expression 2] can be generated.

이후 저전력 스캔 테스트 장치는 시뮬레이션을 통해 응답(response) 값을 획득 후 아래와 같은 식으로 전이 확률(transition probability)을 계산하여 각 파티션 및 PI에 X-비트가 패턴으로 추출될 경우의 X-필링 할 값을 [수학식 3]을 통해 계산할 수 있다.Then, the low-power scan test apparatus calculates a transition probability by obtaining a response value through a simulation and calculates an X-fill value when each X-bit is extracted as a pattern in each partition and PI Can be calculated through Equation (3).

[수학식 3]&Quot; (3) "

Figure 112016010746302-pat00005
Figure 112016010746302-pat00005

Figure 112016010746302-pat00006
는 각 패턴에서의 전이 확률(transition probability)을 나타낸다.
Figure 112016010746302-pat00006
Represents a transition probability in each pattern.

Figure 112016010746302-pat00007
는 입출력 되는 전이 확률(transition probability)의 합을 나타낸다.
Figure 112016010746302-pat00007
Represents the sum of the transition probabilities of input and output.

Figure 112016010746302-pat00008
Figure 112016010746302-pat00009
는 i 번째 셀 값과 (i+1) 번째 셀 값 사이의 입출력 되는 전이 확률(transition probability)의 합을 나타낸다.
Figure 112016010746302-pat00008
Wow
Figure 112016010746302-pat00009
Represents a sum of transition probabilities of input and output between the ith cell value and the (i + 1) th cell value.

한편,

Figure 112016010746302-pat00010
은 [수학식 4]로 산출될 수 있다.Meanwhile,
Figure 112016010746302-pat00010
Can be calculated by the following equation (4).

[수학식 4]&Quot; (4) "

Figure 112016010746302-pat00011
Figure 112016010746302-pat00011

Figure 112016010746302-pat00012
은 는 i 번째 셀 값과 (i+1) 번째 셀 값 사이의 스위칭 활동을 나타낸다. 일례로,
Figure 112016010746302-pat00013
또는
Figure 112016010746302-pat00014
가 X인 경우,
Figure 112016010746302-pat00015
는 0.5이다.
Figure 112016010746302-pat00012
Represents the switching activity between the ith cell value and the (i + 1) th cell value. For example,
Figure 112016010746302-pat00013
or
Figure 112016010746302-pat00014
Is X,
Figure 112016010746302-pat00015
Is 0.5.

도 4는 일실시예에 따른 저전력 스캔 테스트 방법을 설명하는 도면이다.4 is a diagram illustrating a low power scan test method according to one embodiment.

도 4에 따른 일실시예에 따른 저전력 스캔 테스트 방법은 기존 디자인 흐름도에서 본 발명의 특징적 구성들, 즉, 파티션 별 X-필링 값 결정하는 구성, 파티션 내 스캔 셀 재배열 하는 구성, 그리고 저전력 스캔 구조를 테스트하는 구성을 구현할 수 있다.The low power scan test method according to an exemplary embodiment of the present invention as shown in FIG. 4 includes the steps of determining characteristic configurations of the present invention, that is, a configuration for determining an X-filling value for each partition, a configuration for rearranging scan cells in a partition, Can be implemented.

구체적으로, 일실시예에 따른 저전력 스캔 테스트 방법은 스캔 셀 배치 정보 획득한다(단계 401).In particular, the low power scan test method according to an exemplary embodiment acquires scan cell placement information (step 401).

일실시예에 따른 저전력 스캔 테스트 방법은 스캔 셀 파티셔닝을 수행한다(단계 402).A low power scan test method according to one embodiment performs scan cell partitioning (step 402).

저전력 스캔 테스트 방법은 기존 디자인 과정 중, 백엔드 과정에 속하는 배치 과정에서 생성되는 scan def 파일을 이용해 스캔 셀 정보를 가지고 온 후 같은 크기로 전체 디자인을 파티션 한다. 이후, 저전력 스캔 테스트 방법은 파티션 경계선에서 직선거리로 가장 짧은 스캔 셀을 선택하여 그 셀을 기준으로 파티션 경계선을 움직이면서 사이즈를 조절한다. 이때, 특정 화살표 방향으로 경계선을 움직이면서 조절하여 각 파티션의 스캔 셀 개수가 같거나 비슷할 수 있도록 파티션 크기를 조절 할 수 있다.The low power scan test method uses the scan def file generated during the batch process belonging to the backend process to fetch scan cell information and partition the entire design with the same size. Then, the low power scan test method selects the shortest scan cell with a straight line distance from the partition boundary, and adjusts the size by moving the partition boundary with respect to the cell. At this time, it is possible to adjust the partition size so that the number of scan cells in each partition is the same or similar by adjusting the boundary line in a specific arrow direction.

다음으로, 일실시예에 따른 저전력 스캔 테스트 방법은 패턴 시뮬레이션 및 전이 확률을 추정한다(단계 403).Next, a low power scan test method according to one embodiment estimates pattern simulation and transition probability (step 403).

이때, 저전력 스캔 테스트 방법은 시뮬레이션 패턴 개수를 고려하여 시뮬레이션 패턴을 생성한다. 구체적으로, 전체 PI가 0-fill 되어 있고 나머지 스캔 셀 값은 X-비트로 남겨두는 첫 번째 패턴이 생성되고, 두 번째는 전체 PI가 1-fill이 적용 되어 있고 똑같이 나머지 스캔 셀 값은 X-비트로 남겨두는 패턴을 생성한다.At this time, the low power scan test method generates a simulation pattern considering the number of simulation patterns. Specifically, a first pattern is generated in which the entire PI is 0-filled and the remaining scan cell values are left as X-bits. In the second case, 1-fill is applied to the entire PI, and the remaining scan cell values are X- Create a leaving pattern.

다음으로, 저전력 스캔 테스트 방법은 첫 번째 파티션부터 파티션 내 스캔 셀 값만 0-fill, 1-fill 후 나머지 셀과 PI는 X-비트로 남겨두는 패턴을 생성할 수 있다.Next, the low-power scan test method can generate a pattern in which only 0-fill, 1-fill after the first partition, and the remaining cells and PI are left as X-bits.

이후 저전력 스캔 테스트 방법은 시뮬레이션을 통해 응답 값을 획득 후 전이 확률(transition probability)을 계산하여 각 파티션 및 PI에 X-비트가 패턴으로 추출 될 경우 X-필링 값을 계산할 수 있다.The low-power scan test method can calculate the transition probability after acquiring the response value through simulation and calculate the X-filling value when the X-bit is extracted as a pattern in each partition and PI.

일실시예에 따른 저전력 스캔 테스트 방법은 스캔 렌더링-어웨어 X-필링을 수행한다(단계 404).A low power scan test method according to one embodiment performs scan rendering-aware X-fill (step 404).

저전력 스캔 테스트 방법은 패턴 시뮬레이션 후 TP 추정을 하기 전에 간단한 스캔 셀 재배열을 수행할 수 있다. 이는 후에 이루어질 스캔 재배열을 고려하기 위한 과정으로 후에 진행될 스캔 재배열은 전이가 최소화 되도록 재배열 될 것이기 때문에 이에 맞추어 전이가 최소화 되도록 가상으로 재배열 후 TP 연산을 진행할 수 있다.The low power scan test method can perform simple scan cell rearrangement before TP estimation after pattern simulation. This is a process to consider the rearrangement to be performed later. Since the rearrangement of the scan to be performed later will be rearranged to minimize the transition, the TP operation can be performed after the rearrangement so as to minimize the transition.

다음으로, 일실시예에 따른 저전력 스캔 테스트 방법은 저전력 스캔 재 배열 및 재 배열 정보 전송한다(단계 405).Next, a low power scan test method according to one embodiment transmits low power scan rearrangement and rearrangement information (step 405).

도 5는 테스트 데이터에 대한 압축 예시를 나타내는 도면이다.5 is a diagram showing an example of compression for test data.

도 5는 저전력 스캔 테스트 장치에 의한 패턴 시뮬레이션 및 전이 확률(transition probability), 즉 TP 계산 예시를 보여준다. 예시와 같이 패턴 시뮬레이션 후 TP 추정을 하기 전에 간단한 스캔 셀 재배열이 이루어진다. 이는 후에 이루어질 스캔 재배열을 고려하기 위한 과정으로 후에 진행될 스캔 재배열은 전이(transition)가 최소화 되도록 재배열 될 것이기 때문에 이에 맞추어 전이(transition)가 최소화 되도록 가상으로 재배열 후 TP 연산이 진행된다. 5 shows a pattern simulation and transition probability, i.e., TP calculation example, by a low power scan test apparatus. As shown in the figure, a simple scan cell rearrangement is performed before TP estimation after pattern simulation. This is a process to consider the rearrangement to be performed later. Since the rearrangement of the scan to be performed later will be rearranged so as to minimize the transition, the TP operation is virtually rearranged so that the transition is minimized.

도 5에 도시된 바와 같이, case 2의 TP 값(14.5)이 case 1의 TP 값(18.0) 보다 더 작은 값이기 때문에, 본 예시의 경우 1번 파티션은 1-fill이 모든 패턴에 대해 적용될 수 있다. 본 명세서에서는 이러한 X-필링을 스캔 재 배열-어웨어 X 필링으로 정의할 수 있다.As shown in FIG. 5, since the TP value (14.5) of case 2 is a value smaller than the TP value (18.0) of case 1, in this example, partition 1 can be applied to all patterns have. In the present specification, such X-filling can be defined as scan rearrangement-aware X-filling.

다음으로, 저전력 스캔 테스트 장치는 가중 해밍 거리(weighted hamming distance, WHD)를 계산하여 파티션 내에서 가장 distance 값이 작은 셀이 연속으로 재배열 및 stitching이 이루어진다. WHD은 [수학식 5]로 계산된다.Next, the low power scan test apparatus calculates a weighted hamming distance (WHD), and successively rearranges and stitches cells having the smallest distance value in the partition. WHD is calculated by the following equation (5).

[수학식 5]&Quot; (5) "

Figure 112016010746302-pat00016
Figure 112016010746302-pat00016

[수학식 5]에 있어,

Figure 112016010746302-pat00017
,
Figure 112016010746302-pat00018
는 입력 데이터와 출력 응답에 상응하는 거리를 나타낸다.In Equation (5)
Figure 112016010746302-pat00017
,
Figure 112016010746302-pat00018
Represents the distance corresponding to the input data and the output response.

Figure 112016010746302-pat00019
는 i 번째와 j 번째 엘리먼트에 대하 가중치를 나타낸다.
Figure 112016010746302-pat00019
Represents a weight for the i-th and j-th elements.

Figure 112016010746302-pat00020
는 n번째 테스트 패턴에 있어 i 번째와 j 번째 입력 테스트 데이터에 대한 비트를 나타낸다.
Figure 112016010746302-pat00020
Represents the bits for the i-th and j-th input test data in the n-th test pattern.

Figure 112016010746302-pat00021
는 n번째 테스트 패턴에 있어 i 번째와 j 번째 출력 테스트 데이터에 대한 비트를 나타낸다.
Figure 112016010746302-pat00021
Represents the bits for the i-th and j-th output test data in the n-th test pattern.

도 6은 스캔 테스트를 위해 테스트 데이터를 인가하는 실시예를 설명하는 도면이다.6 is a diagram for explaining an embodiment of applying test data for a scan test.

도 6은 가중 해밍 거리(weighted hamming distance, WHD)의 계산 및 재배열이 되는 예시를 나타낸다. 도 6은 파티션 내에 6개의 스캔 셀이 있고 전체 테스트 패턴은 3개이며 한 스캔 체인으로 스티칭 해야 할 경우(610)를 가정한 예시이다. SI와 연결되는 첫 번째 스캔 셀이 x1으로 결정 후 x1과 스티칭 될 스캔 셀을 결정해야 하는 경우일 때, 가능한 모든 스캔 셀에 대해 가중 해밍 거리(weighted hamming distance, WHD)계산이 이루어지며 이는 도면부호 620의 표 마지막 row에 나타난 값과 같다. 이 때 가장 작은 값을 같은 x6이 x1과 연결되는 스캔 셀이 되며 이후에도 이 작업은 반복되어 모든 셀이 재배열 될 때까지 진행된다.6 shows an example of calculation and rearrangement of a weighted hamming distance (WHD). 6 is an example of assuming that there are six scan cells in a partition, three test patterns in total, and a case where a scan chain is stitched (610). The weighted hamming distance (WHD) is calculated for all possible scan cells when the first scan cell connected to SI is determined to be x1 and the scan cell to be stitched to be determined, It is the same as the value shown in the last row of table 620. At this time, the smallest value is a scan cell in which x6 is connected to x1, and the operation is repeated until all the cells are rearranged.

저전력 스캔 테스트는 여기까지 모두 진행 된 스캔 셀 재배열 정보를 라우팅 시 활용할 정보로 가공해 전달한다.The low-power scan test processes the scan cell rearrangement information to be used for routing.

결국, 본 발명을 이용하면, 과도한 라우팅 오버헤드(routing overhead)의 억제를 막아주며, 같은 파티션의 테스트 패턴의 미지정 비트(unspecified bit)을 같은 값으로 필링 후 스캔 재배열을 함으로써, 효과적인 패턴 생성과 저전력 스캔 재배열 효과를 동시에 가져온다.As a result, by using the present invention, it is possible to prevent the excessive routing overhead from being suppressed, and the unspecified bits of the test pattern of the same partition are filled with the same value, Low-power scan re-arrangement effect simultaneously.

특히, 본 발명은 실제 레이아웃 이후 실제 셀의 위치정보를 사용하여 파티션을 진행하므로, 파티션 개수에 따른 라우팅 억제를 제어 가능하며, 기존 EDA 툴에서 진행하는 라우팅 기법에 비해 저전력 스캔 시프팅에 유용하게 접근하여 상당한 시프팅 속도 향상을 가져올 수 있다.Particularly, since the present invention uses the actual cell location information after the actual layout to partition, it is possible to control the routing restraint according to the number of partitions, and it is useful for low power scan shifting Which can lead to a significant shifting speed improvement.

또한, 추가적인 DFT 회로 없이 적은 라우팅 오버헤드(routing overhead)만으로 구현이 가능하여 회로 크기에 큰 영향을 미치는 게이트 카운트에 영향을 미치지 않으며, 기존 기능적인 동작에 영향을 주는 요소가 없으므로 기존 디자인에 호환해서 사용하기 용이하다.In addition, it can be implemented with few routing overheads without additional DFT circuitry, it does not affect the gate count, which greatly affects the circuit size, and it does not affect the existing functional behavior. It is easy to use.

이상에서 설명된 장치는 하드웨어 구성요소, 소프트웨어 구성요소, 및/또는 하드웨어 구성요소 및 소프트웨어 구성요소의 조합으로 구현될 수 있다. 예를 들어, 실시예들에서 설명된 장치 및 구성요소는, 예를 들어, 프로세서, 콘트롤러, ALU(arithmetic logic unit), 디지털 신호 프로세서(digital signal processor), 마이크로컴퓨터, FPA(field programmable array), PLU(programmable logic unit), 마이크로프로세서, 또는 명령(instruction)을 실행하고 응답할 수 있는 다른 어떠한 장치와 같이, 하나 이상의 범용 컴퓨터 또는 특수 목적 컴퓨터를 이용하여 구현될 수 있다. 처리 장치는 운영 체제(OS) 및 상기 운영 체제 상에서 수행되는 하나 이상의 소프트웨어 애플리케이션을 수행할 수 있다. 또한, 처리 장치는 소프트웨어의 실행에 응답하여, 데이터를 접근, 저장, 조작, 처리 및 생성할 수도 있다. 이해의 편의를 위하여, 처리 장치는 하나가 사용되는 것으로 설명된 경우도 있지만, 해당 기술분야에서 통상의 지식을 가진 자는, 처리 장치가 복수 개의 처리 요소(processing element) 및/또는 복수 유형의 처리 요소를 포함할 수 있음을 알 수 있다. 예를 들어, 처리 장치는 복수 개의 프로세서 또는 하나의 프로세서 및 하나의 콘트롤러를 포함할 수 있다. 또한, 병렬 프로세서(parallel processor)와 같은, 다른 처리 구성(processing configuration)도 가능하다.The apparatus described above may be implemented as a hardware component, a software component, and / or a combination of hardware components and software components. For example, the apparatus and components described in the embodiments may be implemented within a computer system, such as, for example, a processor, a controller, an arithmetic logic unit (ALU), a digital signal processor, a microcomputer, a field programmable array (FPA) A programmable logic unit (PLU), a microprocessor, or any other device capable of executing and responding to instructions. The processing device may execute an operating system (OS) and one or more software applications running on the operating system. The processing device may also access, store, manipulate, process, and generate data in response to execution of the software. For ease of understanding, the processing apparatus may be described as being used singly, but those skilled in the art will recognize that the processing apparatus may have a plurality of processing elements and / As shown in FIG. For example, the processing unit may comprise a plurality of processors or one processor and one controller. Other processing configurations are also possible, such as a parallel processor.

소프트웨어는 컴퓨터 프로그램(computer program), 코드(code), 명령(instruction), 또는 이들 중 하나 이상의 조합을 포함할 수 있으며, 원하는 대로 동작하도록 처리 장치를 구성하거나 독립적으로 또는 결합적으로(collectively) 처리 장치를 명령할 수 있다. 소프트웨어 및/또는 데이터는, 처리 장치에 의하여 해석되거나 처리 장치에 명령 또는 데이터를 제공하기 위하여, 어떤 유형의 기계, 구성요소(component), 물리적 장치, 가상 장치(virtual equipment), 컴퓨터 저장 매체 또는 장치, 또는 전송되는 신호 파(signal wave)에 영구적으로, 또는 일시적으로 구체화(embody)될 수 있다. 소프트웨어는 네트워크로 연결된 컴퓨터 시스템 상에 분산되어서, 분산된 방법으로 저장되거나 실행될 수도 있다. 소프트웨어 및 데이터는 하나 이상의 컴퓨터 판독 가능 기록 매체에 저장될 수 있다.The software may include a computer program, code, instructions, or a combination of one or more of the foregoing, and may be configured to configure the processing device to operate as desired or to process it collectively or collectively Device can be commanded. The software and / or data may be in the form of any type of machine, component, physical device, virtual equipment, computer storage media, or device , Or may be permanently or temporarily embodied in a transmitted signal wave. The software may be distributed over a networked computer system and stored or executed in a distributed manner. The software and data may be stored on one or more computer readable recording media.

실시예에 따른 방법은 다양한 컴퓨터 수단을 통하여 수행될 수 있는 프로그램 명령 형태로 구현되어 컴퓨터 판독 가능 매체에 기록될 수 있다. 상기 컴퓨터 판독 가능 매체는 프로그램 명령, 데이터 파일, 데이터 구조 등을 단독으로 또는 조합하여 포함할 수 있다. 상기 매체에 기록되는 프로그램 명령은 실시예를 위하여 특별히 설계되고 구성된 것들이거나 컴퓨터 소프트웨어 당업자에게 공지되어 사용 가능한 것일 수도 있다. 컴퓨터 판독 가능 기록 매체의 예에는 하드 디스크, 플로피 디스크 및 자기 테이프와 같은 자기 매체(magnetic media), CD-ROM, DVD와 같은 광기록 매체(optical media), 플롭티컬 디스크(floptical disk)와 같은 자기-광 매체(magneto-optical media), 및 롬(ROM), 램(RAM), 플래시 메모리 등과 같은 프로그램 명령을 저장하고 수행하도록 특별히 구성된 하드웨어 장치가 포함된다. 프로그램 명령의 예에는 컴파일러에 의해 만들어지는 것과 같은 기계어 코드뿐만 아니라 인터프리터 등을 사용해서 컴퓨터에 의해서 실행될 수 있는 고급 언어 코드를 포함한다. 상기된 하드웨어 장치는 실시예의 동작을 수행하기 위해 하나 이상의 소프트웨어 모듈로서 작동하도록 구성될 수 있으며, 그 역도 마찬가지이다.The method according to an embodiment may be implemented in the form of a program command that can be executed through various computer means and recorded in a computer-readable medium. The computer-readable medium may include program instructions, data files, data structures, and the like, alone or in combination. The program instructions to be recorded on the medium may be those specially designed and configured for the embodiments or may be available to those skilled in the art of computer software. Examples of computer-readable media include magnetic media such as hard disks, floppy disks and magnetic tape; optical media such as CD-ROMs and DVDs; magnetic media such as floppy disks; Magneto-optical media, and hardware devices specifically configured to store and execute program instructions such as ROM, RAM, flash memory, and the like. Examples of program instructions include machine language code such as those produced by a compiler, as well as high-level language code that can be executed by a computer using an interpreter or the like. The hardware devices described above may be configured to operate as one or more software modules to perform the operations of the embodiments, and vice versa.

이상과 같이 실시예들이 비록 한정된 도면에 의해 설명되었으나, 해당 기술분야에서 통상의 지식을 가진 자라면 상기의 기재로부터 다양한 수정 및 변형이 가능하다. 예를 들어, 설명된 기술들이 설명된 방법과 다른 순서로 수행되거나, 및/또는 설명된 시스템, 구조, 장치, 회로 등의 구성요소들이 설명된 방법과 다른 형태로 결합 또는 조합되거나, 다른 구성요소 또는 균등물에 의하여 대치되거나 치환되더라도 적절한 결과가 달성될 수 있다.While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it is to be understood that the invention is not limited to the disclosed exemplary embodiments. For example, it is to be understood that the techniques described may be performed in a different order than the described methods, and / or that components of the described systems, structures, devices, circuits, Lt; / RTI > or equivalents, even if it is replaced or replaced.

그러므로, 다른 구현들, 다른 실시예들 및 특허청구범위와 균등한 것들도 후술하는 특허청구범위의 범위에 속한다.Therefore, other implementations, other embodiments, and equivalents to the claims are also within the scope of the following claims.

Claims (12)

테스트 대상 집적회로(IC: Integrated circuit)의 스캔 셀 배치 정보를 획득하는 스캔 셀 배치 정보 획득부;
상기 스캔 셀 배치 정보에 기초하여 상기 테스트 대상 집적 회로를 복수의 파티션(partition)으로 구분하고, 각각의 파티션에 포함되는 스캔 셀의 개수를 조정하는 파티셔닝부; 및
상기 구분된 파티션 별로 스캔 셀을 재 배열하고 스캔 셀 재배열 정보를 생성하는 제어부
를 포함하는 스캔 셀 재배열 장치.
A scan cell layout information acquisition unit for acquiring scan cell layout information of an integrated circuit (IC) to be tested;
A partitioning unit that divides the test object integrated circuit into a plurality of partitions based on the scan cell placement information and adjusts the number of scan cells included in each partition; And
A controller for rearranging the scan cells according to the partitioned partition and generating scan cell rearrangement information,
And a scan cell array.
제1항에 있어서,
상기 파티셔닝부는,
상기 구분된 파티션 내의 스캔 셀 중에서 특정 스캔 셀을 기준으로 파티션 경계선을 이동하면서 상기 각각의 파티션에 포함되는 스캔 셀의 개수를 조정하는 스캔 셀 재배열 장치.
The method according to claim 1,
The partitioning unit,
And adjusting the number of scan cells included in each partition while moving a partition boundary line based on a specific scan cell among the scan cells in the partitioned partition.
제2항에 있어서,
상기 파티셔닝부는,
상기 구분된 파티션의 경계선에서 가장 가까운 거리에 위치하는 스캔 셀을 상기 특정 스캔 셀로 결정하는 스캔 셀 재배열 장치.
3. The method of claim 2,
The partitioning unit,
And determines a scan cell located at a nearest distance from a boundary of the divided partition as the specific scan cell.
제1항에 있어서,
상기 제어부는,
X-필링 값을 결정하고, 상기 결정된 X-필링 값에 기초하여 상기 스캔 셀을 재 배열하는 스캔 셀 재배열 장치.
The method according to claim 1,
Wherein,
Determining an X-filling value, and rearranging the scan cells based on the determined X-filling value.
제4항에 있어서,
상기 제어부는,
시뮬레이션 패턴을 생성하고, 상기 생성된 시뮬레이션 패턴에 따른 시뮬레이션을 통해 응답(response) 값을 획득하며, 상기 획득된 응답(response) 값을 이용하여 전이 확률(transition probability)을 계산하고, 상기 계산된 전이 확률(transition probability)에 기초하여 상기 X-필링 값을 결정하는 스캔 셀 재배열 장치.
5. The method of claim 4,
Wherein,
Generating a simulation pattern, acquiring a response value through a simulation according to the generated simulation pattern, calculating a transition probability using the obtained response value, Wherein the X-peeling value is determined based on a transition probability.
제1항에 있어서,
상기 제어부는,
상기 구분된 파티션 별로 스캔 셀에 대한 가중 해밍 거리(weighted hamming distance, WHD)를 계산하고, 상기 계산 결과 파티션 내에서 가장 작은 가중 해밍 거리(weighted hamming distance, WHD)에 해당하는 스캔 셀에 대해 연속으로 재 배열 및 스티칭하는 스캔 셀 재배열 장치.
The method according to claim 1,
Wherein,
Calculating a weighted hamming distance (WHD) for a scan cell for each divided partition, and calculating a weighted hamming distance (WHD) for a scan cell corresponding to the smallest weighted hamming distance (WHD) Arrangement of scan cells for rearrangement and stitching.
테스트 대상 집적회로(IC: Integrated circuit)의 스캔 셀 배치 정보를 획득하는 단계;
상기 스캔 셀 배치 정보에 기초하여 상기 테스트 대상 집적 회로를 복수의 파티션(partition)으로 구분하고, 각각의 파티션에 포함되는 스캔 셀의 개수를 조정하는 단계; 및
상기 구분된 파티션 별로 스캔 셀을 재 배열하고 스캔 셀 재배열 정보를 생성하는 단계
를 포함하는 스캔 셀 재배열 방법.
Acquiring scan cell arrangement information of an integrated circuit (IC) to be tested;
Dividing the test object integrated circuit into a plurality of partitions based on the scan cell placement information, and adjusting the number of scan cells included in each partition; And
A step of rearranging scan cells according to the divided partitions and generating scan cell rearrangement information
Wherein the scan cells are arranged in rows and columns.
제7항에 있어서,
상기 스캔 셀의 개수를 조정하는 단계는,
상기 구분된 파티션의 경계선에서 가장 가까운 거리에 위치하는 스캔 셀을 결정하고, 상기 결정된 스캔 셀을 기준으로 파티션 경계선을 이동하면서 상기 각각의 파티션에 포함되는 스캔 셀의 개수를 조정하는 스캔 셀 재배열 방법.
8. The method of claim 7,
Wherein the adjusting the number of the scan cells comprises:
A scan cell rearrangement method of determining a scan cell located at a nearest distance from a boundary of the divided partition and adjusting a number of scan cells included in each partition while moving a partition boundary based on the determined scan cell .
제7항에 있어서,
상기 스캔 셀을 재 배열하는 단계는,
시뮬레이션 패턴을 생성하는 단계;
상기 생성된 시뮬레이션 패턴에 따른 시뮬레이션을 통해 응답(response) 값을 획득하는 단계;
상기 획득된 응답(response) 값을 이용하여 전이 확률(transition probability)을 계산하는 단계;
상기 계산된 전이 확률(transition probability)에 기초하여 X-필링 값을 결정하는 단계; 및
상기 결정된 X-필링 값에 기초하여 상기 스캔 셀을 재 배열하는 단계
를 포함하는 스캔 셀 재배열 방법.
8. The method of claim 7,
The step of rearranging the scan cells comprises:
Generating a simulation pattern;
Obtaining a response value through simulation according to the generated simulation pattern;
Calculating a transition probability using the obtained response value;
Determining an X-filling value based on the calculated transition probability; And
And rearranging the scan cells based on the determined X-
Wherein the scan cells are arranged in rows and columns.
제7항에 있어서,
상기 스캔 셀을 재 배열하는 단계는,
상기 구분된 파티션 별로 스캔 셀에 대한 가중 해밍 거리(weighted hamming distance, WHD)를 계산하는 단계; 및
상기 계산 결과 파티션 내에서 가장 작은 가중 해밍 거리(weighted hamming distance, WHD)에 해당하는 스캔 셀에 대해 연속으로 재 배열 및 스티칭하는 단계
를 포함하는 스캔 셀 재배열 방법.
8. The method of claim 7,
The step of rearranging the scan cells comprises:
Calculating a weighted hamming distance (WHD) for a scan cell for each partitioned partition; And
And successively rearranging and stitching the scan cells corresponding to the smallest weighted hamming distance (WHD) in the calculation result
Wherein the scan cells are arranged in rows and columns.
스캔 셀 배치(placement) 정보를 활용하여 파티셔닝 하는 단계;
파티션닝된 각 파티션 및 상기 각 파티션 내의 스캔 셀을 0 또는 1로 X-필링하는 시뮬레이션 패턴을 생성하는 단계;
상기 생성된 시뮬레이션 패턴을 이용하여 패턴 시뮬레이션을 수행하고, 상기 패턴 시뮬레이션 결과로 전이 확률(transition probability)을 계산하는 단계;
상기 각 파티션 및 상기 각 파티션 내 스캔 셀의 X-비트를 0 또는 1로 필링하는 단계;
상기 각 파티션 내 스캔 셀 패턴 정보를 활용하여 가중 해밍 거리(weighted hamming distance)를 계산하는 단계; 및
상기 계산된 가중 해밍 거리(weighted hamming distance)를 이용하여 스캔 셀을 재배열 하는 단계
를 포함하는 스캔 셀 재배열 방법.
Partitioning using scan cell placement information;
Generating a simulation pattern for X-filling each partitioned partition and a scan cell in each partition with 0 or 1;
Performing a pattern simulation using the generated simulation pattern, and calculating a transition probability as a result of the pattern simulation;
Filling the X-bit of each partition and each of the intra-partition scan cells with 0 or 1;
Calculating a weighted hamming distance using the intra-partition scan cell pattern information; And
And rearranging the scan cells using the calculated weighted hamming distance
Wherein the scan cells are arranged in rows and columns.
제11항에 있어서,
상기 전이 확률(transition probability)을 계산하는 단계는,
직각 거리를 이용해 스캔 셀의 파티션의 경계를 이동하고, 상기 경계가 이동된 각 스캔 셀을 임시로 재 배열하며, 상기 임시로 재 배열된 스캔 셀을 기반으로 상기 전이 확률(transition probability)을 계산하는 단계
를 포함하는 스캔 셀 재배열 방법.
12. The method of claim 11,
The step of calculating the transition probability comprises:
The boundary of the partitions of the scan cells is shifted by using the perpendicular distance, the respective scan cells to which the boundary is moved are temporarily rearranged, and the transition probability is calculated based on the temporarily rearranged scan cells step
Wherein the scan cells are arranged in rows and columns.
KR1020160012440A 2016-02-01 2016-02-01 Method and apparatus for scan cell partition-based x-filling and scan cell reordering method for low power scan test KR101681862B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020160012440A KR101681862B1 (en) 2016-02-01 2016-02-01 Method and apparatus for scan cell partition-based x-filling and scan cell reordering method for low power scan test

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160012440A KR101681862B1 (en) 2016-02-01 2016-02-01 Method and apparatus for scan cell partition-based x-filling and scan cell reordering method for low power scan test

Publications (1)

Publication Number Publication Date
KR101681862B1 true KR101681862B1 (en) 2016-12-02

Family

ID=57571699

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160012440A KR101681862B1 (en) 2016-02-01 2016-02-01 Method and apparatus for scan cell partition-based x-filling and scan cell reordering method for low power scan test

Country Status (1)

Country Link
KR (1) KR101681862B1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101815807B1 (en) 2017-04-26 2018-01-05 연세대학교 산학협력단 Device and method for efficient x-masking scheme using compressed control signals
KR102382520B1 (en) * 2020-11-12 2022-04-01 연세대학교 산학협력단 Scan chain ordering method and scan chain ordering apparatus
KR20220053870A (en) * 2020-10-23 2022-05-02 연세대학교 산학협력단 Scan cell reordering method and scan cell reordering apparatus
KR20230059327A (en) 2021-10-26 2023-05-03 연세대학교 산학협력단 Scan correlation-aware scan cluster reordering method and apparatus for low-power testing

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7779320B2 (en) 2008-02-21 2010-08-17 Lsi Corporation Low power scan shifting with random-like test patterns
US7937677B2 (en) 2008-05-19 2011-05-03 Synopsys, Inc. Design-for-test-aware hierarchical design planning
US20140189452A1 (en) * 2012-12-28 2014-07-03 Nvidia Corporation System for reducing peak power during scan shift at the local level for scan based tests
KR101539712B1 (en) 2015-01-19 2015-07-28 연세대학교 산학협력단 Semiconductor device enabling low power scan test and method for testing the same
JP2015215246A (en) * 2014-05-12 2015-12-03 株式会社メガチップス Scan test circuit

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7779320B2 (en) 2008-02-21 2010-08-17 Lsi Corporation Low power scan shifting with random-like test patterns
US7937677B2 (en) 2008-05-19 2011-05-03 Synopsys, Inc. Design-for-test-aware hierarchical design planning
US20140189452A1 (en) * 2012-12-28 2014-07-03 Nvidia Corporation System for reducing peak power during scan shift at the local level for scan based tests
JP2015215246A (en) * 2014-05-12 2015-12-03 株式会社メガチップス Scan test circuit
KR101539712B1 (en) 2015-01-19 2015-07-28 연세대학교 산학협력단 Semiconductor device enabling low power scan test and method for testing the same

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
1. 임현열, 임재일, 박기현, 강성호. ‘3D IC를 위한 저전력 스캔 체인 배열 방법’. 한국통신학회 2015년도 동계학술대회논문집. 2015년 1월. 455-456쪽 *
3D IC를 위한 스캔 셀 재배열을 이용한 저전력 테스트 방법(Low Power Test using Scan Cell Reordering Method for 3D IC), 한국통신학회 학술대회논문집, Vol.2015 No.1 [2015], pp. 457-458

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101815807B1 (en) 2017-04-26 2018-01-05 연세대학교 산학협력단 Device and method for efficient x-masking scheme using compressed control signals
KR20220053870A (en) * 2020-10-23 2022-05-02 연세대학교 산학협력단 Scan cell reordering method and scan cell reordering apparatus
KR102412816B1 (en) 2020-10-23 2022-06-23 연세대학교 산학협력단 Scan cell reordering method and scan cell reordering apparatus
KR102382520B1 (en) * 2020-11-12 2022-04-01 연세대학교 산학협력단 Scan chain ordering method and scan chain ordering apparatus
KR20230059327A (en) 2021-10-26 2023-05-03 연세대학교 산학협력단 Scan correlation-aware scan cluster reordering method and apparatus for low-power testing
KR102583916B1 (en) 2021-10-26 2023-09-26 연세대학교 산학협력단 Scan correlation-aware scan cluster reordering method and apparatus for low-power testing
US12000891B2 (en) 2021-10-26 2024-06-04 Uif (University Industry Foundation), Yonsei University Scan correlation-aware scan cluster reordering method and apparatus for low-power testing

Similar Documents

Publication Publication Date Title
KR101681862B1 (en) Method and apparatus for scan cell partition-based x-filling and scan cell reordering method for low power scan test
US10169505B2 (en) Partitioning and routing multi-SLR FPGA for emulation and prototyping
US8594988B1 (en) Method and apparatus for circuit simulation using parallel computing
US8990749B2 (en) Method and apparatus for optimizing memory-built-in-self test
US20140089874A1 (en) Method and Apparatus for Optimizing Memory-Built-In-Self Test
CN109145320B (en) Static time sequence analysis method and device in chip hierarchical physical design
US9110689B2 (en) Automatic pipeline stage insertion
JP2001349930A (en) Event type semiconductor test system
Basu et al. Dynamic selection of trace signals for post-silicon debug
US9208043B1 (en) Method and apparatus for fault injection and verification on an integrated circuit
US7913204B2 (en) High-level synthesis apparatus, high-level synthesis system and high-level synthesis method
US9773083B1 (en) Post-placement and pre-routing processing of critical paths in a circuit design
KR101418162B1 (en) Global clock handler object for hdl environment
JP2015502660A (en) Layout of memory circuit and logic circuit in system on chip
CN110637235B (en) Integrated circuit testing apparatus and method
US11586419B2 (en) Dynamic pseudo-random bit sequence generator and methods therefor
US7467368B1 (en) Circuit clustering during placement
US20230205960A1 (en) Design for testability circuitry placement within an integrated circuit design
CN106257464B (en) Method for connecting power switches in an IC layout
KR101170273B1 (en) Clock jitter suppression method and computer-readable storage medium
JPWO2020194455A1 (en) Test case generator, test case generator, and test case generator
CN109145338A (en) A kind of method and device for repairing voltage drop
US11328109B2 (en) Refining multi-bit flip flops mapping without explicit de-banking and re-banking
US10444282B2 (en) Test point insertion for low test pattern counts
Holst et al. Gpu-accelerated timing simulation of systolic-array-based ai accelerators

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191216

Year of fee payment: 4