KR101678405B1 - Nanowire transistor devices and forming techniques - Google Patents

Nanowire transistor devices and forming techniques Download PDF

Info

Publication number
KR101678405B1
KR101678405B1 KR1020147036414A KR20147036414A KR101678405B1 KR 101678405 B1 KR101678405 B1 KR 101678405B1 KR 1020147036414 A KR1020147036414 A KR 1020147036414A KR 20147036414 A KR20147036414 A KR 20147036414A KR 101678405 B1 KR101678405 B1 KR 101678405B1
Authority
KR
South Korea
Prior art keywords
type
layer
stack
nanowire
forming
Prior art date
Application number
KR1020147036414A
Other languages
Korean (ko)
Other versions
KR20150022905A (en
Inventor
글렌 에이 글래스
켈린 제이 쿤
세연 김
어낸드 에스 머시
다니엘 비 오버틴
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/560,531 external-priority patent/US9012284B2/en
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20150022905A publication Critical patent/KR20150022905A/en
Application granted granted Critical
Publication of KR101678405B1 publication Critical patent/KR101678405B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

동일한 집적 회로 다이 내에 다양한 범위의 채널 구성 및/또는 재료 시스템을 제공하기 위해 나노와이어 트랜지스터 디바이스의 주문제작을 위한 기법이 개시된다. 하나의 예시적인 실시예에 따라, 희생 핀이 제거되고, 주어진 애플리케이션에 적절한 임의의 조성 및 스트레인의 주문제작 재료 스택으로 대체된다. 하나의 이러한 경우, 각각의 제 1 희생 핀 세트가 리세싱되거나 그렇지 않으면 제거되어 p형 층 스택으로 대체되고, 각각의 제 2 희생 핀 세트가 리세싱되거나 그렇지 않으면 제거되어 n형 층 스택으로 대체된다. p형 층 스택은 n형 층 스택을 위한 프로세스와 완전히 무관할 수 있으며, 그 반대도 또한 성립한다. 다양한 다른 회로 구성 및 디바이스 변형예는 본 명세서에 제공된 기법을 사용하여 가능하게 된다.Techniques for customization of nanowire transistor devices to provide a wide range of channel configurations and / or materials systems within the same integrated circuit die are disclosed. According to one exemplary embodiment, the sacrificial fin is removed and replaced with a custom material stack of any composition and strain suitable for a given application. In one such case, each first sacrificial pin set is recessed or otherwise removed to replace the p-type layer stack, and each second sacrificial pin set is either recessed or otherwise removed and replaced with an n-type layer stack . The p-type layer stack may be completely independent of the process for the n-type layer stack, and vice versa. Various other circuit configurations and device variations are possible using the techniques provided herein.

Figure 112015086346580-pct00018
Figure 112015086346580-pct00018

Description

나노와이어 트랜지스터 디바이스 및 형성 기법{NANOWIRE TRANSISTOR DEVICES AND FORMING TECHNIQUES}[0001] NANOIRE TRANSISTOR DEVICES AND FORMING TECHNIQUES [0002]

마이크로전자 디바이스 치수가 계속 변경됨에 따라 이동성 개선 및 짧은 채널 제어를 유지하는 것은 디바이스 제작에 있어서 도전 과제를 제공한다. 개선된 짧은 채널 제어를 제공하기 위해 나노와이어 트랜지스터 디바이스가 사용될 수 있다. 예를 들어, 실리콘 게르마늄(SixGe1 -x, 여기에서 x<0.2) 나노와이어 채널 구조체는 이동성 향상을 제공하고, 이는 많은 통상적인 제품에 사용하기에 적합하다.
Maintaining mobility improvement and short channel control as microelectronic device dimensions continue to change presents a challenge in device fabrication. Nanowire transistor devices can be used to provide improved short channel control. For example, silicon germanium (Si x Ge 1 -x , where x <0.2) nanowire channel structures provide improved mobility, which is suitable for use in many conventional products.

도 1 내지 도 15b는 본 발명의 일 실시예에 따른 나노와이어 또는 나노리본 트랜지스터 디바이스를 형성하기 위한 프로세스와 함께 다양한 예시적인 결과적 구조체를 도시한다.
도 16은 본 발명의 다른 실시예에 따른 나노와이어 또는 나노리본 트랜지스터 디바이스를 형성하기 위한 프로세스와 함께 다양한 예시적인 결과적 구조체를 도시한다.
도 17은 본 발명의 다른 실시예에 따른 나노와이어 또는 나노리본 트랜지스터 디바이스를 형성하기 위한 프로세스와 함께 다양한 예시적인 결과적 구조체를 도시한다.
도 18a 내지 도 18f는 예시적인 실시예에 따른 이중층(bi-layer) 소스/드레인 구조체를 형성하기 위한 프로세스를 도시한다.
도 19a 및 도 19b는 예시적인 실시예에 따른 이중층(bi-layer) 소스/드레인 구조체를 형성하기 위한 프로세스를 도시한다.
도 20은 본 발명의 예시적인 실시예에 따른 구성된 하나 이상의 집적 회로 구조체를 이용하여 구현된 컴퓨팅 시스템을 도시한다.
이해되는 바와 같이, 도면은 반드시 축척에 따라 도시되지는 않으며 청구된 본 발명을 도시된 특별한 구성으로 제한하도록 의도되지 않는다. 예를 들어, 일부 도면이 일반적으로 직선, 직각, 및 매끄러운 표면을 표시하지만, 집적 회로 구조체의 실제 구현은 덜 완전한 직선, 직각을 가질 수 있으며, 일부 특징은 사용되는 처리 설비 및 기법에 대한 실제 제한을 고려하는 경우 표면 토폴로지를 가지거나 이와 달리 매끈하지 않을 수 있다. 요컨대, 도면은 단지 예시적인 구조체를 도시하기 위해 제공된다.
Figures 1 to 15B illustrate various exemplary result structures with a process for forming nanowire or nanoribbon transistor devices in accordance with an embodiment of the present invention.
Figure 16 illustrates various exemplary result structures with a process for forming nanowire or nanoribbon transistor devices in accordance with another embodiment of the present invention.
Figure 17 illustrates various exemplary result structures with a process for forming a nanowire or nanoribbon transistor device in accordance with another embodiment of the present invention.
18A-18F illustrate a process for forming a bi-layer source / drain structure according to an exemplary embodiment.
19A and 19B illustrate a process for forming a bi-layer source / drain structure according to an exemplary embodiment.
Figure 20 illustrates a computing system implemented using one or more integrated circuit structures constructed in accordance with an exemplary embodiment of the present invention.
As will be appreciated, the drawings are not necessarily drawn to scale and are not intended to limit the claimed invention to the particular arrangements shown. For example, some drawings typically show straight, perpendicular, and smooth surfaces, but the actual implementation of the integrated circuit structure may have a less complete straight line, a right angle, and some features may be limited by the actual limitations It may have a surface topology or otherwise not smooth. Briefly, the drawings are provided merely to illustrate exemplary structures.

동일한 집적 회로 다이 내에 다양한 범위의 채널 구성 및/또는 재료 시스템을 제공하기 위해 나노와이어 트랜지스터 디바이스의 주문제작을 위한 기법이 개시된다. 본 발명의 일 실시예에 따라, 희생 핀이 제거되고, 주어진 애플리케이션에 적절한 임의의 조성 및 스트레인의 주문제작 재료 스택으로 대체된다. 하나의 이러한 실시예에서, 각각의 제 1 희생 핀 세트가 리세싱되거나 그렇지 않으면 제거되어 p형 층 스택(p-type layer stack)으로 대체되고, 각각의 제 2 희생 핀 세트가 리세싱되거나 그렇지 않으면 제거되어 n형 층 스택(n-type layer stack)으로 대체된다. p형 층 스택은 n형 층 스택을 위한 프로세스와 완전히 무관할 수 있으며, 그 반대도 또한 성립한다. 다양한 다른 회로 구성 및 디바이스 변형예는 본 명세서에 제공된 기법을 사용하여 가능하게 된다.Techniques for customization of nanowire transistor devices to provide a wide range of channel configurations and / or materials systems within the same integrated circuit die are disclosed. According to one embodiment of the present invention, the sacrificial fin is removed and replaced with a custom material stack of any composition and strain suitable for a given application. In one such embodiment, each first sacrificial pin set is recessed or otherwise removed to be replaced with a p-type layer stack, and each second sacrificial pin set is recessed or otherwise And is replaced with an n-type layer stack. The p-type layer stack may be completely independent of the process for the n-type layer stack, and vice versa. Various other circuit configurations and device variations are possible using the techniques provided herein.

일반적 개요General Overview

다중 게이트 트랜지스터는 게이트-올-어라운드(gate-all-around) 또는 소위 나노와이어/나노리본 디바이스에 대해 평면(planar)(단일 게이트)으로부터 핀(fin)(이중 또는 삼중 게이트)으로 진화되었다. '와이어(wire)'와 '리본(ribbon)'은 나노와이어가 일반적으로 1:1의 높이 대 폭 비율을 갖는 반면 나노리본은 높이 대 폭 비율에 있어 비대칭이라는 의미에서 구별되지만, 두 용어 사이에 다른 구별도 가능할 수 있다. 어쨌든, 두 용어는 본 명세서에서 상호 교환 가능하게 사용되고, 본 명세서에 설명된 개념 및 기법은 본 개시에 비추어 이해되는 바와 같이 두 개의 기하학적 특징에 동일하게 적용 가능하다. 나노와이어 디바이스는 일반적으로 예를 들어 실리콘 게르마늄(SiGe) 합금과 실리콘 층으로 이루어진 2차원 평면 스택으로부터 제작된다. p형 및 n형 금속 산화물 반도체 트랜지스터(각각, PMOS 및 NMOS)를 사용하는 CMOS(complementary metal oxide semiconductor) 프로세스의 상황에서, p형 및 n형 트랜지스터를 위한 공통 층 스택(common layer stack)의 사용은 많은 사소하지 않은 제한을 생성한다. 예를 들어, p형 및 n형 영역에서 층 스택(layer stack)의 조성은 동일해야 한다. 또한, p형 및 n형 영역에서 층 스택의 두께는 동일해야 한다. 다른 공지된 접근법에서, 다중 에피택셜 층 구조체(슈퍼래티스(superlattice))가 제작된 후에 NMOS 나노와이어 디바이스를 위한 제 1 부분 및 PMOS 나노와이어 디바이스를 위한 제 2 부분을 사용하여 분해/분할된다. 그러나, 두껍게 스트레인된 층을 성장시키는 것은 특히 핀 기하학적 특징이 점점 커짐에 따라 스트레인 완화 문제로 인해 곤란하다. 스트레인 완화는 예를 들어 에피 층(epi layer) 내에 과도한 결함을 야기하고 디바이스 성능, 수율, 및 신뢰도를 저하시킬 수 있다.Multi-gate transistors have evolved from a planar (single gate) to a fin (double or triple gate) for a gate-all-around or so-called nanowire / nanoribbon device. The 'wire' and 'ribbon' are distinguished by the fact that nanowires generally have a height-to-width ratio of 1: 1 whereas nano-ribbons are asymmetric in their height-to-width ratio, Other distinctions may be possible. In any case, both terms are used interchangeably herein, and the concepts and techniques described herein are equally applicable to two geometric features, as will be understood in light of this disclosure. Nanowire devices are typically fabricated from a two-dimensional planar stack of, for example, a silicon germanium (SiGe) alloy and a silicon layer. The use of a common layer stack for p-type and n-type transistors in the context of complementary metal oxide semiconductor (CMOS) processes using p-type and n-type metal oxide semiconductor transistors (PMOS and NMOS, respectively) Generates many non-trivial constraints. For example, in p-type and n-type regions, the composition of the layer stack should be the same. Also, the thickness of the layer stack in the p-type and n-type regions should be the same. In another known approach, a multi-epitaxial layer structure (superlattice) is fabricated and then decomposed / segmented using a first portion for the NMOS nanowire device and a second portion for the PMOS nanowire device. However, growing thickly strained layers is difficult due to the strain relaxation problem, especially as the pin geometry becomes larger. Strain relaxation can lead to, for example, excessive defects in the epi layer and reduce device performance, yield, and reliability.

따라서, 본 발명의 일 실시예에 따라, 초기 구조체는 얕은 트렌치 소자분리부 매트릭스에 패터닝된 희생 핀을 구비한다. 트렌치 소자분리부 처리 후에, 희생 핀(또는 희생 핀의 부분집합)이 제거되고 주어진 애플리케이션을 위해 적절한 임의의 조성 및 스트레인의 에피택셜 재료 스택으로 대체된다. 하나의 이러한 실시예에서, 각각의 제 1 희생 핀 세트는 리세싱되거나 그렇지 않으면 제거되어 p형 층 스택으로 대체되며, 각각의 제 2 희생 핀 세트는 리세싱되거나 그렇지 않으면 제거되어 n형 층 스택으로 대체된다. 본 개시에 비추어 이해되는 바와 같이, p형 층 스택은 n형 층 스택을 위한 프로세스와 완전히 무관할 수 있고, 그 반대도 또한 성립한다.Thus, according to one embodiment of the present invention, the initial structure has a patterned sacrificial fin in a shallow trench element isolation matrix. After the trench device isolation process, the sacrificial fin (or a subset of the sacrificial fin) is removed and replaced with an epitaxial material stack of any suitable composition and strain for a given application. In one such embodiment, each first sacrificial pin set is recessed or otherwise removed and replaced with a p-type layer stack, each second sacrificial pin set being recessed or otherwise removed to form an n-type layer stack Is replaced. As will be appreciated in light of this disclosure, the p-type layer stack may be completely independent of the process for the n-type layer stack, and vice versa.

다양한 다른 회로 구성 및 디바이스 변형예는 본 개시에 비추어 이해되는 바와 같이 본 명세서에 제공된 기법을 사용하여 가능해진다. 예를 들어, 또 다른 예시적인 회로는 희생 인터-와이어 컴포넌트(sacrificial inter-wire component)와는 대조적으로 활성 와이어 컴포넌트(active wire component)를 위한 주문제작 두께 조율(custom thickness tuning)을 이용하여 구현될 수 있다. 다양한 와이어/리본 치수는 요구된 효과(예를 들어, 트랜지스터 밀도, 채널 스트레인, 전류 밀도, 리본과 대조되는 와이어 등)를 제공하도록 조정될 수 있다. 또 다른 실시예는 p형 및/또는 n형 부분을 위한 트랜지스터당 주문제작 개수의 와이어(예를 들어, n형 트랜지스터가 3개 또는 5개의 와이어를 갖고 p형 트랜지스터가 2개 또는 4개의 와이어를 갖는 주어진 회로 설계)를 이용하여 구성될 수 있다. 보다 일반적인 의미에서, 또 다른 실시예는 제 1 회로 유형 및 제 2 회로 유형을 위한 트랜지스터당 주문제작 개수의 와이어를 이용하여 구성될 수 있다. 예를 들어, 주어진 구성은 트랜지스터당 1개, 2개, 및/또는 4개의 나노와이어를 갖는 트랜지스터, 또는 트랜지스터당 공통 개수의 나노와이어를 갖지만 일부 트랜지스터의 경우에 상이한 채널 재료를 갖는 트랜지스터와 같은 복수의 유형의 NMOS(또는 PMOS) 트랜지스터를 포함할 수 있다. 또 다른 실시예는 회로 다이 내에 주문제작 층 치수 및/또는 조성을 이용하여(예를 들어, 적절한 마스킹 또는 선택 증착을 이용하여) 구성될 수 있다.Various other circuit configurations and device modifications are possible using the techniques provided herein as understood in light of this disclosure. For example, another exemplary circuit may be implemented using custom thickness tuning for an active wire component as opposed to a sacrificial inter-wire component. have. The various wire / ribbon dimensions may be adjusted to provide the desired effect (e.g., transistor density, channel strain, current density, contrasting wire, etc.). Another embodiment is to use a customized number of wires per transistor for the p-type and / or n-type portions (e.g., an n-type transistor having three or five wires and a p- And a given circuit design having a &lt; / RTI &gt; In a more general sense, another embodiment may be constructed using a customized number of wires per transistor for the first circuit type and the second circuit type. For example, a given configuration may include a transistor having one, two, and / or four nanowires per transistor, or a plurality of transistors, such as transistors having a common number of nanowires per transistor, but with different channel materials in some transistors (Or &lt; / RTI &gt; PMOS) transistors of the type shown in FIG. Still other embodiments may be configured using customized layer dimensions and / or compositions within the circuit die (e.g., using appropriate masking or selective deposition).

또 다른 실시예는 상이한 핀 및/또는 재료 층 스택을 이용하여 구성될 수 있다. 예를 들어, 하나의 이러한 실시예는 하나의 디바이스 유형을 위한 핀, 및 또 다른 디바이스 유형을 위한 와이어를 이용하여 구성될 수 있다. 하나의 이러한 특별한 예시적인 회로는 SixGe1 -x 및 실리콘의 다층 스택(x=0.4)은 물론 SixGe1 -x 핀(x=0.25)을 이용하여 구성될 수 있고, 또 다른 이러한 예시적인 회로는 컬럼 IV 재료 핀 및 III-V 재료 다층 스택(또는 III-V 핀 및 IV 다층 스택)을 이용하여 구성될 수 있다. 또 다른 실시예는 복수의 핀 유형을 이용하여 구성될 수 있다. 예를 들어, 하나의 예시적인 회로는 PMOS를 위한 SiGe 핀은 물론 NMOS를 위한 갈륨 비화물(gallium arsenide) 핀을 이용하여 구성될 수 있다. 디바이스 극성 및/또는 채널 조성에 대한 다양성은 본 명세서에 제공된 다양한 기법을 이용할 때 실질적으로 제한되지 않는다. Still other embodiments may be constructed using different pin and / or material layer stacks. For example, one such embodiment may be configured using a pin for one device type and a wire for another device type. One such particular exemplary circuit may be constructed using a Si x Ge 1 -x pin (x = 0.25) as well as a multi-layer stack of Si x Ge 1 -x and silicon (x = 0.4) Circuit may be constructed using a column IV material pin and a III-V material multilayer stack (or III-V pin and IV multilayer stack). Still another embodiment can be configured using a plurality of pin types. For example, one exemplary circuit may be constructed using gallium arsenide pins for NMOS as well as SiGe pins for PMOS. The diversity of device polarity and / or channel composition is not substantially limited when using the various techniques provided herein.

본 명세서에 제공된 바와 같은 이러한 기법은 다양한 범위의 구성 및/또는 재료 시스템을 제공하도록 나노와이어 스택의 의미 있는 주문제작을 가능하게 한다. 게이트 라인 또는 핀에 수직인 주사 전자 현미경 또는 투과 전자 현미경 단면은 본 발명의 일부 실시예에 따라 비평면 트랜지스터 구조체에서 주문제작 나노와이어 스택을 보여주기 위해 사용될 수 있다. 예를 들어, 일부 이러한 실시예에서, SEM/TEM 단면은 제 1 구성을 갖는 p형 채널 와이어와, 제 1 구성과 상이한 제 2 구성을 갖는 n형 채널 와이어를 보여줄 것이다.Such techniques, such as those provided herein, enable meaningful customization of the nanowire stack to provide a wide range of configuration and / or material systems. A scanning electron microscope or transmission electron microscope cross-section perpendicular to the gate line or pin may be used to illustrate a custom nanowire stack in a non-planar transistor structure in accordance with some embodiments of the present invention. For example, in some such embodiments, the SEM / TEM cross section will show a p-type channel wire having a first configuration and an n-type channel wire having a second configuration different from the first configuration.

방법론 및 아키텍처Methodology and architecture

도 1 내지 도 15b는 본 발명의 일 실시예에 따라 나노와이어 트랜지스터 디바이스를 형성하기 위한 프로세스와 함께 다양한 예시적인 결과적 구조체를 도시한다. 알 수 있는 바와 같이, 이러한 예시적인 프로세스는 나노와이어를 형성하는데 있어 리세스 및 대체 기법을 이용하며, 이러한 리세스 및 대체 기법은 결과적으로 핀 내로 패터닝된 복수의 층으로 구성된 미리 제작된 2차원 평면 스택으로부터 형성된 구조체와 구별되는 구조체를 산출한다. 예를 들어, 본 발명의 일 실시예에 따라 구현된 구조체는 다양한 채널 재료 및/또는 구성을 드러낼 수 있으며, 이는 희생 핀 재료의 제거 시에 제공된 리세스에 의한 자기 정렬 프로세스의 상황에서 형성된다. Figures 1 through 15B illustrate various exemplary result structures with a process for forming nanowire transistor devices in accordance with an embodiment of the present invention. As can be seen, this exemplary process utilizes recesses and alternate techniques to form the nanowires, which recesses and alternate techniques result in a pre-fabricated two-dimensional plane consisting of a plurality of layers patterned into the fin And a structure distinguished from the structure formed from the stack is calculated. For example, a structure implemented in accordance with an embodiment of the present invention may reveal various channel materials and / or configurations, which are formed in the context of a self-aligned process by recess provided upon removal of the sacrificial fin material .

도 1은 희생 핀(sacrificial fin)의 패터닝으로부터 야기되는 구조체 및 얕은 트렌치 소자분리(shallow trench isolation(STI)) 프로세스를 도시한다. 알 수 있는 바와 같이, 기판이 제공된다. 기판은 예를 들어 복수의 희생 핀 구조체를 내부에 형성함으로써 다음 반도체 프로세스를 위해 준비되어야 하는 블랭크 기판(blank substrate)이다. 대안으로, 기판은 희생 핀 구조체가 상부에 미리 형성되어 있는 부분적으로 형성된 반도체 구조체일 수 있다. 다른 실시예에서, 기판은 희생 핀 구조체가 상부에 형성되고 STI 프로세스 후에 핀 리세스를 제공하도록 나중에 리세싱되거나 그렇지 않으면 제거되었던 부분적으로 형성된 반도체 구조체일 수 있다. 따라서, 기판은 블랭크이거나, 미리 형성된 핀을 구비하거나, 미리 형성된 핀과 STI를 구비하거나, 미리 형성된 STI과 핀 리세스를 구비할 수 있다. 하나의 이러한 예시적인 실시예에서, 기판은 미리 형성된 핀과 STI를 구비하고, 일부 핀의 상부는 STI의 상부면과 같은 높이이고, 나머지 핀 중 적어도 일부 핀의 상부는 그들이 STI와 같은 높이의 핀보다 작아지도록 미리 리세싱되거나 그렇지 않으면 제작되도록 STI의 상부면 아래에 위치한다. 이러한 의미에서, STI 아래에 위치하는 상부를 갖는 핀이 제공되는 한 핀 리세싱(fin recessing)의 동작이 반드시 요구되지는 않는다는 점을 주목한다.Figure 1 illustrates a structure resulting from the patterning of a sacrificial fin and a shallow trench isolation (STI) process. As can be seen, a substrate is provided. The substrate is a blank substrate that must be prepared for the next semiconductor process, for example, by forming a plurality of sacrificial fin structures therein. Alternatively, the substrate may be a partially formed semiconductor structure having a sacrificial fin structure formed on top of it. In another embodiment, the substrate may be a partially formed semiconductor structure that has been sacrificed fin structure formed thereon and later recessed or otherwise removed to provide a pin recess after the STI process. Thus, the substrate may be a blank, have preformed fins, have preformed fins and STI, or have preformed STI and pin recesses. In one such exemplary embodiment, the substrate has a preformed fin and an STI, the top of which is the same height as the top surface of the STI, and the top of at least some of the remaining pins, Lt; RTI ID = 0.0 &gt; STI &lt; / RTI &gt; In this sense, it is noted that the operation of fin recessing is not necessarily required as long as a pin with an upper portion located under the STI is provided.

벌크 기판, 절연체 상의 반도체 기판(semiconductor on insulator substrate((XOI), X는 Si, Ge 또는 Ge-강화(Ge-enriched) Si와 같은 반도체 재료)), 및 다층 구조체를 포함하는 모든 개수의 적절한 기판 구성이 본 명세서에 사용될 수 있다. 보다 일반적인 의미에서, 다음 트랜지스터 형성 프로세스 전에 희생 핀이 상부에 형성될 수 있는 모든 기판이 사용될 수 있다. 하나의 특별한 예시적인 경우에, 기판은 실리콘 벌크 기판이다. 다른 구현에서, 반도체 기판은 게르마늄, 인듐 안티몬화물, 납 텔루륨화물, 인듐 비화물, 인듐 인화물, 갈륨 비화물, 또는 갈륨 안티몬화물을 포함하지만 이에 제한되지 않는 대안 재료로 형성될 수 있으며, 이러한 대안 재료는 실리콘과 조합될 수 있거나 조합되지 않을 수 있다. III-V족 또는 IV족 재료로 분류된 추가 반도체 재료도 또한 기판을 형성하기 위해 사용될 수 있다. 기판이 형성될 수 있는 재료 중 몇 가지 예가 본 명세서에서 설명되지만, 반도체 디바이스가 상부에 설치될 수 있는 기반으로서 제공될 수 있는 모든 재료는 청구된 본 발명의 사상 및 범위 내에 속한다. A bulk substrate, a semiconductor on insulator substrate (XOI), X is a semiconductor material such as Si, Ge or Ge-enriched Si), and any number of suitable substrates Configurations may be used herein. In a more general sense, any substrate on which a sacrificial fin can be formed on top of the next transistor forming process can be used. In one particular illustrative case, the substrate is a silicon bulk substrate. In other implementations, the semiconductor substrate may be formed of an alternative material, including, but not limited to, germanium, indium antimonide, lead tellurium, indium arsenide, indium phosphide, gallium arsenide, or gallium antimony, The material may or may not be combined with silicon. Additional semiconductor materials classified as Group III-V or Group IV materials may also be used to form the substrate. Although some examples of materials on which a substrate can be formed are described herein, all materials that can be provided as a base upon which a semiconductor device can be mounted are within the spirit and scope of the claimed invention.

희생 핀은 모든 개수의 적절한 프로세스를 사용하여 형성될 수 있다. 일부 실시예는 예를 들어 기판 상에 하드마스크의 증착 및 패터닝을 이용할 수 있다. 이는 (예를 들어, 실리콘 이산화물, 실리콘 질화물, 및/또는 다른 적절한 하드마스크 재료와 같은) 하나 이상의 하드마스크 재료의 증착과, (트랜지스터 디바이스의 확산 또는 활성 영역과 같은) 핀의 하부 영역을 일시적으로 보호하기 위해 잔류하는 하드마스크의 일부분 상의 레지스트(resist)를 패터닝하는 것과, (예를 들어 건식 에칭 또는 다른 적절한 하드마스크 제거 프로세스를 사용하여) 하드마스크의 마스킹되지 않은(레지스트 없는) 부분을 제거하는 에칭과, 그런 다음에 패터닝된 레지스트 재료를 스트리핑(stripping)함으로써 패터닝된 마스크를 남기는 것을 포함하는 표준 포토리소그래피를 사용하여 수행될 수 있다. 일부 이러한 실시예에서, 결과로서 생성된 하드마스크는 하부 산화물(예를 들어, 실리콘 기판의 산화로부터 야기되는 실리콘 이산화물과 같은 자생 산화물(native oxide)) 층과 상부 실리콘 질화물 층으로 구성된 2층 하드마스크(two-layer hardmask)이다. 명백한 바와 같이 희생 핀을 형성하는 데 있어서 모든 개수의 적절한 마스크 구성이 사용될 수 있다. 도시된 실시예가 기판으로부터의 거리에 따라 달라지지 않는 폭을 갖는 핀을 도시하지만, 핀은 또 다른 실시예에서 하부보다 상부에서 좁아질 수 있거나, 또 다른 실시예에서 하부보다 상부에서 넓어질 수 있거나, 또는 모든 다른 폭 변형예 및 균일도(또는 비균일도)를 가질 수 있다. 또한 일부 실시예에서 폭 변형예는 대칭이거나 비대칭일 수 있다는 점을 주목한다. 또한, 핀이 모두 동일한 폭을 갖는 것으로 도시되어 있지만, 일부 핀은 다른 핀보다 넓을 수 있고/있거나 그렇지 않으면 다른 핀과 상이하게 성형될 수 있다. 예를 들어, 일 실시예에서, NMOS 트랜지스터의 생성에서 사용되어야 하는 핀은 PMOS 트랜지스터의 생성에서 사용되어야 하는 핀보다 좁을 수 있다. 이해되는 바와 같이 다른 배열이 가능하다.The sacrificial pin may be formed using any number of suitable processes. Some embodiments may utilize, for example, deposition and patterning of a hard mask on a substrate. This may be accomplished by depositing one or more hardmask materials (e.g., silicon dioxide, silicon nitride, and / or other suitable hardmask material) and temporarily depositing a lower region of the fin (such as a diffusion or active region of the transistor device) Patterning a resist on a portion of the remaining hard mask to protect it, and removing the unmasked (unresisted) portion of the hard mask (e.g., using a dry etch or other suitable hard mask removal process) Etch, and then leaving the patterned mask by stripping the patterned resist material. &Lt; RTI ID = 0.0 &gt; [0050] &lt; / RTI &gt; In some such embodiments, the resulting hardmask may include a two-layer hardmask (not shown) comprised of a bottom oxide (e.g., a native oxide such as silicon dioxide resulting from oxidation of the silicon substrate) (two-layer hardmask). As is evident, any number of suitable mask configurations may be used in forming the sacrificial fin. Although the illustrated embodiment illustrates a pin having a width that does not depend on the distance from the substrate, the pin may be narrower at the top than the bottom in another embodiment, or may be wider at the top than the bottom in another embodiment , Or all other width variations and uniformity (or non-uniformity). It should also be noted that in some embodiments the width variation may be symmetrical or asymmetric. Further, although the pins are all shown to have the same width, some pins may be wider than other pins and / or may be shaped differently from the other pins. For example, in one embodiment, the pin that should be used in the generation of the NMOS transistor may be narrower than the pin that should be used in the generation of the PMOS transistor. Other arrangements are possible, as will be appreciated.

도 1에서 추가로 알 수 있는 바와 같이, 본 발명의 일 실시예에 따라 복수의 핀 주위에 얕은 트렌치 소자분리부(STI)를 제공하도록 얕은 트렌치가 기판 내에 제공된 다음에 절연 재료로 충진된다. 주어진 애플리케이션을 위해 적절한 모든 요구된 패턴 또는 구성에서 모든 개수의 핀이 제공될 수 있다. 예를 들어 습식 또는 건식 에칭 또는 에칭의 조합을 그렇게 하는 것이 요구되는 경우에 포함하는 표준 포토리소그래피를 이용하여 얕은 트렌치 에칭이 달성될 수 있다. 트렌치의 기하학적 특징(폭, 깊이, 형상 등)은 이해되는 바와 같이 실시예마다 다양할 수 있고, 청구된 본 발명은 임의의 특정 트렌치 기하학적 특징으로 제한되도록 의도되지 않는다. 벌크 실리콘 기판과 하부 실리콘 이산화물(SiO2) 층 및 상부 실리콘 질화물 층으로 구현된 2층 하드마스크를 갖는 하나의 특별한 예시적인 실시예에서, 기판의 상부면 아래에 예를 들어 대략 100Å 내지 5000Å인 트렌치를 형성하기 위해 건식 에칭이 사용된다. 명백한 바와 같이 요구된 핀 높이에 따라 달라지는 모든 개수의 트렌치 구성이 사용될 수 있다. 나중에, 트렌치는 모든 개수의 적절한 증착 프로세스를 사용하여 충진될 수 있다. 실리콘 기판을 갖는 하나의 특별한 예시적인 실시예에서, 절연 STI 충진 재료는 SiO2이지만 얕은 트렌치 소자분리(STI) 구조체를 여기에 형성하기 위해 모든 개수의 적절한 절연 유전체 재료가 사용될 수 있다. 일반적으로, 트렌치를 충진하기 위한 증착되거나 그렇지 않으면 성장된 절연 유전체 재료는 예를 들어 기판 재료의 자생 산화물과의 양립 가능성에 기반하여 선택될 수 있다. STI 트렌치는 본래 원형이거나 다각형일 수 있으며 트렌치 '측면(side)'에 대한 모든 참조는 모든 이러한 구성을 지칭하는 것으로 의도되고 특정 기하 성형 구조체를 암시하는 것으로 해석되지 않아야 한다는 점을 주목한다. 도 1은 STI 절연 재료가 예를 들어 화학적 물리적 평탄화(CMP) 또는 구조체를 평탄화할 수 있는 다른 적절한 프로세스를 사용하여 평탄화될 수 있는 방법을 더 증명한다. 도시된 예시적인 실시예에서, 희생 핀 상부에 있는 마스크는 완전히 제거된다. 다른 실시예는 마스크의 일부분을 제자리에 남기도록 구성된 선택적 평탄화를 이용할 수 있고, 제자리에 남겨진 마스크의 일부분은 도 2에 도시된 바와 같이 다음 처리에서 사용될 수 있다. As further seen in Fig. 1, a shallow trench is provided in the substrate to provide a shallow trench isolation (STI) around the plurality of fins in accordance with one embodiment of the present invention, followed by filling with an insulating material. Any number of pins may be provided in any desired pattern or configuration suitable for a given application. Shallow trench etching can be achieved using standard photolithography, for example when it is desired to do so in combination with wet or dry etching or etching. The geometric characteristics (width, depth, shape, etc.) of the trenches may vary from embodiment to example as will be understood, and the claimed invention is not intended to be limited to any particular trench geometric feature. In one particular exemplary embodiment having a two-layer hard mask implemented with a bulk silicon substrate and a bottom silicon dioxide (SiO 2 ) layer and a top silicon nitride layer, a trench having a thickness of, for example, about 100 A to 5000 A Dry etching is used. As is evident, any number of trench configurations, depending on the required pin height, may be used. Later, the trenches can be filled using any number of suitable deposition processes. In one particular exemplary embodiment having a silicon substrate, the insulating STI filling material is SiO 2, but any number of suitable insulating dielectric materials may be used to form a shallow trench isolation (STI) structure thereon. Generally, a deposited or otherwise grown insulating dielectric material for filling the trench can be selected based on, for example, compatibility with the native oxide of the substrate material. Note that the STI trenches may be circular or polygonal in nature and that all references to the trench "side" are intended to refer to all such configurations and should not be construed to imply any particular geometry. Figure 1 further demonstrates how an STI insulating material can be planarized using, for example, chemical-physical planarization (CMP) or other suitable process that can planarize the structure. In the illustrated exemplary embodiment, the mask on top of the sacrificial fin is completely removed. Other embodiments may utilize selective planarization configured to leave a portion of the mask in place, and a portion of the mask left in place may be used in subsequent processing, as shown in FIG.

도 2는 본 발명의 일 실시예에 따라 일부 핀이 마스킹되고 다른 핀이 리세싱되는 프로세스 및 결과적인 구조체를 도시한다. 이러한 예시적인 경우에, 교대 방식으로 2개의 핀이 마스킹되어 있고 2개의 핀이 리세싱되어 있는 (예를 들어, 리세싱되고, 마스킹되고, 리세싱되고, 마스킹된) 4 개의 핀이 도시되어 있다. 마스크는 이미 설명된 바와 같이 예를 들어 새로 제공될 수 있거나 STI 프로세스로부터 남겨질 수 있다. 어쨌든, 마스크는 마스킹되지 않은 핀의 리세스 에칭 및 이들 리세스를 충진하는 다음 처리(예를 들어, 에피택셜 처리)를 견딜 모든 적절한 재료일 수 있다. 모든 적절한 에칭 프로세스(예를 들어, 마스킹 및/또는 에칭 선택도를 갖는 습식 및/또는 건식 에칭)가 사용될 수 있다. 하나의 예시적인 실시예에서, 리세스 에칭은 마스킹되지 않은 핀 재료를 제거하지만 STI 또는 마스크 재료를 제거하지 않는 선택적 에칭이다. 이러한 경우에, 마스크 재료는 또한 STI 재료(예를 들어, 실리콘 이산화물) 또는 핀 리세스 에칭에 대해 내성이 있는 모든 다른 재료(예를 들어, 실리콘 질화물)를 이용하여 구현될 수도 있다는 점을 주목한다. 하나의 특별한 예시적인 실시예에서, 희생 핀은 실리콘이고 마스크는 실리콘 이산화물 및/또는 실리콘 질화물이며, 리세스 에칭은 습식 에칭(예를 들어, 칼륨 수산화물 또는 마스킹되지 않은 실리콘 핀을 에칭하지만 STI 및 마스크를 에칭하지 않는 모든 적절한 에칭제(etchant))을 사용하여 수행된다. 희생 핀 에칭의 깊이는 하나의 실시예로부터 다음 실시예까지 다양할 수 있고, (도 2에 도시된 바와 같은) 받침대, 또는 본래의 핀 하부를 지나 기판 내로의 리세스(실질적으로, x축을 가로지르는 받침대의 거울 영상)를 남기거나, STI 트렌치의 하부와 같은 높이일 수 있다. 본 개시에 비추어 이해되는 바와 같이, 핀 리세스의 깊이는 트랜지스터당 와이어 및/또는 리본의 개수, 기판 두께, 및/또는 핀 높이와 같은 인자에 따라 달라질 것이다. 일부 실시예에서, 에칭 프로세스는 리세스의 폭을 변경시킬 수 있고, 일부 이러한 경우에 트렌치의 상부는 트렌치의 하부보다 넓을 수 있다. 본래의 희생 핀이 상부에서보다 하부에서 더 넓었던 또 다른 실시예에서, 상부는 하부에서의 폭에 더 근접하도록 넓어지거나 하부에서의 폭을 초과할 수 있다. 또 다른 실시예에서, 리세스는 결국 약간의 모래시계 형상을 가져서 중간보다 상부 및 하부에서 더 넓어질 수 있다. 또 다른 실시예에서, 폭은 에칭 프로세스에 의해 실질적으로 변화되지 않을 수 있다. 보다 일반적인 의미에서, 리세스/핀의 형상은 에칭 프로세스에 의해서 변형될 수 있지만 반드시 그럴 필요는 없다. Figure 2 illustrates a process and resulting structure in which some pins are masked and other pins are recessed in accordance with one embodiment of the present invention. In this exemplary case, four pins are shown in which two pins are masked alternately and two pins are recessed (e. G., Recessed, masked, recessed, and masked) . The mask may be provided, for example, as already described, or may be left out of the STI process. In any case, the mask may be any suitable material that will resist recess etching of unmasked fins and subsequent processing (e. G., Epitaxial processing) to fill these recesses. Any suitable etch process (e.g., wet and / or dry etch with masking and / or etch selectivity) may be used. In one exemplary embodiment, the recess etch is a selective etch that removes the unmasked fin material but does not remove the STI or mask material. In this case, it is noted that the mask material may also be implemented using an STI material (e.g., silicon dioxide) or any other material that is resistant to pin recess etching (e.g., silicon nitride) . In one particular exemplary embodiment, the sacrificial fin is silicon and the mask is silicon dioxide and / or silicon nitride, and the recess etch is a wet etch (e.g., etching a potassium hydroxide or unmasked silicon pin, Gt; etchant &lt; / RTI &gt; that does not etch the etchant). The depth of the sacrificial fin etch may vary from one embodiment to the next, and may include recesses (as shown in Figure 2), or recesses into the substrate through the bottom of the original pin Mirror image of the pedestal pedestal), or may be the same height as the bottom of the STI trench. As will be appreciated in light of this disclosure, the depth of the pin recess will vary depending on factors such as the number of wires and / or ribbons per transistor, substrate thickness, and / or pin height. In some embodiments, the etching process may change the width of the recess, and in some cases, the top of the trench may be wider than the bottom of the trench. In another embodiment where the original sacrificial pin is wider at the bottom than at the top, the top may be widened closer to the width at the bottom or may exceed the width at the bottom. In another embodiment, the recess eventually has a slight hourglass shape and may be wider at the top and bottom than the middle. In yet another embodiment, the width may be substantially unchanged by the etching process. In a more general sense, the shape of the recess / pin may be modified by the etching process, but this is not necessary.

도 3은 본 발명의 일 실시예에 따른 각각의 리세싱된 핀 내에 다층 스택을 선택적으로 성장시키거나 그렇지 않으면 형성시키는 단계와 그런 다음에 필요에 따라 평탄화 단계를 포함하는 프로세스를 도시한다. 이러한 예시적인 경우에 알 수 있는 바와 같이, 리세싱된 핀은 5층(L1-A 내지 L5-A)을 포함하는 특정 다층 스택 구성으로 충진되었다. 각각의 층(L1-A 내지 L5-A)은 층 두께, 극성(polarity), 도핑(doping), 조성(composition) 및/또는 스트레인(strain)과 같은 모든 개수의 관심 매개변수에 따라 요구된 바와 같이 구성될 수 있다. 각각의 스택은 일반적으로 (폭 대 높이 비율에 따라) 나노와이어 또는 나노리본을 형성하는 하나 이상의 층과, 희생 인터-와이어 재료(또는 스페이서 재료)인 하나 이상의 층을 포함한다. 결과로서 생성된 다층 핀 구조체는 일반적으로 도 3에서 다층 스택 A로 지칭되고, 또한 유형 A 다층 스택으로도 지칭될 수 있다. 일부 실시예에서 다음 처리를 용이하게 하기 위해 다층 스택 A를 위한 평탄화 프로세스도 또한 잔류 희생 핀으로부터 마스크를 제거하기 위해 사용될 수 있다는 점을 주목한다.Figure 3 illustrates a process comprising selectively growing or otherwise forming a multi-layer stack in each recessed fin, according to one embodiment of the present invention, and then optionally planarizing. As can be seen in this exemplary case, the recessed fin was filled with a specific multilayer stack configuration comprising five layers (L1-A to L5-A). Each of the layers L1-A through L5-A may be fabricated according to any of the number of parameters of interest, such as layer thickness, polarity, doping, composition and / . Each stack typically includes one or more layers that form nanowires or nanoribbons (depending on the width to height ratio) and one or more layers that are sacrificial inter-wire materials (or spacer materials). The resulting multi-layer fin structure is generally referred to as multi-layer stack A in Fig. 3 and may also be referred to as a type A multi-layer stack. Note that in some embodiments a planarization process for the multilayer stack A to facilitate subsequent processing may also be used to remove the mask from the residual sacrificial fin.

도 4는 본 발명의 일 실시예에 따라 완성된 핀 중 일부 완성된 핀(유형 A 다층 스택)이 마스킹되고 나머지 잔류 핀이 리세싱되는 프로세스 및 결과적인 구조체를 도시한다. 도 2를 참조하여 핀을 마스킹하고 리세싱하는 것에 대한 이전의 논의가 여기에서 동일하게 적용될 수 있다. 모든 개수의 적절한 마스킹 및/또는 선택적 에칭 프로세스가 사용될 수 있고, 청구된 발명은 임의의 특정 프로세스로 제한되도록 의도되지 않는다.Figure 4 illustrates a process and resulting structure in which some completed pins (type A multi-layer stack) of finished pins are masked and the remaining residual pins are recessed according to one embodiment of the present invention. The previous discussion of masking and recessing the fins with reference to Fig. 2 can be equally applied here. Any number of suitable masking and / or selective etching processes may be used, and the claimed invention is not intended to be limited to any particular process.

도 5는 본 발명의 일 실시예에 따라 도 4에서 형성된 각각의 리세싱된 핀 내에 다층 스택을 선택적으로 성장시키거나 그렇지 않으면 형성시키는 단계와 그런 다음에 필요에 따라 평탄화 단계를 포함하는 프로세스를 도시한다. 이러한 예시적인 경우에 알 수 있는 바와 같이, 이러한 제 2 세트의 리세싱된 핀은 우연히 5층(L1-B 내지 L5-B)을 또한 포함하는 특정 다층 스택으로 충진되었다. 각각의 층(L1-B 내지 L5-B)은 층 두께, 조성, 극성, 도핑, 및/또는 스트레인과 같은 모든 개수의 관심 매개변수에 따라 요구된 대로 구성될 수 있다. 이러한 프로세스에 따라 결과로서 생성된 다층 핀 구조체는 일반적으로 도 5에서 다층 스택 B로 지칭되고, 또한 유형 B 다층 스택으로도 지칭될 수 있다. 유형 A 스택과 마찬가지로, 각각의 유형 B 스택은 일반적으로 (폭 대 높이 비율에 따라) 나노와이어 또는 나노리본을 형성하는 하나 이상의 층과, 희생 인터-와이어 재료(또는 스페이서 재료)인 하나 이상의 층을 포함한다. 유형 A 층 스택은 유형 B 층 스택을 위한 프로세스와 완전히 무관할 수 있으며, 그 반대도 또한 성립한다는 점을 주목한다. 따라서, 다른 실시예에 따라, 하나의 유형의 스택에서 스택 층의 개수는 또 다른 유형의 스택에서 층의 개수와 상이할 수 있다.Figure 5 illustrates a process for selectively growing or otherwise forming a multi-layer stack in each recessed pin formed in Figure 4 in accordance with an embodiment of the present invention, and then optionally including a planarization step do. As can be seen in this exemplary case, this second set of recessed fins was accidentally filled with a specific multilayer stack that also includes five layers (L1-B to L5-B). Each layer L1-B through L5-B may be configured as required according to any number of parameters of interest, such as layer thickness, composition, polarity, doping, and / or strain. The resultant multi-layer fin structure in accordance with this process is generally referred to as multi-layer stack B in Fig. 5 and may also be referred to as a type B multi-layer stack. As with the Type A stack, each Type B stack typically includes one or more layers that form nanowires or nanoribbons (depending on the width to height ratio) and one or more layers that are sacrificial inter-wire materials (or spacer materials) . It should be noted that the type A layer stack may be completely independent of the process for the type B layer stack, and vice versa. Thus, according to another embodiment, the number of stack layers in one type of stack may differ from the number of layers in another type of stack.

도 6은 본 발명의 일 실시예에 따라 마스킹 층을 제거하는 프로세스가 수행된 후에 그리고 모든 요구된 평탄화 후에 결과로서 생성된 구조체를 도시한다. 평탄화는 필요한 장소에 국한될 수 있고 STI 층과 마스킹되지 않은 핀의 상부를 유효 에칭 정지제(effective etch stop)로서 사용할 수 있다는 점을 주목한다. 본 개시에 비추어 이해되는 바와 같이, 유형 A 및 B의 다층 스택을 이용하여 구성된 결과적인 구조체는 다양한 애플리케이션을 위해 사용될 수 있다. CMOS 애플리케이션에서, 유형 A 다층 스택은 NMOS 트랜지스터로 구성될 수 있고, 유형 B 다층 스택은 PMOS 트랜지스터로 구성될 수 있다. 대안으로, 유형 A 다층 스택은 제 1 유형의 NMOS 트랜지스터로 구성될 수 있고, 유형 B 다층 스택은 제 2 유형의 NMOS 트랜지스터로 구성될 수 있다. 대안으로, 유형 A 다층 스택은 제 1 유형의 PMOS 트랜지스터로 구성될 수 있고, 유형 B 다층 스택은 제 2 유형의 PMOS 트랜지스터로 구성될 수 있다. 또한, 다른 실시예는 모든 개수의 다양한 다층 스택을 가질 수 있고 청구된 본 발명은 도시된 바와 같이 2개의 유형으로 제한되도록 의도되지 않는다는 점을 주목한다. 예를 들어, 하나의 실시예는 4개의 구별되는 다층 스택 유형 A, B, C 및 D를 포함할 수 있고, 유형 A 다층 스택은 제 1 유형의 NMOS 트랜지스터로 구성되며, 유형 B 다층 스택은 제 1 유형의 PMOS 트랜지스터로 구성되고, 유형 C 다층 스택은 제 2 유형의 NMOS 트랜지스터로 구성되고, 유형 D 다층 스택은 제 2 유형의 PMOS 트랜지스터로 구성된다. 또 다른 예시적인 실시예는 본 명세서에 설명된 바와 같은 모든 제공된 다층 스택에 추가하여 동일한 집적 회로 내에 리세스-및-대체 기반 트랜지스터(recess-and-replace based transistor)와 본래의 핀 기반 트랜지스터의 혼합체를 제공하도록 하나 이상의 리세싱되지 않은 핀을 포함할 수 있다. 보다 일반적인 의미에서, 본 개시에 비추어 이해되는 바와 같이 스택 유형의 모든 임의의 개수의 치환이 (본래의 핀을 이용하여 또는 본래의 핀이 없이) 구현될 수 있다.Figure 6 illustrates the resultant structure after a process of removing the masking layer is performed and after all required planarization in accordance with an embodiment of the present invention. Note that planarization can be localized to the required area and the top of the unmasked pin with the STI layer can be used as an effective etch stop. As will be appreciated in light of the present disclosure, the resulting structure constructed using a multi-layer stack of types A and B may be used for a variety of applications. In a CMOS application, the Type A multi-layer stack can be composed of NMOS transistors, and the Type B multi-layer stack can be composed of PMOS transistors. Alternatively, the Type A multilayer stack may be comprised of a first type NMOS transistor, and the Type B multilayer stack may be comprised of a second type NMOS transistor. Alternatively, the Type A multi-layer stack can be composed of PMOS transistors of the first type and the Type B multi-layer stack can be composed of PMOS transistors of the second type. It is also noted that other embodiments may have any number of various multilayer stacks and that the claimed invention is not intended to be limited to two types as shown. For example, one embodiment may include four distinct multilayer stack types A, B, C, and D, the type A multi-layer stack is comprised of a first type NMOS transistor, and the type B multi- One type of PMOS transistor, the type C multilayer stack consists of a second type NMOS transistor, and the type D multilayer stack consists of a second type PMOS transistor. Yet another exemplary embodiment provides a combination of a recess-and-replace based transistor and an original pin-based transistor in the same integrated circuit in addition to all of the provided multilayer stacks as described herein Lt; RTI ID = 0.0 &gt; non-recessed &lt; / RTI &gt; In a more general sense, any arbitrary number of permutations of the stack type may be implemented (with or without the original pin) as will be understood in light of this disclosure.

도 7은 본 발명의 일 실시예에 따라 도 6에 도시된 구조체의 트렌치 산화물(또는 다른 STI 재료)가 리세싱된 프로세스 및 결과적인 구조체를 도시한다. 이는 예를 들어 완성된 다층 스택 A 및 B를 마스킹하고 STI를 적절한 깊이까지 에칭함으로써 또는 마스크 없이 선택적 에칭 방안을 사용함으로써 수행될 수 있다. 모든 적절한 에칭 프로세스(예를 들어, 습식 및/또는 건식 에칭)가 사용될 수 있다. 예를 들어, STI가 실리콘 이산화물을 이용하여 구현되고 다층 스택 A 및 B의 각각의 상부 층이 실리콘을 이용하여 구현되는 하나의 특별한 예시적인 실시예에서, STI 리세스 프로세스는 플루오르화수소산(hydrofluoric acid) 또는 비-STI 재료(non-STI material)에 선택적인 다른 적절한 에칭제를 사용하여 수행될 수 있다. 이해되는 바와 같이, STI 에칭제에 불투수성이거나 그렇지 않으면 STI 에칭제에 적절하게 내성이 있는 마스크는 필요한 경우에 다층 스택 A 및 B를 보호하도록 패터닝될 수 있다. STI 리세스의 깊이는 하나의 실시예로부터 다음 실시예까지 다양할 수 있고, 이러한 예시적인 실시예에서 잔류 희생 핀 재료(또는 받침대)의 상부와 같은 높이이다. 본 개시에 비추어 추가로 이해되는 바와 같이, STI 리세스의 깊이는 트랜지스터당 와이어 및/또는 리본의 개수, STI 두께 및 요구된 절연(isolation), 및/또는 핀 높이와 같은 인자에 따라 달라질 것이다. 다양한 실시예에서, STI의 이러한 부분적인 제거는 다층 스택 A 및 B 중 하나 이상 다층 스택의 폭을 변경시킬 수 있고, 일 실시예에서 스택의 상부는 결과적으로 스택의 하부보다 상대적으로 좁아질 수 있다. 다른 실시예에서, 스택의 높이에 따른 상대적인 폭은 상대적으로 변화되지 않으면서 유지될 수 있다. 일부 실시예에서, 스택 A 및 B는 상이한 재료를 포함할 수 있고, 하나의 스택의 폭은 나머지 하나의 스택의 폭보다 더 변화될 수 있다. 도 2를 참조하여 본 명세서에 설명된 바와 같은 이러한 폭 변형예는 본 개시에서 설명된 모든 에칭 프로세스에 적용 가능할 수 있다.Figure 7 illustrates a process and resulting structure in which the trench oxide (or other STI material) of the structure shown in Figure 6 has been recessed in accordance with one embodiment of the present invention. This can be done, for example, by masking the finished multilayer stacks A and B and etching the STI to an appropriate depth or by using a selective etch scheme without a mask. Any suitable etch process (e.g., wet and / or dry etch) may be used. For example, in one particular exemplary embodiment, where the STI is implemented using silicon dioxide and each top layer of the multi-layer stacks A and B is implemented using silicon, the STI recess process is performed using hydrofluoric acid ) Or other suitable etchant that is selective to non-STI material (non-STI material). As will be appreciated, masks that are impervious to the STI etchant or otherwise resistant to the STI etchant can be patterned to protect the multi-layer stacks A and B when needed. The depth of the STI recess may vary from one embodiment to the next, and in this exemplary embodiment is the same height as the top of the remaining sacrificial fin material (or pedestal). As will be further understood in light of this disclosure, the depth of the STI recess will depend on factors such as the number of wires and / or ribbons per transistor, the STI thickness and required isolation, and / or the pin height. In various embodiments, this partial removal of the STI may change the width of one or more of the multi-layer stacks A and B, and in one embodiment the top of the stack may be consequently relatively narrower than the bottom of the stack . In another embodiment, the relative width along the height of the stack can be maintained relatively unchanged. In some embodiments, stacks A and B may comprise different materials, and the width of one stack may be more than the width of the other stack. This width variation as described herein with reference to FIG. 2 may be applicable to all of the etching processes described in this disclosure.

도 8은 본 발명의 일 실시예에 따라 더미 게이트 전극 재료가 다층 스택 A 및 B 상부에 증착된 다음에 복수의 희생 게이트를 형성하도록 패터닝되는 프로세스 및 결과적인 구조체를 도시한다. 추가로 도시된 바와 같이, 더미 게이트 전극 재료의 증착 전에 더미 게이트 유전체(dummy gate dielectric)가 제공될 수 있다. 일부 실시예에서 이러한 게이트 유전체는 이러한 게이트 유전체가 다음 프로세스에서 제거되어 대체될 수 있다는 의미에서 더미 게이트 유전체로서 지칭된다. 그러나, 다른 실시예에서 최종 구조체를 위해 의도된 게이트 유전체가 사용될 수 있다는 점을 주목한다. 예시적인 더미 게이트 유전체 재료는 예를 들어 실리콘 이산화물을 포함하고, 예시적인 더미 게이트 전극 재료는 폴리실리콘을 포함하지만, 모든 적절한 더미/희생 게이트 유전체 및/또는 전극 재료가 사용될 수 있다. 이해되는 바와 같이, 게이트 재료의 치수는 하나의 실시예로부터 다음 실시예까지 다양할 것이고, 요구된 디바이스 성능 속성, 디바이스 크기, 및 게이트 절연과 같은 인자에 따라 달라지면서 요구된 대로 구성될 수 있다. Figure 8 illustrates a process and resulting structure in which a dummy gate electrode material is deposited on top of multilayer stacks A and B followed by a plurality of sacrificial gates in accordance with one embodiment of the present invention. As further shown, a dummy gate dielectric may be provided prior to deposition of the dummy gate electrode material. In some embodiments, such a gate dielectric is referred to as a dummy gate dielectric in the sense that such a gate dielectric can be removed and replaced in the next process. It is noted, however, that in other embodiments a gate dielectric intended for the final structure may be used. Exemplary dummy gate dielectric materials include, for example, silicon dioxide, and exemplary dummy gate electrode materials include polysilicon, but any suitable dummy / sacrificial gate dielectric and / or electrode material may be used. As will be appreciated, the dimensions of the gate material will vary from one embodiment to the next and may be configured as desired, depending on factors such as required device performance attributes, device size, and gate insulation.

도 9는 본 발명의 일 실시예에 따라 추가 절연체 층이 더미 게이트 전극 재료의 상부로 증착되어 평탄화되는 프로세스 및 결과적인 구조체를 도시한다. 모든 적절한 증착 프로세스(예를 들어, CVD, PVD, ALD 등)가 사용될 수 있고, 증착된 절연체 재료는 예를 들어 STI 충진 재료(예를 들어, 실리콘 이산화물)와 동일한 재료이거나 주어진 애플리케이션에 대한 요구된 절연/유전 특성을 갖는 모든 적절한 재료일 수 있다. 추가 절연체 재료의 평탄화는 예를 들어 더미 게이트 전극 재료를 에칭 정지제로서 이용하는 CMP를 사용하여 수행될 수 있다. 대안으로, CMP 프로세스는 다층 스택 A 및 B의 상부보다 높은 특별한 높이를 갖는 더미 게이트 전극을 제공하기 위해 더미 게이트 전극 내로 진행할 수 있다.Figure 9 illustrates a process and resulting structure in which an additional insulator layer is deposited and planarized over the dummy gate electrode material in accordance with one embodiment of the present invention. Any suitable deposition process (e.g., CVD, PVD, ALD, etc.) may be used and the deposited insulator material may be the same material as, for example, an STI fill material (e.g., silicon dioxide) But may be any suitable material having insulating / dielectric properties. Planarization of the additional insulator material can be performed, for example, using CMP using the dummy gate electrode material as an etch stop. Alternatively, the CMP process may proceed into the dummy gate electrode to provide a dummy gate electrode having a particular height higher than the top of the multilayer stacks A and B.

본 발명의 예시적인 실시예에 따라, 도 10은 유형 A 다층 스택 및 상응하는 더미 게이트 전극 재료가 마스킹되는 프로세스 및 결과적인 구조체를 도시하고, 도 11은 마스킹되지 않은 더미 게이트 전극 재료(예를 들어, 폴리실리콘)가 다층 스택 B의 채널 영역을 노출시키도록 에칭되는 프로세스 및 결과적인 구조체를 도시한다. 모든 적절한 마스크 재료 및 패터닝과 그 다음의 에칭이 사용될 수 있다. 예를 들어, 마스크는 다층 스택 A 상부의 게이트 전극 재료를 보호하기 위해 실리콘 산화물을 이용하여 구현될 수 있고, 추가 절연체 재료는 실리콘 이산화물이고 더미 게이트 전극 재료는 폴리실리콘이라는 점을 추가로 가정한다. 이러한 예시적인 경우에, 마스킹되지 않은 더미 게이트 전극 재료는 예를 들어 염소(Cl2)와 브롬화수소(HBr)를 이용하여 선택적으로 에칭될 수 있고, 염소(Cl2)와 브롬화수소(HBr)는 폴리실리콘을 제거하지만 실리콘 이산화물을 제거하지 않을 것이다. 다양한 다른 마스크와 선택적 에칭 방안이 사용될 수 있다. 10 illustrates a process and resulting structure in which the Type A multi-layer stack and the corresponding dummy gate electrode material are masked, and FIG. 11 illustrates a non-masked dummy gate electrode material (e. G. , Polysilicon) is etched to expose the channel region of the multilayer stack B, and the resulting structure. Any suitable mask material and patterning followed by etching may be used. For example, it is further assumed that the mask can be implemented using silicon oxide to protect the gate electrode material over the multi-layer stack A, the additional insulator material is silicon dioxide, and the dummy gate electrode material is polysilicon. In this exemplary case, the unmasked dummy gate electrode material can be selectively etched using, for example, chlorine (Cl 2 ) and hydrogen bromide (HBr), and chlorine (Cl 2 ) and hydrogen bromide It will remove the polysilicon but will not remove the silicon dioxide. A variety of different masks and selective etching schemes may be used.

도 12는 본 발명의 일 실시예에 따라 제 1 채널 유형으로부터 희생 인터-와이어 재료(sacrificial inter-wire material)가 에칭되어 제거되거나 그렇지 않으면 제거되는 프로세스 및 결과적인 구조체를 도시한다. 일부 실시예에서, 이러한 프로세스는 스택의 잔류 층(L2-B와 L4-B)의 치수에 따라 다르게 채널을 리본화하는 것으로서 지칭될 수 있다. 모든 적절한 에칭 기법이 사용될 수 있다. 하나의 예시적인 실시예에서, 희생 층(L1-B, L3-B 및 L5-B)은 실리콘을 이용하여 구현되고, 채널 나노와이어(L2-B 및 L4-B)는 SiGe를 이용하여 구현된다. 이러한 경우에, 희생 실리콘 층(L1-B, L3-B 및 L5-B)은 실리콘을 선택적으로 제거하지만 SiGe 나노와이어/나노리본 구조체(L2-B 및 L4-B)를 제거하지 않는 습식 에칭을 이용하여 선택적으로 에칭될 수 있다. 실리콘을 선택적으로 에칭하지만 SiGe를 제자리에 남겨두기 위해 예를 들어 암모늄 수산화물과 칼륨 수산화물을 포함하는 수성 수산화물 케미스트리(chemistry)와 같은 에칭 케미스트리가 이용될 수 있다. 이해되는 바와 같이 그리고 실질적으로 말해, 본 명세서에 사용된 바와 같은 용어 '와이어(wire)' 및 '리본(ribbon)'과 그 파생어는 기능적으로 동일하고, 일반적으로 상호 교환 가능하게 사용될 수 있다. 그러나, 추가로 이해되는 바와 같이, 높이 대 폭 비율을 참조하면 리본은 와이어와 구조체적으로 상이하다. 예를 들어, 와이어는 1:1의 높이 대 폭 비율을 가질 수 있지만, 리본은 비대칭적인 높이 대 폭 비율(예를 들어, 1:2 등)을 가질 수 있다.Figure 12 illustrates a process and resulting structure in which a sacrificial inter-wire material is etched away or otherwise removed from a first channel type in accordance with an embodiment of the present invention. In some embodiments, this process may be referred to as ribboning the channel differently depending on the dimensions of the remaining layers (L2-B and L4-B) of the stack. All suitable etching techniques can be used. In one exemplary embodiment, the sacrificial layers (L1-B, L3-B and L5-B) are implemented using silicon and the channel nanowires (L2-B and L4-B) . In this case, the sacrificial silicon layers (L1-B, L3-B and L5-B) selectively remove silicon but do not remove the SiGe nanowire / nanoribbon structures (L2-B and L4-B) As shown in FIG. Etching chemistries such as aqueous hydroxide chemistries including, for example, ammonium hydroxide and potassium hydroxide, may be used to selectively etch silicon but leave SiGe in place. As will be understood and practically speaking, the terms 'wire' and 'ribbon' and their derivatives, as used herein, are functionally identical and can be used interchangeably in general. However, as will be further understood, with reference to the height-to-width ratio, the ribbon is structurally different from the wire. For example, a wire may have a height-to-width ratio of 1: 1, but the ribbons may have an asymmetrical height-to-width ratio (e.g., 1: 2, etc.).

도 13은 본 발명의 일 실시예에 따라 유형 B 다층 스택의 노출된 채널 영역이 더미 게이트 전극 재료와 상이한 더미 재료를 이용하여 재충진되어 이들 유형 B 다층 스택 상부의 마스크로서 실질적으로 작용하는 프로세스 및 결과적인 구조체를 도시한다. 이러한 프로세스는 일부 예시적인 실시예에서 제 1 트랜지스터 디바이스 유형을 위해 사용되도록 유형 A 다층 스택 상부의 모든 초과 더미 충진 재료 및/또는 모든 마스크 재료를 제거하는 평탄화 단계를 더 포함할 수 있다.Figure 13 illustrates a process in which the exposed channel regions of a Type B multi-layer stack are refilled using a different dummy material than the dummy gate electrode material to act substantially as a mask on top of these Type B multi-layer stacks in accordance with an embodiment of the present invention; and The resulting structure is shown. This process may further include a planarization step of removing all excess dummy fill material and / or all mask material above the type A multi-layer stack to be used for the first transistor device type in some exemplary embodiments.

도 14는 본 발명의 일 실시예에 따라 마스킹되지 않은 잔류 더미 게이트 전극 재료가 다층 스택 A의 채널 영역을 노출시키도록 에칭되는 프로세스 및 결과적인 구조체를 도시한다. 손쉽게 제거될 수 있지만 다층 스택 A 상부의 잔류 더미 게이트 전극 재료를 제거하기 위한 에칭 방안에 대한 내성이 있는 실리콘 이산화물 또는 다른 재료와 같은 유형 B 다층 스택의 더미 게이트 영역을 재충진하기 위해 모든 적절한 재료가 사용될 수 있다. 예를 들어, 유형 B 다층 스택의 더미 게이트 영역을 위한 재충진 재료는 실리콘 이산화물일 수 있고, 추가 절연체 재료는 실리콘 이산화물이며 잔류 더미 게이트 전극 재료는 폴리실리콘이라는 점을 추가로 가정한다. 이러한 예시적인 경우에, 잔류 더미 게이트 전극 재료는 예를 들어 염소(Cl2)와 브롬화수소(HBr)를 이용하여 선택적으로 에칭될 수 있고, 염소(Cl2)와 브롬화수소(HBr)는 폴리실리콘을 제거하지만 실리콘 이산화물을 제거하지 않을 것이다. 본 개시에 비추어 이해되는 바와 같이, 다양한 다른 선택적 에칭 방안이 사용될 수 있다. 14 illustrates a process and resulting structure in which a non-masked residual dummy gate electrode material is etched to expose a channel region of the multilayer stack A, in accordance with an embodiment of the present invention. Any suitable material may be used to refill the dummy gate region of a Type B multi-layer stack, such as silicon dioxide or other material, which may be easily removed but is resistant to the etch plan to remove the remaining dummy gate electrode material on top of the multi- Can be used. For example, it is further assumed that the refill material for the dummy gate region of the Type B multilayer stack may be silicon dioxide, the additional insulator material is silicon dioxide, and the remaining dummy gate electrode material is polysilicon. In this exemplary case, the residual dummy gate electrode material may be selectively etched using, for example, chlorine (Cl 2 ) and hydrogen bromide (HBr), chlorine (Cl 2 ) and hydrogen bromide (HBr) But will not remove silicon dioxide. As will be understood in light of the present disclosure, various other selective etching schemes may be used.

도 15a는 본 발명의 일 실시예에 따라 제 2 채널 유형으로부터의 희생 인터-와이어 재료가 에칭되어 제거되거나 그렇지 않으면 제거되는 프로세스 및 결과적인 구조체를 도시한다. 도 12을 참조하여 논의된 제 1 채널 유형에 대하여 이미 표시된 바와 같이, 이러한 프로세스는 스택의 잔류 층(L1-A, L3-A 및 L5-A)의 치수에 따라 다르게 채널을 리본화하는 것으로서 지칭될 수 있다. 모든 적절한 에칭 기법이 다시 사용될 수 있다. 하나의 예시적인 실시예에서, 희생 층(L2-A 및 L4-A)은 SiGe를 이용하여 구현되고, 채널 나노와이어(L1-A, L3-A 및 L5-A)는 실리콘을 이용하여 구현된다. 이러한 경우에, 희생 실리콘 층(L2-A 및 L4-A)은 SiGe를 선택적으로 제거하지만 실리콘 나노와이어 구조체(L1-A, L3-A 및 L5-A)를 제거하지 않는 습식 에칭을 이용하여 선택적으로 에칭될 수 있다. SiGe를 선택적으로 에칭하지만 실리콘을 제자리에 남겨두기 위해 예를 들어 카르복실산/질산/플루오르화수소 케미스트리, 및 시트르산/질산/플루오르화수소와 같은 에칭 케미스트리가 이용될 수 있다. 따라서, 다층 구조체 A 및 B가 실리콘과 SiGe의 교대 층으로 구현되는 실시예에서, SiGe 나노와이어를 형성하도록 다층 핀 구조체로부터 실리콘 층이 제거될 수 있거나, 실리콘 채널 나노와이어를 형성하도록 다층 핀 구조체로부터 SiGe 층이 제거될 수 있다.15A illustrates a process and resulting structure in which a sacrificial inter-wire material from a second channel type is etched away or otherwise removed in accordance with one embodiment of the present invention. As already indicated for the first channel type discussed with reference to Fig. 12, this process is to ribbon the channel differently depending on the dimensions of the remaining layers (L1-A, L3-A and L5- . All suitable etching techniques can be used again. In one exemplary embodiment, the sacrificial layers L2-A and L4-A are implemented using SiGe and the channel nanowires L1-A, L3-A and L5-A are implemented using silicon . In this case, the sacrificial silicon layers (L2-A and L4-A) are selectively removed using wet etching that selectively removes SiGe but does not remove the silicon nanowire structures (L1-A, L3-A and L5-A) Lt; / RTI &gt; For example, a carboxylic acid / nitric acid / hydrogen fluoride chemistry and an etching chemistry such as citric acid / nitric acid / hydrogen fluoride may be used to selectively etch SiGe but leave the silicon in place. Thus, in embodiments in which the multilayer structures A and B are embodied as alternating layers of silicon and SiGe, the silicon layer may be removed from the multilayered pin structure to form SiGe nanowires, or may be removed from the multilayered pin structure The SiGe layer can be removed.

도 15a에는 물론 도 15b의 부분적으로 교대되는 도면에도 또한 도시된 유형 B 다층 스택의 L2-A 및 L4-A 층과 유형 A 다층 스택의 L1-A, L3-A 및 L5-A 층의 노출된 채널 부분은 본 발명의 하나의 실시예에 따라 결국 나노와이어-기반 구조체에서 채널 영역이 된다. 일부 이러한 실시예에서, 도 15a 및 도 15b에 묘사된 프로세스 단계에서, 채널 엔지니어링 또는 조율(tuning)이 수행될 수 있다. 예를 들어, 하나의 실시예에서, 유형 B 다층 스택의 L2-A 및 L4-A 층 및/또는 유형 A 다층 스택의 L1-A, L3-A 및 L5-A 층의 노출된 채널 부분은 산화 및/또는 에칭 프로세스를 사용하여 얇아질 수 있다. 이러한 박막화(thinning) 프로세스는 예를 들어 본 명세서에 설명된 바와 같이 (예를 들어, 선택적 에칭 또는 다른 적절한 제거 프로세스를 통해) 인접하는 희생 층으로부터 와이어가 벗어남과 동시에 수행될 수 있다. 따라서, 형성된 초기 와이어는 나노와이어 디바이스의 소스 영역 및 드레인 영역의 크기 설정과 무관하게 나노와이어 디바이스 내의 채널 영역에 적절한 크기로 얇아지는 초기 두께를 가질 수 있다.15A, as well as the exposed layers of the L-A, L3-A and L5-A layers of the Type A multilayer stack and the L2-A and L4-A layers of the Type B multilayer stack also shown in the partially alternating view of FIG. The channel portion eventually becomes the channel region in the nanowire-based structure, according to one embodiment of the present invention. In some such embodiments, channel engineering or tuning may be performed in the process steps depicted in Figures 15A and 15B. For example, in one embodiment, the exposed channel portions of the L2-A and L4-A layers of the Type B multilayer stack and / or the L1-A, L3-A and L5- And / or may be thinned using an etching process. This thinning process may be performed, for example, at the same time as the wire leaves the adjacent sacrificial layer (e.g., through selective etching or other suitable removal process) as described herein. Thus, the initial wire formed may have an initial thickness that is thinned to an appropriate size in the channel region within the nanowire device, regardless of the size setting of the source and drain regions of the nanowire device.

동일한 수평면에서 다양한 Vary in the same horizontal plane 와이어wire /리본 재료 / Ribbon material

다양한 대안 실시예 및 변형예는 본 개시에 비추어 명백할 것이다. 예를 들어, 도시된 실시예에서, 다층 스택 A의 나노와이어(L1-A, L3-A 및 L5-A)는 다층 스택 B의 나노와이어(L2-B 및 L4-B)와 동일한 수평면 상에 존재하지 않는다. 또 다른 예시적인 실시예에서, 하나의 다층 스택 유형의 나노와이어는 또 다른 다층 스택 유형의 나노와이어와 동일한 수평면 상에 존재한다. 하나의 이러한 실시예는 도 16에 도시되어 있고, 도 16은 4개의 상이한 다층 스택 유형 A, B, C 및 D를 갖는 구조체를 도시하는데, 다층 스택 유형 A 및 C의 나노와이어/나노리본은 동일한 수평면 상에 존재하고, 다층 스택 유형 B 및 D의 나노와이어/나노리본은 동일한 수평면 상에 존재한다. 하나의 이러한 특별한 경우에, 다층 스택 유형 A의 나노와이어/나노리본은 실리콘일 수 있고, 다층 스택 유형 C의 나노와이어/나노리본은 SiGe일 수 있으며, 다층 스택 유형 B의 나노와이어/나노리본은 갈륨 비화물일 수 있고, 다층 스택 유형 D의 나노와이어/나노리본은 인듐 비화물일 수 있다. 본 명세서에 사용된 바와 같이, 동일한 수평면에 존재한다는 것은 제 1 다층 스택 유형의 나노와이어/나노리본과 제 2 다층 스택 유형 사이에 적어도 약간의 중첩이 존재하며 따라서 단일 축을 따라 선택된 적어도 하나의 공통면이 각각의 제 1 다층 스택 유형과 제 2 다층 스택 유형의 나노와이어/나노리본을 관통한다는 것을 의미한다. 그러나 본 개시에 비추어 이해되는 바와 같이, 이러한 중첩이 반드시 필요하지는 않다는 점을 주목한다. 보다 일반적인 의미에서, 각각의 다층 스택 유형은 다른 다층 스택 유형과 무관하게 구현될 수 있고, 다른 다층 스택 유형에 대한 실재 공통 면 및/또는 재료 조성을 이용하여 또는 그러한 실재 공통 면 및/또는 재료 조성 없이 구성될 수 있다. 균질성에 대한 완전한 범위의 핀/다층 스택 다양성은 본 명세서에 제공된 기법에 의해 가능할 수 있다. Various alternative embodiments and modifications will be apparent in light of the present disclosure. For example, in the illustrated embodiment, the nanowires L1-A, L3-A, and L5-A of the multilayer stack A are on the same horizontal plane as the nanowires L2-B and L4-B of the multilayer stack B does not exist. In another exemplary embodiment, one multi-layer stack type nanowire is on the same horizontal plane as another multi-layer stack type nanowire. 16 shows a structure having four different multi-layer stack types A, B, C and D, wherein the nanowires / nanoribbons of the multi-layer stack types A and C are the same And the nanowires / nanoribbons of multilayer stack types B and D are on the same horizontal plane. In one such special case, the nanowire / nanoribbon of the multi-layer stack type A may be silicon, the nanowire / nanoribbon of the multi-layer stack type C may be SiGe, and the nanowire / Gallium arsenide, and the nanowire / nanoribbons of the multilayer stack type D may be indium arsenide. As used herein, being in the same horizontal plane means that there is at least some overlap between the nanowire / nanoribbon of the first multi-layer stack type and the second multi-layer stack type, and thus at least one common plane Means passing through the first multi-layer stack type and the second multi-layer stack type nanowire / nano ribbon. It should be noted, however, that this overlap is not necessary, as will be appreciated in light of the present disclosure. In a more general sense, each multi-layer stack type may be implemented independently of other multi-layer stack types and may be implemented using a common plane and / or material composition for another multi-layer stack type, or without such common plane and / Lt; / RTI &gt; A full range of pin / multilayer stack diversity for homogeneity may be enabled by the techniques provided herein.

핀 및 다층 스택 Pin and multilayer stack 하이브리드hybrid

도 17은 나노와이어 디바이스가 핀과 다층 스택의 조합을 이용하여 구성되는 또 다른 프로세스 및 결과적인 구조체를 도시한다. 도시된 2개의 핀은 2개의 도시된 다층 스택 A/B와 같이 동일하거나 또는 상이한 재료를 이용하여 구현될 수 있다. 예를 들어, 하나의 실시예에서, 핀은 SiGe의 제 1 합금 조성을 이용하여 구현될 수 있고, 다층 스택은 제 2 SiGe 조성을 갖는 실리콘/SiGe 스택을 이용하여 구현될 수 있다. 대안으로, 핀은 예를 들어 컬럼 IV 재료를 이용하여 구현될 수 있고, 다층 스택은 III-V 재료를 이용하여 구현될 수 있다. 예를 들어, 핀은 실리콘 및/또는 SiGe 합금일 수 있고, 다층 스택은 갈륨 비화물과 알루미늄 갈륨 비화물의 교대층일 수 있다. Figure 17 shows another process and resulting structure in which the nanowire device is constructed using a combination of a fin and a multi-layer stack. The two pins shown can be implemented using the same or different materials as the two illustrated multi-layer stack A / B. For example, in one embodiment, the fins may be implemented using a first alloy composition of SiGe, and the multi-layer stack may be implemented using a silicon / SiGe stack having a second SiGe composition. Alternatively, the fin can be implemented using, for example, a column IV material, and the multi-layer stack can be implemented using a III-V material. For example, the fins may be silicon and / or SiGe alloys, and the multilayer stack may be an alternating layer of gallium arsenide and aluminum gallium arsenide.

다양한 핀/스택 재료 및 구성은 본 개시에 비추어 명백할 것이고, 청구된 발명은 모든 특정 발명으로 제한되도록 의도되지 않는다. 본 명세서에 설명된 바와 같이 나노와이어 스택 및/또는 핀을 주문제작하는데 있어서 요구된 회로 성능, 이용 가능한 재료, 제조 능력(fab capability), 및 주문형 세부 사항(application specific details)과 같은 인자가 고려될 수 있다. 이러한 주문제작은 예를 들어 n형 또는 p형 극성에 대하여, 또는 동작 주파수, 전류 밀도, 전력 능력, 이득, 대역폭 등과 같은 모든 트랜지스터 성능 인자에 대해 수행될 수 있다.Various pin / stack materials and configurations will be apparent in light of this disclosure, and the claimed invention is not intended to be limited to any particular invention. Factors such as required circuit performance, available materials, fab capability, and application specific details required to customize the nanowire stack and / or pin as described herein may be taken into consideration . This customization can be performed, for example, for n-type or p-type polarity, or for all transistor performance factors such as operating frequency, current density, power capability, gain, bandwidth,

게이트 및 소스/Gate and source / 드레인drain 형성 formation

도 15a, 도 15b, 도 16 및 도 17에 설명된 다양한 예시적인 실시예에서와 같은 별개의 채널 영역의 형성 후에, 일부 예시적인 실시예에서, 게이트 유전체 및 게이트 전극 처리가 수행될 수 있고, 소스 및 드레인 콘택(contact)이 추가될 수 있다. 이러한 후-채널 처리(post-channel processing)는 예를 들어 통상적으로 수행된 바와 같이 수행될 수 있다. 중간 평탄화 및 세정 프로세스, 실리사이데이션(silicidation) 프로세스, 콘택 및 인터커넥트 형성(contact and interconnect forming) 프로세스, 및 증착-마스킹-에칭(deposition-masking-etch) 프로세스와 같은 트랜지스터-기반 집적 회로의 완전한 제작을 용이하게 하는 다른 전형적인 처리 단계는 명백할 것이다. 또한, 일부 실시예는 소스/드레인 영역을 형성하기 위한 제거-및-대체(remove-and-replace) 프로세스를 (있는 그대로의 핀 또는 다층 스택을 사용하지 않고) 그렇게 하는 것이 요구되는 경우 이용할 수 있다. 본 개시에 비추어 다양한 다음 처리 방안은 명백할 것이다.After formation of the discrete channel regions, such as in the various exemplary embodiments described in FIGS. 15A, 15B, 16 and 17, in some exemplary embodiments, gate dielectric and gate electrode processing may be performed, And a drain contact may be added. This post-channel processing may be performed, for example, as is normally done. Complete fabrication of transistor-based integrated circuits, such as intermediate planarization and cleaning processes, silicidation processes, contact and interconnect forming processes, and deposition-masking-etch processes Lt; RTI ID = 0.0 &gt; and / or &lt; / RTI &gt; In addition, some embodiments may be used where it is desired to do a remove-and-replace process (without using an intact pin or multilayer stack) to form the source / drain regions . In light of the present disclosure, various subsequent arrangements will be apparent.

일부 예시적인 실시예에서, 게이트 유전체는 예를 들어 SiO2와 같은 모든 적절한 산화물 또는 하이-k(high-k) 게이트 유전체 재료일 수 있다. 하이-k 유전체 재료의 예는 예를 들어 하프늄 산화물, 하프늄 실리콘 산화물, 란타늄 산화물, 란타늄 알루미늄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 탄탈륨 산화물, 티타늄 산화물, 바륨 스트론튬 티타늄 산화물, 바륨 티타늄 산화물, 스트론튬 티타늄 산화물, 이트륨 산화물, 알루미늄 산화물, 납 스칸듐 탄탈륨 산화물, 및 납 아연 니오베이트(lead zinc niobate)를 포함한다. 일부 실시예에서, 하이-k 재료가 사용될 때 품질을 개선하기 위해 게이트 유전체 층 상에 어닐링(annealing) 프로세스가 수행될 수 있다. 일반적으로, 게이트 유전체의 두께는 소스 및 드레인 콘택으로부터 게이트 전극을 전기적으로 절연하기에 충분해야 한다. 게이트 전극 재료는 예를 들어 폴리실리콘, 실리콘 질화물, 실리콘 탄화물, 또는 금속 층(예를 들어, 텅스텐, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물)일 수 있지만 다른 적절한 게이트 전극 재료도 또한 사용될 수 있다. 그런 다음에 형성된 게이트 전극은 다음 처리 중에 보호되기 위해 마스크를 이용하여 피복될 수 있다. 게이트 유전체, 게이트 전극 및 모든 선택 사양인 마스크 재료는 일반적으로 게이트 스택으로서 지칭될 수 있다.In some exemplary embodiments, the gate dielectric may, for example, be any suitable oxide or high -k (high-k) gate dielectric materials such as SiO 2. Examples of high-k dielectric materials include, for example, hafnium oxide, hafnium silicon oxide, lanthanum oxide, lanthanum aluminum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide , Yttrium oxide, aluminum oxide, lead scandium tantalum oxide, and lead zinc niobate. In some embodiments, an annealing process may be performed on the gate dielectric layer to improve quality when a high-k material is used. Generally, the thickness of the gate dielectric should be sufficient to electrically isolate the gate electrode from the source and drain contacts. The gate electrode material may be, for example, polysilicon, silicon nitride, silicon carbide, or a metal layer (e.g., tungsten, titanium nitride, tantalum, tantalum nitride), but other suitable gate electrode materials may also be used. The gate electrode then formed may be covered with a mask to be protected during the next processing. The gate dielectric, the gate electrode, and any optional mask material may generally be referred to as a gate stack.

일단 게이트 스택이 제작되면, 소스/드레인 영역이 처리될 수 있다. 이러한 처리는 예를 들어 핀 또는 다층 스택 주위로부터 추가 절연체 재료를 에칭하거나 그렇지 않으면 제거함으로써 소스/드레인 영역을 노출시키는 것을 포함할 수 있어서, 소스 드레인 콘택이 제공될 수 있으며, 이는 예를 들어 실리사이드 프로세스를 사용하여 달성될 수 있다. 전형적인 소스 드레인 콘택 재료는 예를 들어 텅스텐, 티타늄, 은, 금, 알루미늄, 및 그들의 합금을 포함한다.Once the gate stack is fabricated, the source / drain regions can be processed. This process can include exposing the source / drain regions, for example, by etching or otherwise removing additional insulator material from around the pin or multi-layer stack, so that a source drain contact can be provided, . &Lt; / RTI &gt; Typical source drain contact materials include, for example, tungsten, titanium, silver, gold, aluminum, and alloys thereof.

이미 설명된 바와 같이, 일부 실시예는 소스/드레인 영역을 형성하기 위한 제거-및-대체 프로세스를 (있는 그대로의 핀 또는 다층 스택을 사용하지 않고) 그렇게 하는 것이 요구되는 경우 이용할 수 있다. 도 18a 내지 도 18f는 예시적인 실시예에 따라 이중층(bi-layer) 소스/드레인 구조체를 갖는 트랜지스터 구조체를 제공하기 위한 이러한 예시적인 프로세스를 도시한다. 이해되는 바와 같이, 논의를 단순하게 하기 위해 오직 하나의 핀/다층 스택만이 도시되지만, 동일한 개념은 모든 개수의 핀/다층 스택을 갖는 구조체에 그리고 본 명세서에 설명된 바와 같은 모든 개수의 구성에 동일하게 적용될 수 있다. 도 18a는 3개의 게이트(즉, 삼중 게이트 디바이스)를 형성하기 위해 핀의 3개의 표면 상에 형성된 게이트 전극, 또는 게이트-올-어라운드 디바이스를 제공하는 하나 이상의 나노와이어를 도시한다. 게이트 유전체 재료는 핀/다층 스택과 게이트 전극 사이에 제공되고, 하드마스크는 게이트 전극 상부에 형성된다. 도 18b는 게이트 전극 및 핀/다층 스택의 측벽 상에 스페이서를 제공하도록 모든 수직면 상에 절연체 재료의 코팅을 남기는 절연 재료의 증착 다음의 에칭 후에 결과로서 생성된 구조체를 도시한다. 도 18c는 핀/다층 스택의 측벽으로부터 과잉 절연/스페이서 재료를 제거함으로써 게이트 전극의 대향 측벽에만 스페이서를 남기는 추가 에칭 트리트먼트(treatment) 후에 결과로서 생성된 구조체를 도시한다. 도 18d는 기판의 소스/드레인 영역 내의 핀/다층 스택을 제거함으로써 리세싱된 핀/다층 스택이 STI 아래에 위치하는 상부 면을 갖도록 리세스를 형성하는 리세스 에칭 후에 결과로서 생성된 구조체를 도시한다. 다른 실시예는 리세싱되지 않을 수 있다(예를 들어, 소스/드레인 영역이 STI 층과 같은 높이이거나 STI 층 위에 위치한다)는 점을 주목한다. 도 18e는 에피택셜 라이너의 성장 후에 결과로서 생성된 구조체를 도시하는데, 그 구조체는 일부 실시예에서 얇은 p형이고 상당한 분량의 실리콘(예를 들어, 실리콘 또는 70 원자 퍼센트 실리콘을 갖는 SiGe)을 포함할 수 있거나, 순수 게르마늄(예를 들어, 별개의 게르마늄 층, 또는 결과적으로 논의되는 캡의 조성에 통합되거나 그렇지 않으면 포함되는 검출 불가능 층(non-detectable layer))일 수 있다. 도 18f는 에피택셜 소스/드레인 캡의 성장 후에 결과로서 생성된 구조체를 도시하는데, 그 구조체는 일부 예시적인 실시예에서 p형일 수 있고, 이미 설명된 바와 같이 주로 게르마늄을 포함하지만 20 원자 퍼센트 미만의 주석 또는 다른 적절한 합금 재료를 포함할 수 있다. 추가로 이해되는 바와 같이, 삼중 게이트 구성에 대한 대안은 이중 게이트 아키텍처이며, 이중 게이트 아키텍처는 핀의 상부에 유전체/절연 층을 포함할 것이라는 점을 주목한다. 또한, 도 18e 및 도 18f에 도시된 소스/드레인 영역을 형성하는 라이너 및 캡의 예시적인 형상이 청구된 발명을 모든 특정 소스/드레인 유형 또는 형성 프로세스로 제한하도록 의도되지 않고, 본 개시에 비추어 다른 소스/드레인 형상이 명백(예를 들어, 둥글거나, 정사각형이거나 직사각형인 소스/드레인 영역이 구현될 수 있음)할 것이라는 점을 주목한다.As already explained, some embodiments may be used where it is desired to do so (without using an intact pin or multilayer stack) for a removal-and-replacement process to form the source / drain regions. Figures 18A-18F illustrate this exemplary process for providing a transistor structure having a bi-layer source / drain structure in accordance with an exemplary embodiment. As will be appreciated, although only one pin / multilayer stack is shown for simplicity of discussion, the same concept applies to structures having all the number of pin / multilayer stacks and to any number of configurations as described herein The same can be applied. Figure 18A illustrates one or more nanowires that provide gate electrodes, or gate-all-around devices, formed on three surfaces of the fins to form three gates (i.e., triple gate devices). A gate dielectric material is provided between the pin / multilayer stack and the gate electrode, and a hard mask is formed over the gate electrode. 18B shows the resultant structure after etching following deposition of an insulating material leaving a coating of insulator material on all vertical surfaces to provide a spacer on the sidewalls of the gate electrode and the fin / multilayer stack. Figure 18c shows the resultant structure after an additional etch treatment to leave spacers only on opposite sidewalls of the gate electrode by removing excess insulating / spacer material from the sidewalls of the pin / multilayer stack. 18D shows the resultant structure after recess etch to form a recess so that the recessed fin / multilayer stack has a top surface located below the STI by removing the pin / multi-layer stack in the source / drain region of the substrate. do. Note that other embodiments may not be recessed (e.g., the source / drain regions are at the same height as the STI layer or over the STI layer). 18E shows the resultant structure after growth of the epitaxial liner, which in some embodiments includes a thin p-type and substantial amount of silicon (e.g., SiGe with silicon or 70 atom percent silicon) Or may be pure germanium (e.g., a separate germanium layer, or a non-detectable layer integrated or otherwise included in the resulting cap composition). Figure 18f shows the resultant structure after growth of the epitaxial source / drain cap, which may be p-type in some exemplary embodiments and includes mainly germanium but less than 20 atomic percent Tin or other suitable alloy material. As will be further appreciated, it is noted that an alternative to the triple gate configuration is a double gate architecture, and the double gate architecture will include a dielectric / insulator layer on top of the pin. Further, the exemplary shapes of the liner and cap forming the source / drain regions shown in Figs. 18e and 18f are not intended to limit the claimed invention to any particular source / drain type or formation process, Note that the source / drain geometry will be apparent (e.g., a source / drain region that is round, square, or rectangular may be implemented).

도 19a는 또 다른 예시적인 실시예에 따라 이중층(bi-layer) 소스/드레인 구조체를 갖는 나노와이어 트랜지스터 구조체를 도시한다. 특정 설계에 따라 달라지는 일부 나노와이어 트랜지스터는 예를 들어 4개의 유효 게이트를 갖는다. 도 19a는 2개의 나노와이어를 갖는 나노와이어 채널 아키텍처를 도시하지만 다른 실시예는 모든 개수의 와이어를 가질 수 있다. 나노와이어는 예를 들어 p형 실리콘 또는 게르마늄 또는 SiGe 나노와이어를 이용하여 구현될 수 있다. 알 수 있는 바와 같이, 하나의 나노와이어는 기판의 리세스 내에 형성되거나 그렇지 않으면 제공될 수 있고, 나머지 나노와이어는 라이너 및 캡 층을 포함하는 소스/드레인 재료 이중 층 구성에서 실질적으로 부유한다. 핀 구성과 마찬가지로, 나노와이어는 소스/드레인 재료의 이중 층 구성(예를 들어, 상대적으로 얇은 실리콘 또는 게르마늄 또는 SiGe 라이너와 상대적으로 두꺼운 고농도 게르마늄 캡)을 이용하여 소스/드레인 영역 내에서 대체될 수 있다는 점을 주목한다. 대안으로, 이중 층 구성은 도시된 바와 같이 본래 형성된 나노와이어 주위에 제공될 수 있다(라이너는 나노와이어 주위에 제공되고 그런 다음에 캡은 라이너 주위에 제공됨). 도 19b도 또한 복수의 나노와이어를 갖는 나노와이어 구성을 도시하지만, 이러한 예시적인 경우에 비채널 재료(non-channel-material(NCM))는 나노와이어 형성 프로세스 중에 개별 나노와이어들 사이로부터 제거되지 않으며, 이러한 것은 이미 설명된 바와 같이 수행될 수 있다. 따라서, 하나의 나노와이어는 기판의 리세스 내에 제공되고, 나머지 나노와이어는 실질적으로 비채널 재료 상부에 위치한다. 나노와이어는 채널을 통해 활성 상태이지만 비채널 재료는 그렇지 않다는 점을 주목한다. 알 수 있는 바와 같이, 라이너 및 캡의 이중 층 소스/드레인 구성은 나노와이어의 모든 다른 노출된 표면 주위에 제공될 수 있다.19A illustrates a nanowire transistor structure having a bi-layer source / drain structure according to another exemplary embodiment. Some nanowire transistors that depend on a particular design have, for example, four effective gates. 19A shows a nanowire channel architecture with two nanowires, while another embodiment may have any number of wires. The nanowire may be implemented using, for example, p-type silicon or germanium or SiGe nanowires. As can be seen, one nanowire may be formed or otherwise provided in the recess of the substrate, and the remaining nanowires may float substantially in a source / drain material dual layer configuration comprising a liner and a cap layer. As with the pin configuration, the nanowire can be replaced in the source / drain regions using a dual layer configuration of source / drain material (e.g., relatively thin silicon or germanium or SiGe liner and relatively thick high density germanium cap) . Alternatively, a bi-layer configuration may be provided around the originally formed nanowire as shown (the liner is provided around the nanowire and then the cap is provided around the liner). Figure 19b also shows a nanowire configuration with a plurality of nanowires, but in this example case the non-channel-material (NCM) is not removed from between the individual nanowires during the nanowire forming process , Which can be performed as already described. Thus, one nanowire is provided in the recess of the substrate, and the remaining nanowires are positioned substantially over the non-channel material. It is noted that the nanowires are active through the channel, but the non-channel material is not. As can be seen, the bilayer source / drain configuration of the liner and cap can be provided around all other exposed surfaces of the nanowire.

이해되는 바와 같이, 묘사된 방법론은 사용된 재료 및 요구된 프로파일에 따라 달라지는 리소그래피, CVD(chemical vapor deposition), ALD(atomic layer deposition), SOD(spin-on deposition), PVD(physical vapor deposition), 건식 및 습식 에칭(예를 들어, 등방성 및/또는 비등방성)을 포함하는 모든 적절한 표준 반도체 프로세스를 사용하여 수행될 수 있다. 대안 증착 기법도 또한 사용될 수 있는데, 예를 들어 다양한 재료 층이 열적으로 성장될 수 있다. 본 개시에 비추어 추가로 이해되는 바와 같이, 본 명세서에 설명된 바와 같은 주문제작 나노와이어 디바이스 또는 구조체를 제공하도록 본 발명의 일 실시예를 구현하기 위해 모든 개수의 적절한 재료, 층 기하 특성, 및 형성 프로세스가 사용될 수 있다.As will be appreciated, the depicted methodology may be used in lithography, chemical vapor deposition (ALD), atomic layer deposition (ALD), spin-on deposition (SOD), physical vapor deposition (PVD) May be performed using any suitable standard semiconductor process including dry and wet etching (e.g., isotropic and / or anisotropic). Alternative deposition techniques can also be used, for example, various material layers can be thermally grown. As will be further appreciated in light of this disclosure, any number of suitable materials, layer geometry characteristics, and formations may be used to implement an embodiment of the present invention to provide a custom nanowire device or structure as described herein. Process can be used.

예시적인 시스템An exemplary system

도 20은 본 발명의 예시적인 실시예에 따라 구성된 하나 이상의 집적 회로 구조체를 이용하여 구현된 컴퓨팅 시스템을 도시한다. 알 수 있는 바와 같이, 컴퓨팅 시스템(1000)은 마더보드(1002)를 하우징한다. 마더보드(1002)는 프로세서(1004) 및 적어도 하나의 통신 칩(1006)(이러한 예에서 2개의 통신 칩(1006)이 도시됨)을 포함하지만 이에 제한되지 않는 복수의 컴포넌트를 포함할 수 있고, 복수의 컴포넌트는 각각 마더보드(1002)에 물리적으로 그리고 전기적으로 결합될 수 있거나 그렇지 않으면 그 내부에 통합될 수 있다. 이해되는 바와 같이, 마더보드(1002)는 예를 들어 메인 보드이든 컴퓨팅 시스템(1000)의 메인 보드 또는 유일한 보드 상에 장착된 도터보드(daughterboard)이든 모든 인쇄 회로 보드(printed circuit board)일 수 있다. 컴퓨팅 시스템(1000)은 마더보드(1002)에 물리적으로 그리고 전기적으로 결합될 수 있거나 또는 결합되지 않을 수 있는 하나 이상의 다른 컴포넌트를 애플리케이션에 따라 다르게 포함할 수 있다. 이들 다른 컴포넌트는 휘발성 메모리(예를 들어, DRAM), 비휘발성 메모리(예를 들어, ROM), 그래픽 프로세서, 디지털 신호 프로세서, 암호 프로세서(crypto processor), 칩셋, 안테나, 디스플레이, 터치스크린 디스플레이, 터치스크린 제어기, 배터리, 오디오 코덱(codec), 비디오 코덱, 전력 증폭기, GPS(global positioning system) 디바이스, 나침반, 가속도계, 자이로스코프, 스피커, 카메라, 및 (하드 디스크 드라이브, CD(compact disk), DVD(digital versatile disk) 등과 같은) 대용량 스토리지 디바이스를 포함할 수 있지만 이에 제한되지 않는다. 컴퓨팅 시스템(1000) 내에 포함된 컴포넌트 중 하나의 컴포넌트는 주문제작된 채널을 갖는 나노와이어 트랜지스터를 이용하여 구성된 하나 이상의 집적 회로 구조체를 포함할 수 있다. 일부 실시예에서, 복수의 기능이 하나 이상의 칩 내로 통합될 수 있다(예를 들어, 통신 칩(1006)은 프로세서(1004)의 부분이거나 그렇지 않으면 프로세서(1004) 내로 통합될 수 있다는 점을 주목한다).Figure 20 illustrates a computing system implemented using one or more integrated circuit structures constructed in accordance with an exemplary embodiment of the present invention. As can be seen, the computing system 1000 houses the motherboard 1002. The motherboard 1002 can include a plurality of components including but not limited to a processor 1004 and at least one communication chip 1006 (two communication chips 1006 are shown in this example) A plurality of components may be physically and electrically coupled to the motherboard 1002, respectively, or may be integrated therein. As will be appreciated, the motherboard 1002 can be any printed circuit board, for example a mainboard or a daughterboard mounted on a mainboard or a unique board of the computing system 1000 . The computing system 1000 may include one or more other components that may be physically and electrically coupled to the motherboard 1002 or may not be coupled differently depending on the application. These other components include, but are not limited to, volatile memory (e.g., DRAM), non-volatile memory (e.g., ROM), graphics processor, digital signal processor, crypto processor, chipset, antenna, (Hard disk drives, compact discs (CD), DVD (compact discs), DVD players, DVD players, digital versatile disks, and the like) mass storage devices. One of the components included within the computing system 1000 may include one or more integrated circuit structures constructed using nanowire transistors with customized channels. Note that in some embodiments, a plurality of functions may be integrated into one or more chips (e.g., the communications chip 1006 may be part of the processor 1004 or otherwise integrated into the processor 1004) ).

통신 칩(1006)은 컴퓨팅 시스템(1000)으로의 그리고 컴퓨팅 시스템(1000)으로부터의 데이터의 전달을 위한 무선 통신을 가능하게 한다. 용어 "무선" 및 그 파생어는 비고체 매체(non-solid medium)를 통한 변조 전자파 방사(modulated electromagnetic radiation)를 사용하여 데이터를 통신할 수 있는 회로, 디바이스, 시스템, 방법, 기법, 통신 채널 등을 설명하기 위해 사용될 수 있다. 용어 "무선" 및 그 파생어는 연관된 디바이스가 와이어를 전혀 포함하지 않는다는 것을 암시하지는 않지만, 일부 실시예에서는 연관된 디바이스가 와이어를 전혀 포함하지 않을 수 있다. 통신 칩(1006)은 Wi-Fi(IEEE 802.11 패밀리), WiMAX(IEEE 802.16 패밀리), IEEE 802.20, LTE(long term evolution), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, 블루투스, 및 그 파생어는 물론 3G, 4G, 5G 이상으로서 지명된 모든 다른 무선 프로토콜을 포함하지만 이에 제한되지 않는 복수의 무선 표준 또는 프로토콜 중 어느 하나를 구현할 수 있다. 컴퓨팅 시스템(1000)은 복수의 통신 칩(1006)을 포함할 수 있다. 예를 들어, 제 1 통신 칩(1006)은 Wi-Fi 및 블루투스와 같은 보다 짧은 범위의 무선 통신 전용일 수 있고, 제 2 통신 칩(1006)은 GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO 등과 같은 보다 긴 범위의 무선 통신 전용일 수 있다.Communication chip 1006 enables wireless communication for delivery of data to and from computing system 1000. The term "wireless" and its derivatives, refers to circuits, devices, systems, methods, techniques, communication channels, etc., capable of communicating data using modulated electromagnetic radiation through a non- Can be used to illustrate. The term "wireless" and its derivatives do not imply that the associated device does not contain any wires, but in some embodiments the associated device may not include any wires at all. The communication chip 1006 may be a wireless communication device such as Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev- DO, HSPA +, HSDPA +, HSUPA +, EDGE, But not limited to, TDMA, DECT, Bluetooth, and derivatives thereof, as well as all other wireless protocols designated as 3G, 4G, 5G or higher. The computing system 1000 may include a plurality of communication chips 1006. For example, the first communication chip 1006 may be dedicated to a shorter range of wireless communication, such as Wi-Fi and Bluetooth, and the second communication chip 1006 may be GPS, EDGE, GPRS, CDMA, WiMAX, May be dedicated to longer range wireless communications such as Ev-DO.

컴퓨팅 시스템(1000)의 프로세서(1004)는 프로세서(1004) 내에 패키징된 집적 회로 다이를 포함한다. 본 발명의 일부 실시예에서, 프로세서(1004)의 집적 회로 다이는 본 명세서에 설명된 바와 같은 주문제작된 채널을 갖는 하나 이상의 나노와이어 트랜지스터를 포함한다. 용어 "프로세서"는 예를 들어 레지스터 및/또는 메모리로부터의 전자 데이터를 레지스터 및/또는 메모리 내에 저장될 수 있는 다른 전자 데이터로 변환시키도록 레지스터 및/또는 메모리로부터의 전자 데이터를 처리하는 모든 디바이스 또는 디바이스의 일부를 지칭할 수 있다.The processor 1004 of the computing system 1000 includes an integrated circuit die packaged within the processor 1004. In some embodiments of the invention, the integrated circuit die of processor 1004 includes one or more nanowire transistors having customized channels as described herein. The term "processor" refers to any device that processes electronic data from a register and / or memory to, for example, convert registers and / or electronic data from memory to other electronic data that may be stored in registers and / May refer to a portion of the device.

통신 칩(1006)은 통신 칩(1006) 내에 패키징된 집적 회로 다이도 또한 포함할 수 있다. 일부 이러한 예시적인 실시예에 따라, 통신 칩(1006)의 집적 회로 다이는 본 명세서에 설명된 바와 같은 주문제작된 채널을 갖는 하나 이상의 나노와이어 트랜지스터를 포함한다. 본 개시에 비추어 이해되는 바와 같이, 다중-표준 무선 능력(multi-standard wireless capability)은 프로세서(1004) 내로 직접 집적될 수 있다는 점(예를 들어, 모든 통신 칩(1006)의 기능은 별개의 통신 칩을 갖지 않고 프로세서(1004) 내로 집적됨)을 주목한다. 또한 프로세서(1004)는 이러한 무선 능력을 갖는 칩셋일 수 있다는 점을 주목한다. 간단히 말해서, 모든 개수의 프로세서(1004) 및/또는 통신 칩(1006)이 사용될 수 있다. 마찬가지로, 하나의 칩 또는 칩셋은 내부에 통합되어 있는 복수의 기능을 가질 수 있다.The communication chip 1006 may also include an integrated circuit die packaged within the communication chip 1006. According to some such exemplary embodiments, the integrated circuit die of communication chip 1006 includes one or more nanowire transistors with customized channels as described herein. As will be understood in light of the present disclosure, multi-standard wireless capability can be integrated directly into the processor 1004 (e.g., the functionality of all communication chips 1006 may be implemented in separate communications Integrated into the processor 1004 without a chip). It is also noted that the processor 1004 may be a chipset with such wireless capability. Briefly, any number of processors 1004 and / or communication chips 1006 may be used. Likewise, one chip or chipset may have a plurality of functions integrated therein.

다양한 실시예에서, 컴퓨팅 시스템(1000)은 랩탑, 넷북, 노트북, 스마트폰, 태블릿, PDA(personal digital assistant), 울트라-모바일 PC, 모바일 폰, 데스크탑 컴퓨터, 서버, 프린터, 스캐너, 모니터, 셋탑 박스, 오락 제어 유닛(entertainment control unit), 디지털 카메라, 포터블 뮤직 플레이어(portable music player), 또는 디지털 비디오 리코더일 수 있다. 추가 구현예에서, 컴퓨팅 시스템(1000)은 본 명세서에 설명된 바와 같이 데이터를 처리하거나 나노와이어 트랜지스터 디바이스를 이용하는 모든 다른 전자 디바이스(예를 들어, 동일한 다이 상에 주문제작된 채널을 이용하여 구성된 p형 디바이스 및 n형 디바이스를 모두 갖는 CMOS 디바이스)일 수 있다. 본 개시에 비추어 이해되는 바와 같이, 본 발명의 다양한 실시예는 동일한 다이 상에서 주문제작되어 다양한 채널 구성(예를 들어, Si, SiGe, 또는 Si/SiGe)을 갖는 나노와이어 트랜지스터를 사용하게 허용함으로써 모든 프로세스 노드(process node)에서(예를 들어, 마이크로미터 범위에서, 또는 서브마이크로미터 범위 이상에서) 제작된 제품에 대한 성능을 개선하기 위해 사용될 수 있다.In various embodiments, the computing system 1000 may be a computing device such as a laptop, a netbook, a notebook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra-mobile PC, a mobile phone, a desktop computer, a server, a printer, An entertainment control unit, a digital camera, a portable music player, or a digital video recorder. In a further embodiment, the computing system 1000 may be any other electronic device that processes data or utilizes nanowire transistor devices as described herein (e.g., p Type device and a CMOS device having both n-type devices). As will be appreciated in light of the present disclosure, various embodiments of the present invention allow for the use of nanowire transistors custom made on the same die to have various channel configurations (e.g., Si, SiGe, or Si / SiGe) Can be used to improve performance for products fabricated at process nodes (e.g., in the micrometer range, or above the submicrometer range).

다양한 실시예가 명백할 것이고, 본 명세서에 설명된 특징은 모든 개수의 구성으로 조합될 수 있다. 본 발명의 하나의 예시적인 실시예는 나노와이어 트랜지스터 구조체를 형성하기 위한 방법을 제공한다. 방법은 기판 상에 복수의 핀을 형성하는 단계와, 각각의 핀의 대향 측면 상에 얕은 트렌치 소자분리부를 형성하는 단계를 포함하고, 각각의 핀은 기판으로부터 연장된다. 방법은 제 1 비마스킹 희생 핀 세트를 남기도록 핀 중 제 1 세트를 마스킹하는 단계와, 제 1 리세스 세트를 제공하도록 제 1 비마스킹 희생 핀 세트를 리세싱하는 단계와, 제 1 리세스 세트의 각각의 리세스 내에 제 1 유형의 다층 스택을 형성하는 단계를 더 포함하고, 제 1 유형의 다층 스택의 각각은 적어도 두 개의 상이한 층을 포함하며, 적어도 두 개의 상이한 층 중 적어도 하나의 층은 트랜지스터 구조체의 채널 나노와이어 내로 형성된다. 일부 이러한 경우에, 방법은 제 1 유형의 각각의 다층 스택을 평탄화하는 단계를 포함할 수 있다. 일부 경우에, 제 1 유형의 다층 스택의 각각을 평탄화하는 단계는 제 1 핀 세트 상부의 마스킹 재료를 제거하는 단계를 포함한다. 일부 경우에, 방법은 제 2 비마스킹 희생 핀 세트를 남기도록 제 1 유형의 다층 스택의 각각을 마스킹하는 단계와, 제 2 리세스 세트를 제공하도록 제 2 비마스킹 희생 핀 세트를 리세싱하는 단계와, 제 2 리세스 세트의 각각의 리세스 내에 제 2 유형의 다층 스택을 형성하는 단계를 포함할 수 있고, 제 2 유형의 다층 스택의 각각은 적어도 두 개의 상이한 층을 포함할 수 있다. 일부 이러한 경우에, 제 1 유형의 다층 스택과 제 2 유형의 다층 스택 각각은 나노와이어로 형성되는 층과 희생 층으로 구성되고, 단일 축을 따라 선택된 적어도 하나의 공통면은 제 1 유형의 적어도 하나의 다층 스택과 제 2 유형의 적어도 하나의 다층 스택의 각각의 나노와이어 층을 관통한다. 다른 이러한 경우에, 제 1 유형의 다층 스택과 제 2 유형의 다층 스택 각각은 나노와이어로 형성되는 층과 희생 층으로 구성되고, 제 1 유형의 다층 스택과 제 2 유형의 다층 스택의 각각의 나노와이어 층을 관통하는 단일 축을 따라 선택된 공통면이 존재하지 않는다. 일부 경우에, 제 1 유형의 다층 스택은 제 2 유형의 다층 스택과 (예를 들어, 조성 및/또는 스트레인과 관련하여) 상이하게 구성된다. 예를 들어, 하나의 예시적인 경우에, 제 1 유형의 다층 스택은 PMOS 또는 NMOS 중 하나(예를 들어, PMOS)를 위해 구성되고, 제 2 유형의 다층 스택은 PMOS 또는 NMOS 중 나머지 하나(예를 들어, NMOS)를 위해 구성된다. 일부 경우에, 방법은 제 2 유형의 다층 스택의 각각을 평탄화하는 단계를 포함할 수 있다. 하나의 이러한 경우에, 제 2 유형의 다층 스택의 각각을 평탄화하는 단계는 제 1 유형의 다층 스택 상부의 마스킹 재료를 제거하는 단계를 포함한다. 일부 경우에, 방법은 제 1 유형의 다층 스택의 측벽을 노출시키도록 기판 상에 얕은 트렌치 소자분리부를 리세싱하는 단계와, 제 1 유형의 다층 스택 위에 더미 게이트 구조체를 형성하는 단계와, 더미 게이트 구조체의 양측으로 제 1 유형의 다층 스택 위에 추가 절연체 층을 형성하는 단계와, 더미 게이트 구조체 상부에 대해 추가 절연체 층을 평탄화하는 단계 중 적어도 하나를 포함한다. 일부 이러한 경우에, 방법은 기판 위에 형성되고 제 2 유형의 트랜지스터 디바이스를 위해 사용될 비마스킹 다층 스택 세트를 남기도록 기판 위에 형성되고 제 1 유형의 트랜지스터 디바이스를 위해 사용될 핀 및/또는 다층 스택 세트를 마스킹하는 단계와, 제 2 유형의 트랜지스터 디바이스를 위해 사용될 비마스킹 다층 스택 세트의 각각의 다층 스택의 제 1 채널 영역을 노출시키도록 더미 게이트 구조체의 마스킹되지 않은 부분을 에칭하는 단계와, 제 2 유형의 트랜지스터 디바이스의 각각을 위한 하나 이상의 채널 나노와이어를 제공하도록 각각의 제 1 채널 영역으로부터 희생 인터-와이어 재료를 에칭하여 제거하는 단계를 더 포함할 수 있다. 하나의 이러한 경우에, 방법은 제 1 채널 영역을 피복하도록 더미 게이트 구조체를 재충진하는 단계와, 제 1 트랜지스터 디바이스 유형을 위해 사용될 제 1 핀 및/또는 다층 스택 세트 상부의 마스킹 재료를 제거하도록 재충진된 더미 게이트 구조체를 평탄화하는 단계 중 적어도 하나를 더 포함할 수 있다. 일부 경우에, 방법은 기판 위에 형성되고 제 1 유형의 트랜지스터 디바이스를 위해 사용될 핀 및/또는 다층 스택 각각의 제 2 채널 영역을 노출시키도록 잔류 더미 게이트 구조체를 에칭하는 단계를 포함할 수 있다. 하나의 이러한 경우에, 제 2 채널 영역은 다층 스택으로 이루어지고, 방법은 제 1 유형의 트랜지스터 디바이스의 하나 이상의 채널 나노와이어를 제공하도록 각각의 제 2 채널 영역으로부터 희생 인터-와이어 재료를 에칭하여 제거하는 단계를 더 포함한다. 또 다른 이러한 경우에, 제 2 채널 영역은 핀으로 이루어지고 다층 스택으로 이루어지지 않는다. 일부 경우에, 방법은 제 1 유형의 트랜지스터 디바이스 및 제 2 유형의 트랜지스터 디바이스 각각을 위해 게이트 스택을 형성하는 단계와, 제 1 유형의 트랜지스터 디바이스 및 제 2 유형의 트랜지스터 디바이스 각각을 위한 소스/드레인 영역을 형성하는 단계를 더 포함한다. 다양한 변형예가 명백할 것이다. 예를 들어, 또 다른 실시예는 본 문단에서 다양하게 정의된 바와 같은 방법에 의해 형성된 집적 회로를 제공한다.Various embodiments will be apparent, and the features described herein may be combined in any number of configurations. One exemplary embodiment of the present invention provides a method for forming a nanowire transistor structure. The method includes forming a plurality of fins on a substrate, and forming shallow trench isolation portions on opposite sides of each fin, wherein each fin extends from the substrate. The method includes masking a first set of pins to leave a first set of non-masking sacrificial pins, recessing a first set of non-masking sacrificial pins to provide a first set of recesses, Wherein each of the first type of multilayer stacks comprises at least two different layers, and at least one of the at least two different layers comprises at least two different layers, Lt; RTI ID = 0.0 &gt; nanowire &lt; / RTI &gt; In some such cases, the method may include planarizing each multilayer stack of the first type. In some cases, planarizing each of the first type of multi-layer stacks includes removing masking material on top of the first set of pins. In some cases, the method may include masking each of the first type of multi-layer stacks to leave a second set of non-masking sacrificial pins, and recessing a second set of non-masking sacrificial pins to provide a second set of recesses And forming a second type of multilayer stack in each recess of the second set of recesses, each of the second type of multilayer stacks including at least two different layers. In some such cases, each of the first type of multilayer stack and the second type of multilayer stack is comprised of a layer formed of nanowires and a sacrificial layer, and at least one common plane selected along a single axis comprises at least one Layer stack and the nanowire layer of each of the at least one multi-layer stack of the second type. In other such cases, each of the first type of multi-layer stack and the second type of multi-layer stack is composed of a layer formed of nanowires and a sacrificial layer, and each of the nano- There is no selected common plane along a single axis through the wire layer. In some cases, a multi-layer stack of a first type is configured differently (e.g., with respect to composition and / or strain) than a multi-layer stack of a second type. For example, in one exemplary case, a first type of multi-layer stack is configured for one of PMOS or NMOS (e.g., PMOS) and a second type of multi-layer stack is configured for the other of PMOS or NMOS For example, NMOS). In some cases, the method may include planarizing each of the multi-layer stacks of the second type. In one such case, the step of planarizing each of the second type of multilayer stacks comprises removing masking material on top of the multilayer stack of the first type. In some cases, the method includes recessing the shallow trench isolation on the substrate to expose the sidewalls of the first type of multi-layer stack, forming a dummy gate structure over the multi-layer stack of the first type, Forming an additional insulator layer on the first type of multilayer stacks on either side of the structure and planarizing the additional insulator layer over the dummy gate structure. In some such cases, the method may include forming a pin and / or multilayer stack set formed on the substrate and used for the first type of transistor device to leave a non-masking multilayer stack set to be used for the second type of transistor device, Etching an unmasked portion of the dummy gate structure to expose a first channel region of a respective multi-layer stack of a non-masking multilayer stack set to be used for a second type of transistor device; Etch and remove the sacrificial inter-wire material from each first channel region to provide one or more channel nanowires for each of the transistor devices. In one such case, the method further comprises refilling the dummy gate structure to cover the first channel region, removing the first pin and / or the masking material over the multilayer stack set to be used for the first transistor device type, And planarizing the filled dummy gate structure. In some cases, the method may include etching the remaining dummy gate structure to expose a second channel region of each of the pins and / or multi-layer stacks formed on the substrate and to be used for the first type of transistor device. In one such case, the second channel region consists of a multilayer stack, and the method removes the sacrificial inter-wire material from each second channel region by etching to provide one or more channel nanowires of the first type of transistor device . In yet another such case, the second channel region is made up of fins and not a multilayer stack. In some cases, the method includes forming a gate stack for each of a first type of transistor device and a second type of transistor device, and forming a source / drain region for each of the first type of transistor device and the second type of transistor device, . Various modifications will be apparent. For example, another embodiment provides an integrated circuit formed by a method as variously defined in this paragraph.

본 발명의 또 다른 실시예는 나노와이어 트랜지스터 디바이스를 제공한다. 나노와이어 트랜지스터 디바이스는 기판 상에 제 1 복수의 핀을 포함하고, 제 1 복수의 핀 중 각각의 핀은 기판으로부터 연장된다. 나노와이어 트랜지스터 디바이스는 제 1 복수의 핀 중 각각의 핀 상의 제 1 유형의 다층 스택을 더 포함하고, 제 1 유형의 다층 스택의 각각은 하나 이상의 나노와이어를 갖는 채널 영역을 포함한다. 나노와이어 트랜지스터 디바이스는 기판 상에 제 2 복수의 핀을 더 포함하고, 제 2 유형의 핀 중 각각의 핀은 기판으로부터 연장된다. 나노와이어 트랜지스터 디바이스는 제 1 복수의 핀 중 각각의 핀 상의 제 2 유형의 다층 스택을 더 포함하고, 제 2 유형의 다층 스택의 각각은 하나 이상의 나노와이어를 갖는 채널 영역을 포함한다. 제 1 유형의 다층 스택의 하나 이상의 나노와이어는 제 2 유형의 다층 스택의 하나 이상의 나노와이어와 (예를 들어, 조성 및/또는 스트레인과 관련하여) 상이하게 구성된다. 일부 경우에, 나노와이어 트랜지스터 디바이스는 제 1 복수의 핀과 제 2 복수의 핀의 각각의 핀의 대향 측면 상에 얕은 트렌치 소자분리부를 포함한다. 일부 경우에, 단일 축을 따라 선택된 적어도 하나의 공통면은 제 1 유형의 적어도 하나의 다층 스택과 제 2 유형의 적어도 하나의 다층 스택의 각각의 나노와이어 층을 관통한다. 다른 경우에, 제 1 유형의 다층 스택과 제 2 유형의 다층 스택 모두의 각각의 나노와이어를 관통하는 단일 축을 따라 선택된 공통면이 존재하지 않는다. 일부 경우에, 제 1 유형의 다층 스택은 PMOS 또는 NMOS 중 하나를 위해 구성되고, 제 2 유형의 다층 스택은 PMOS 또는 NMOS 중 나머지 하나를 위해 구성된다. 일부 경우에, 제 1 유형의 다층 스택과 제 2 유형의 다층 스택은 각각 나노와이어가 형성되는 나노와이어 층과 채널 영역 내에서 제거되는 희생 층으로 구성된다. 일부 경우에 나노와이어 트랜지스터 디바이스는 게이트 스택과 소스/드레인 영역을 포함할 수 있다. 본 발명의 또 다른 실시예는 본 문단에서 다양하게 정의된 바와 같은 나노와이어 트랜지스터 디바이스를 포함하는 집적 회로를 제공한다. 또 다른 실시예는 그러한 집적 회로를 포함하는 시스템을 제공한다.Yet another embodiment of the present invention provides a nanowire transistor device. The nanowire transistor device includes a first plurality of pins on a substrate, and each of the first plurality of pins extends from the substrate. The nanowire transistor device further includes a multi-layer stack of a first type on each of the first plurality of pins, wherein each of the multi-layer stacks of the first type comprises a channel region having one or more nanowires. The nanowire transistor device further comprises a second plurality of pins on the substrate, wherein each of the pins of the second type extend from the substrate. The nanowire transistor device further comprises a multi-layer stack of a second type on each pin of the first plurality of pins, and each of the multi-layer stacks of the second type comprises a channel region having one or more nanowires. One or more nanowires of a first type of multilayer stack are configured differently (e.g., with respect to composition and / or strain) with one or more nanowires of a second type of multilayer stack. In some cases, the nanowire transistor device includes shallow trench isolation portions on opposite sides of respective fins of the first plurality of pins and the second plurality of pins. In some cases, at least one common plane selected along a single axis passes through at least one multilayer stack of a first type and each nanowire layer of at least one multilayer stack of a second type. In other cases, there is no selected common plane along a single axis through each nanowire of both the first type of multilayer stack and the second type of multilayer stack. In some cases, a multi-layer stack of the first type is configured for one of the PMOS or NMOS, and a multi-layer stack of the second type is configured for the other one of the PMOS or NMOS. In some cases, the first type of multilayer stack and the second type of multilayer stack each comprise a nanowire layer in which nanowires are formed and a sacrificial layer that is removed in the channel region. In some cases, the nanowire transistor device may include a gate stack and a source / drain region. Yet another embodiment of the present invention provides an integrated circuit comprising nanowire transistor devices as defined in this paragraph. Yet another embodiment provides a system including such an integrated circuit.

본 발명의 또 다른 실시예는 나노와이어 트랜지스터 디바이스를 제공한다. 이러한 예시적인 경우에, 나노와이어 트랜지스터 디바이스는 기판 상에 복수의 제 1 핀을 포함하고, 각각의 제 1 핀 기판으로부터 연장된다. 나노와이어 트랜지스터 디바이스는 각각의 제 1 핀 상의 제 1 유형의 다층 스택을 더 포함하고, 제 1 유형의 다층 스택의 각각은 하나 이상의 나노와이어를 갖는 채널 영역을 포함한다. 나노와이어 트랜지스터 디바이스는 기판 상에 복수의 제 2 핀을 더 포함하고, 각각의 제 2 핀은 제 1 핀보다 높게 기판으로부터 연장되며 채널 영역을 포함한다. 제 1 유형의 다층 스택의 하나 이상의 나노와이어는 제 2 핀 상이하게 구성된다. 일부 경우에, 나노와이어 트랜지스터 디바이스는 제 1 복수의 핀과 제 2 복수의 핀의 각각의 핀의 대향 측면 상에 얕은 트렌치 소자분리부를 포함할 수 있다. 일부 경우에, 제 1 유형의 다층 스택은 PMOS 또는 NMOS 중 하나를 위해 구성되고, 제 2 유형의 다층 스택은 PMOS 또는 NMOS 중 나머지 하나를 위해 구성된다. 일부 경우에, 나노와이어 트랜지스터 디바이스는 게이트 스택과 소스/드레인 영역을 포함할 수 있다. 본 발명의 또 다른 실시예는 본 문단에서 다양하게 정의된 바와 같은 나노와이어 트랜지스터 디바이스를 포함하는 집적 회로를 제공한다. 또 다른 실시예는 그러한 집적 회로를 포함하는 시스템을 제공한다.Yet another embodiment of the present invention provides a nanowire transistor device. In this exemplary case, the nanowire transistor device comprises a plurality of first pins on a substrate and extends from each first pin substrate. The nanowire transistor device further comprises a multi-layer stack of a first type on each first pin, and each of the multi-layer stacks of the first type comprises a channel region having one or more nanowires. The nanowire transistor device further comprises a plurality of second pins on the substrate, each second pin extending from the substrate higher than the first pin and comprising a channel region. The one or more nanowires of the first type of multilayer stack are configured to be different from the second pin. In some cases, the nanowire transistor device may include shallow trench isolation portions on opposite sides of respective fins of the first plurality of pins and the second plurality of pins. In some cases, a multi-layer stack of the first type is configured for one of the PMOS or NMOS, and a multi-layer stack of the second type is configured for the other one of the PMOS or NMOS. In some cases, the nanowire transistor device may include a gate stack and a source / drain region. Yet another embodiment of the present invention provides an integrated circuit comprising nanowire transistor devices as defined in this paragraph. Yet another embodiment provides a system including such an integrated circuit.

본 발명의 예시적인 실시예의 전술한 설명은 예시 및 설명을 위해 제시되었다. 완전하거나 본 발명을 개시된 정확한 형태로 제한하도록 의도되지 않는다. 본 개시에 비추어 많은 변경 및 변형이 가능하다. 본 발명의 범위는 이러한 상세한 설명에 의해 제한되지 않고 본 명세서에 첨부된 특허청구범위에 의해 제한되어야 한다는 점이 의도된다.The foregoing description of exemplary embodiments of the present invention has been presented for purposes of illustration and description. And is not intended to be exhaustive or to limit the invention to the precise form disclosed. Many variations and modifications are possible in light of the present disclosure. It is intended that the scope of the invention be limited not by this detailed description, but rather by the claims appended hereto.

Claims (28)

나노와이어 트랜지스터 구조체를 형성하기 위한 방법으로서,
기판 상에 복수의 핀(fin)을 형성하는 단계 - 각각의 핀은 상기 기판으로부터 연장됨 - 와,
각각의 핀의 대향 측면 상에 얕은 트렌치 소자분리부(shallow trench isolation)를 형성하는 단계와,
제 1 비마스킹 희생 핀 세트(a first set of unmasked sacrificial fins)를 남기도록 상기 핀 중 제 1 핀 세트를 마스킹하는 단계와,
제 1 리세스 세트(a first set of recesses)를 제공하도록 상기 제 1 비마스킹 희생 핀 세트를 리세싱(recessing)하는 단계와,
상기 제 1 리세스 세트의 각각의 리세스 내에 제 1 유형의 다층 스택을 형성하는 단계 - 상기 제 1 유형의 다층 스택의 각각은 제 1 재료 층 및 제 2 재료 층을 포함하되, 상기 제 1 재료 층은 제 1 수평면 내에 있고 상기 제 2 재료 층은 상기 트랜지스터 구조체의 채널 나노와이어(a channel nanowire) 내로 형성됨 - 와,
제 2 비마스킹 희생 핀 세트를 남기도록 상기 제 1 유형의 다층 스택의 각각을 마스킹하는 단계와,
제 2 리세스 세트를 제공하도록 상기 제 2 비마스킹 희생 핀 세트를 리세싱하는 단계와,
상기 제 2 리세스 세트의 각각의 리세스 내에 제 2 유형의 다층 스택을 형성하는 단계 - 상기 제 2 유형의 다층 스택의 각각은 제 1 재료 층 및 제 2 재료 층을 포함하되, 상기 제 1 재료 층은 상기 제 1 수평면 내에 있고 상기 제 2 재료 층은 상기 트랜지스터 구조체의 채널 나노와이어 내로 형성됨 - 를 포함하되,
상기 제 1 유형의 다층 스택의 상기 제 1 재료 층은 상기 제 2 유형의 다층 스택의 상기 제 1 재료 층과 상이한 두께로 구성되는
나노와이어 트랜지스터 구조체를 형성하기 위한 방법.
A method for forming a nanowire transistor structure,
Forming a plurality of fins on a substrate, each fin extending from the substrate;
Forming shallow trench isolation on opposite sides of each fin,
Masking the first set of pins of the pin to leave a first set of unmasked sacrificial fins,
Recessing the first set of non-masking sacrificial pins to provide a first set of recesses,
Forming a multi-layer stack of a first type in each recess of the first set of recesses, wherein each of the multi-layer stacks of the first type comprises a first material layer and a second material layer, Layer is in a first horizontal plane and the second material layer is formed in a channel nanowire of the transistor structure,
Masking each of the first type of multi-layer stacks to leave a second set of non-masking sacrificial pins,
Masking the second set of non-masking sacrifices to provide a second set of recesses,
Forming a second type of multi-layer stack in each recess of the second set of recesses, each of the multi-layer stacks of the second type comprising a first material layer and a second material layer, Layer is in the first horizontal plane and the second material layer is formed in the channel nanowire of the transistor structure,
Wherein the first material layer of the first type of multilayer stack is of a different thickness than the first material layer of the second type of multilayer stack
A method for forming a nanowire transistor structure.
제 1 항에 있어서,
상기 제 1 유형의 다층 스택의 각각을 평탄화하는 단계를 더 포함하는
나노와이어 트랜지스터 구조체를 형성하기 위한 방법.
The method according to claim 1,
Further comprising planarizing each of the first type of multi-layer stacks
A method for forming a nanowire transistor structure.
제 2 항에 있어서,
상기 제 1 유형의 다층 스택의 각각을 평탄화하는 단계는 상기 제 1 핀 세트 위의 마스킹 재료를 제거하는 단계를 포함하는
나노와이어 트랜지스터 구조체를 형성하기 위한 방법.
3. The method of claim 2,
Wherein planarizing each of the first type of multi-layer stacks comprises removing masking material on the first set of pins
A method for forming a nanowire transistor structure.
제 1 항에 있어서,
상기 제 1 유형의 다층 스택과 상기 제 2 유형의 다층 스택은 각각 나노와이어로 형성되는 층과 희생 층으로 구성되고, 적어도 하나의 공통 수평면이 상기 제 1 유형의 적어도 하나의 다층 스택과 상기 제 2 유형의 적어도 하나의 다층 스택의 각각의 나노와이어 층을 관통(cut through)하는
나노와이어 트랜지스터 구조체를 형성하기 위한 방법.
The method according to claim 1,
Wherein the first type of multilayer stack and the second type of multilayer stack each comprise a layer formed of nanowires and a sacrificial layer, and at least one common horizontal plane is formed of at least one multilayer stack of the first type and the second Layer through the nanowire layer of each of the at least one multi-layer stack of type &lt; RTI ID = 0.0 &gt;
A method for forming a nanowire transistor structure.
제 1 항에 있어서,
상기 제 1 유형의 다층 스택과 상기 제 2 유형의 다층 스택은 각각 나노와이어로 형성되는 층과 희생 층으로 구성되고, 상기 제 1 유형의 다층 스택과 상기 제 2 유형의 다층 스택의 각각의 나노와이어 층을 관통하는 공통 수평면이 존재하지 않는
나노와이어 트랜지스터 구조체를 형성하기 위한 방법.
The method according to claim 1,
Wherein the first type of multilayer stack and the second type of multilayer stack each comprise a layer formed of a nanowire and a sacrificial layer, each of the nanowires of the first type of multilayer stack and the second type of multilayer stack, There is no common horizontal plane passing through the layer
A method for forming a nanowire transistor structure.
제 1 항에 있어서,
상기 제 1 유형의 다층 스택은 PMOS 또는 NMOS 중 하나를 위해 구성되고, 상기 제 2 유형의 다층 스택은 PMOS 또는 NMOS 중 나머지 하나를 위해 구성되는
나노와이어 트랜지스터 구조체를 형성하기 위한 방법.
The method according to claim 1,
Wherein the first type of multilayer stack is configured for one of PMOS or NMOS and the second type of multilayer stack is configured for the other of PMOS or NMOS
A method for forming a nanowire transistor structure.
제 1 항에 있어서,
상기 제 2 유형의 다층 스택의 각각을 평탄화하는 단계를 더 포함하는
나노와이어 트랜지스터 구조체를 형성하기 위한 방법.
The method according to claim 1,
Further comprising planarizing each of the second type multi-layer stacks
A method for forming a nanowire transistor structure.
제 7 항에 있어서,
상기 제 2 유형의 다층 스택의 각각을 평탄화하는 단계는 상기 제 1 유형의 다층 스택 위의 마스킹 재료를 제거하는 단계를 포함하는
나노와이어 트랜지스터 구조체를 형성하기 위한 방법.
8. The method of claim 7,
Wherein planarizing each of the second type of multilayer stacks comprises removing masking material on the multilayer stack of the first type
A method for forming a nanowire transistor structure.
제 1 항에 있어서,
상기 제 1 유형의 다층 스택의 측벽을 노출시키도록 상기 기판 상에 얕은 트렌치 소자분리부를 리세싱하는 단계와,
상기 제 1 유형의 다층 스택 위에 더미(dummy) 게이트 구조체를 형성하는 단계와,
상기 더미 게이트 구조체의 양측으로 상기 제 1 유형의 다층 스택 위에 추가 절연체 층을 형성하는 단계와,
상기 더미 게이트 구조체의 상부에 대해 상기 추가 절연체 층을 평탄화하는 단계
중 적어도 하나를 더 포함하는
나노와이어 트랜지스터 구조체를 형성하기 위한 방법.
The method according to claim 1,
Recessing a shallow trench isolation portion on the substrate to expose side walls of the first type of multi-layer stack,
Forming a dummy gate structure over the multi-layer stack of the first type;
Forming an additional insulator layer on either side of the dummy gate structure over the multi-layer stack of the first type;
Planarizing the additional insulator layer with respect to an upper portion of the dummy gate structure
Lt; RTI ID = 0.0 &gt;
A method for forming a nanowire transistor structure.
제 9 항에 있어서,
상기 기판 상에 형성되고 제 2 유형의 트랜지스터 디바이스를 위해 사용될 비마스킹 다층 스택 세트를 남기도록, 상기 기판 상에 형성되고 제 1 유형의 트랜지스터 디바이스를 위해 사용될 핀 및/또는 다층 스택 세트를 마스킹하는 단계와,
상기 제 2 유형의 트랜지스터 디바이스를 위해 사용될 상기 비마스킹 다층 스택 세트의 각각의 다층 스택의 제 1 채널 영역을 노출시키도록 상기 더미 게이트 구조체의 마스킹되지 않은 부분을 에칭하는 단계와,
상기 제 2 유형의 트랜지스터 디바이스의 각각을 위한 하나 이상의 채널 나노와이어를 제공하도록 각각의 제 1 채널 영역으로부터 희생 인터-와이어 재료를 에칭하여 제거하는 단계를 더 포함하는
나노와이어 트랜지스터 구조체를 형성하기 위한 방법.
10. The method of claim 9,
Masking a pin and / or multi-layer stack set formed on the substrate and used for the first type of transistor device to leave a non-masking multilayer stack set formed on the substrate and to be used for a second type of transistor device Wow,
Etching an unmasked portion of the dummy gate structure to expose a first channel region of each multilayer stack of the non-masking multilayer stack set to be used for the second type of transistor device;
Further comprising etching and removing the sacrificial inter-wire material from each first channel region to provide one or more channel nanowires for each of the second type of transistor devices
A method for forming a nanowire transistor structure.
제 10 항에 있어서,
상기 제 1 채널 영역을 덮도록 상기 더미 게이트 구조체를 재충진하는 단계와,
상기 제 1 유형의 트랜지스터 디바이스를 위해 사용될 제 1 핀 및/또는 다층 스택 세트 위의 마스킹 재료를 제거하도록 상기 재충진된 더미 게이트 구조체를 평탄화하는 단계
중 적어도 하나를 더 포함하는
나노와이어 트랜지스터 구조체를 형성하기 위한 방법.
11. The method of claim 10,
Refilling the dummy gate structure to cover the first channel region;
Planarizing the refilled dummy gate structure to remove masking material on the first pin and / or the multi-layer stack set to be used for the first type of transistor device
Lt; RTI ID = 0.0 &gt;
A method for forming a nanowire transistor structure.
제 10 항에 있어서,
상기 기판 상에 형성되고 상기 제 1 유형의 트랜지스터 디바이스를 위해 사용될 핀 및/또는 다층 스택 각각의 제 2 채널 영역을 노출시키도록 잔류 더미 게이트 구조체를 에칭하는 단계를 더 포함하는
나노와이어 트랜지스터 구조체를 형성하기 위한 방법.
11. The method of claim 10,
Etching the remaining dummy gate structure to expose a second channel region of each of the pins and / or multilayer stacks formed on the substrate and to be used for the first type of transistor device
A method for forming a nanowire transistor structure.
제 12 항에 있어서,
상기 제 2 채널 영역은 다층 스택으로 이루어지고,
상기 방법은
상기 제 1 유형의 트랜지스터 디바이스의 하나 이상의 채널 나노와이어를 제공하도록 각각의 제 2 채널 영역으로부터 희생 인터-와이어 재료를 에칭하여 제거하는 단계를 더 포함하는
나노와이어 트랜지스터 구조체를 형성하기 위한 방법.
13. The method of claim 12,
Wherein the second channel region comprises a multilayer stack,
The method
Further comprising etching and removing the sacrificial inter-wire material from each second channel region to provide one or more channel nanowires of the first type of transistor device
A method for forming a nanowire transistor structure.
제 12 항에 있어서,
상기 제 2 채널 영역은 핀으로 이루어지고 다층 스택으로 이루어지지 않는
나노와이어 트랜지스터 구조체를 형성하기 위한 방법.
13. The method of claim 12,
Wherein the second channel region comprises a fin and is not a multilayer stack
A method for forming a nanowire transistor structure.
제 10 항에 있어서,
상기 제 1 유형의 트랜지스터 디바이스 및 상기 제 2 유형의 트랜지스터 디바이스 각각을 위해 게이트 스택을 형성하는 단계와,
상기 제 1 유형의 트랜지스터 디바이스 및 상기 제 2 유형의 트랜지스터 디바이스 각각을 위한 소스/드레인 영역을 형성하는 단계를 더 포함하는
나노와이어 트랜지스터 구조체를 형성하기 위한 방법.
11. The method of claim 10,
Forming a gate stack for each of the first type transistor device and the second type transistor device,
Further comprising forming a source / drain region for each of the first type of transistor device and the second type of transistor device
A method for forming a nanowire transistor structure.
제 1 항에 따른 나노와이어 트랜지스터 구조체를 형성하기 위한 방법에 의해 형성되는 집적 회로.
An integrated circuit formed by a method for forming a nanowire transistor structure according to claim 1.
나노와이어 트랜지스터 디바이스로서,
기판 상의 제 1 복수의 핀 - 상기 제 1 복수의 핀 중 각각의 핀은 상기 기판으로부터 연장됨 - 과,
상기 제 1 복수의 핀 중 각각의 핀 상의 제 1 유형의 다층 스택 - 상기 제 1 유형의 다층 스택의 각각은 제 1 재료 층 및 제 2 재료 층을 포함하되, 상기 제 1 재료 층은 제 1 수평면 내에 있고 상기 제 2 재료 층은 채널 나노와이어를 포함함 - 과,
상기 기판 상의 제 2 복수의 핀 - 상기 제 2 복수의 핀 중 각각의 핀은 상기 기판으로부터 연장됨 - 과,
상기 제 2 복수의 핀 중 각각의 핀 상의 제 2 유형의 다층 스택 - 상기 제 2 유형의 다층 스택의 각각은 제 1 재료 층 및 제 2 재료 층을 포함하되, 상기 제 1 재료 층은 상기 제 1 수평면 내에 있고 상기 제 2 재료 층은 채널 나노와이어를 포함함 - 을 포함하되,
상기 제 1 유형의 다층 스택의 상기 제 1 재료 층은 상기 제 2 유형의 다층 스택의 상기 제 1 재료 층과 상이한 두께로 구성되는
나노와이어 트랜지스터 디바이스.
As a nanowire transistor device,
A first plurality of pins on the substrate, each of the first plurality of pins extending from the substrate;
A multi-layer stack of a first type on each pin of the first plurality of pins, each of the multi-layer stacks of the first type comprising a first material layer and a second material layer, And wherein the second material layer comprises channel nanowires,
A second plurality of pins on the substrate, each pin of the second plurality of pins extending from the substrate;
A second type multi-layer stack on each pin of the second plurality of pins, each of the multi-layer stacks of the second type comprising a first material layer and a second material layer, Wherein the first material layer is in a horizontal plane and the second material layer comprises channel nanowires,
Wherein the first material layer of the first type of multilayer stack is of a different thickness than the first material layer of the second type of multilayer stack
Nanowire transistor devices.
제 17 항에 있어서,
상기 제 1 복수의 핀과 상기 제 2 복수의 핀 중 각각의 핀의 대향 측면 상에 얕은 트렌치 소자분리부를 더 포함하는
나노와이어 트랜지스터 디바이스.
18. The method of claim 17,
Further comprising a shallow trench isolation portion on opposite sides of each of the first plurality of pins and the second plurality of fins
Nanowire transistor devices.
제 17 항에 있어서,
적어도 하나의 공통 수평면이 상기 제 1 유형의 적어도 하나의 다층 스택과 상기 제 2 유형의 적어도 하나의 다층 스택의 각각의 나노와이어를 관통하는
나노와이어 트랜지스터 디바이스.
18. The method of claim 17,
At least one common horizontal plane passing through at least one multilayer stack of the first type and each nanowire of the at least one multilayer stack of the second type
Nanowire transistor devices.
제 17 항에 있어서,
상기 제 1 유형의 다층 스택과 상기 제 2 유형의 다층 스택 모두의 각각의 나노와이어를 관통하는 공통 수평면이 존재하지 않는
나노와이어 트랜지스터 디바이스.
18. The method of claim 17,
Wherein a common horizontal plane through each nanowire of both the first type of multilayer stack and the second type of multilayer stack is absent
Nanowire transistor devices.
제 17 항에 있어서,
상기 제 1 유형의 다층 스택은 PMOS 또는 NMOS 중 하나를 위해 구성되고, 상기 제 2 유형의 다층 스택은 PMOS 또는 NMOS 중 나머지 하나를 위해 구성되는
나노와이어 트랜지스터 디바이스.
18. The method of claim 17,
Wherein the first type of multilayer stack is configured for one of PMOS or NMOS and the second type of multilayer stack is configured for the other of PMOS or NMOS
Nanowire transistor devices.
제 17 항에 있어서,
상기 제 1 유형의 다층 스택 및 상기 제 2 유형의 다층 스택의 각각의 제 1 재료 층은 상기 채널 나노와이어의 채널 영역에서 제거되는 희생 층인
나노와이어 트랜지스터 디바이스.
18. The method of claim 17,
Wherein the first material layer of each of the first type of multi-layer stack and the second type of multi-layer stack is a sacrificial layer removed from a channel region of the channel nanowire
Nanowire transistor devices.
제 17 항에 있어서,
게이트 스택과,
소스/드레인 영역을 더 포함하는
나노와이어 트랜지스터 디바이스.
18. The method of claim 17,
A gate stack,
Further comprising a source / drain region
Nanowire transistor devices.
제 17 항의 나노와이어 트랜지스터 디바이스를 포함하는 집적 회로.
17. An integrated circuit comprising the nanowire transistor device of claim 17.
제 24 항의 집적 회로를 포함하는 시스템.
24. A system comprising the integrated circuit of claim 24.
나노와이어 트랜지스터 디바이스로서,
기판 상의 복수의 제 1 핀 - 각각의 제 1 핀은 상기 기판으로부터 연장됨 - 과,
각각의 제 1 핀 상의 제 1 유형의 다층 스택 - 상기 제 1 유형의 다층 스택의 각각은 하나 이상의 나노와이어를 가진 채널 영역을 포함함 - 과,
상기 기판 상의 복수의 제 2 핀 - 각각의 제 2 핀은 상기 제 1 핀보다 높게 상기 기판으로부터 연장되고 채널 영역을 포함함 - 과,
게이트 스택과,
소스/드레인 영역을 포함하되,
상기 제 1 유형의 다층 스택의 하나 이상의 나노와이어는, 층 두께, 극성(polarity), 도핑(doping), 조성(composition) 및 스트레인(strain) 중 적어도 하나에 있어서 상기 제 2 핀과 상이하게 구성(configure)되는
나노와이어 트랜지스터 디바이스.
As a nanowire transistor device,
A plurality of first pins on the substrate, each first pin extending from the substrate;
A multi-layer stack of a first type on each first pin, each of the multi-layer stacks of the first type comprising a channel region having one or more nanowires;
A plurality of second fins on the substrate each second pin extending from the substrate higher than the first pin and comprising a channel region;
A gate stack,
Source / drain regions,
Wherein at least one of the nanowires of the first type of multilayer stack is configured to differ from the second pin in at least one of layer thickness, polarity, doping, composition, and strain configure
Nanowire transistor devices.
제 26 항에 있어서,
각각의 제 1 핀과 제 2 핀의 대향 측면 상에 얕은 트렌치 소자분리부를 더 포함하는
나노와이어 트랜지스터 디바이스.
27. The method of claim 26,
Further comprising a shallow trench isolation on opposite sides of each first and second fin
Nanowire transistor devices.
제 26 항에 있어서,
상기 제 1 유형의 다층 스택은 PMOS 또는 NMOS 중 하나를 위해 구성되고, 상기 제 2 핀은 PMOS 또는 NMOS 중 나머지 하나를 위해 구성되는
나노와이어 트랜지스터 디바이스.
27. The method of claim 26,
Wherein the first type of multilayer stack is configured for one of PMOS or NMOS and the second pin is configured for the other of PMOS or NMOS
Nanowire transistor devices.
KR1020147036414A 2012-07-27 2013-06-21 Nanowire transistor devices and forming techniques KR101678405B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/560,531 2012-07-27
US13/560,531 US9012284B2 (en) 2011-12-23 2012-07-27 Nanowire transistor devices and forming techniques
PCT/US2013/047146 WO2014018201A1 (en) 2012-07-27 2013-06-21 Nanowire transistor devices and forming techniques

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020167031902A Division KR101958530B1 (en) 2012-07-27 2013-06-21 Nanowire transistor devices and forming techniques

Publications (2)

Publication Number Publication Date
KR20150022905A KR20150022905A (en) 2015-03-04
KR101678405B1 true KR101678405B1 (en) 2016-11-22

Family

ID=49997720

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020147036414A KR101678405B1 (en) 2012-07-27 2013-06-21 Nanowire transistor devices and forming techniques
KR1020167031902A KR101958530B1 (en) 2012-07-27 2013-06-21 Nanowire transistor devices and forming techniques

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020167031902A KR101958530B1 (en) 2012-07-27 2013-06-21 Nanowire transistor devices and forming techniques

Country Status (3)

Country Link
KR (2) KR101678405B1 (en)
TW (2) TWI538212B (en)
WO (1) WO2014018201A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220137168A (en) * 2017-12-04 2022-10-11 도쿄엘렉트론가부시키가이샤 Method for controlling transistor delay of nanowire or nanosheet transistor devices

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9171843B2 (en) 2013-08-02 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
WO2015147792A1 (en) * 2014-03-24 2015-10-01 Intel Corporation Integration methods to fabricate internal spacers for nanowire devices
CN106062963B (en) * 2014-03-28 2019-08-13 英特尔公司 Strain compensation in transistor
US10037397B2 (en) * 2014-06-23 2018-07-31 Synopsys, Inc. Memory cell including vertical transistors and horizontal nanowire bit lines
US9400862B2 (en) 2014-06-23 2016-07-26 Synopsys, Inc. Cells having transistors and interconnects including nanowires or 2D material strips
US9437699B2 (en) 2014-10-03 2016-09-06 Taiwan Semiconductor Manufacturing Company Limited Method of forming nanowires
WO2016105426A1 (en) * 2014-12-24 2016-06-30 Intel Corporation Ingaas epi structure and wet etch process for enabling iii-v gaa in art trench
TWI629790B (en) * 2015-01-26 2018-07-11 聯華電子股份有限公司 Semiconductor device and method for fabricating the same
EP3317900B1 (en) * 2015-06-27 2023-06-21 INTEL Corporation Ge nano wire transistor with gaas as the sacrificial layer
EP3112316B1 (en) 2015-07-02 2018-05-02 IMEC vzw Method for manufacturing transistor devices comprising multiple nanowire channels
EP3127862B1 (en) 2015-08-06 2018-04-18 IMEC vzw A method of manufacturing a gate-all-around nanowire device comprising two different nanowires
KR20170018718A (en) 2015-08-10 2017-02-20 삼성전자주식회사 Transparent electrode using amorphous alloy and method for manufacturing the same
EP3394898B1 (en) 2015-12-24 2023-09-20 Intel Corporation Methods of forming self aligned spacers for nanowire device structures
US9978833B2 (en) * 2016-03-11 2018-05-22 Samsung Electronics Co., Ltd. Methods for varied strain on nano-scale field effect transistor devices
WO2018063277A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Integrated circuit devices with non-collapsed fins and methods of treating the fins to prevent fin collapse
US10312229B2 (en) 2016-10-28 2019-06-04 Synopsys, Inc. Memory cells including vertical nanowire transistors
US10347742B2 (en) * 2016-11-14 2019-07-09 Tokyo Electron Limited Method of forming gate spacer for nanowire FET device
US10475902B2 (en) 2017-05-26 2019-11-12 Taiwan Semiconductor Manufacturing Co. Ltd. Spacers for nanowire-based integrated circuit device and method of fabricating same
CN110945656A (en) * 2017-08-17 2020-03-31 英特尔公司 Integrated nanowire and nanoribbon patterning in transistor fabrication
US11205715B2 (en) 2017-08-21 2021-12-21 Intel Corporation Self-aligned nanowire
US11257908B2 (en) * 2018-10-26 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with stacked semiconductor layers as channels
US11101359B2 (en) 2018-11-28 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-all-around (GAA) method and devices
US11545490B2 (en) * 2019-12-17 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11532720B2 (en) * 2020-04-29 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
EP4154318A1 (en) * 2020-06-25 2023-03-29 Huawei Technologies Co., Ltd. Producing gate-all-around devices on semiconductor wafer
CN112201692A (en) * 2020-09-30 2021-01-08 上海华力集成电路制造有限公司 Fully-enclosed grid fin field effect transistor and manufacturing method thereof
US11581410B2 (en) 2021-02-12 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080017934A1 (en) 2006-05-18 2008-01-24 Samsung Electronic Co., Ltd. Wire-type semiconductor devices and methods of fabricating the same
US20120007052A1 (en) 2010-07-07 2012-01-12 Sematech, Inc. Apparatus, System, and Method for Dual-Channel Nanowire FET Device
US20120138886A1 (en) 2010-12-01 2012-06-07 Kuhn Kelin J Silicon and silicon germanium nanowire structures

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7354831B2 (en) * 2005-08-08 2008-04-08 Freescale Semiconductor, Inc. Multi-channel transistor structure and method of making thereof
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7884004B2 (en) * 2009-02-04 2011-02-08 International Business Machines Corporation Maskless process for suspending and thinning nanowires
US7893492B2 (en) * 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
US8216902B2 (en) * 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US8420455B2 (en) * 2010-05-12 2013-04-16 International Business Machines Corporation Generation of multiple diameter nanowire field effect transistors

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080017934A1 (en) 2006-05-18 2008-01-24 Samsung Electronic Co., Ltd. Wire-type semiconductor devices and methods of fabricating the same
US20120007052A1 (en) 2010-07-07 2012-01-12 Sematech, Inc. Apparatus, System, and Method for Dual-Channel Nanowire FET Device
US20120138886A1 (en) 2010-12-01 2012-06-07 Kuhn Kelin J Silicon and silicon germanium nanowire structures

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220137168A (en) * 2017-12-04 2022-10-11 도쿄엘렉트론가부시키가이샤 Method for controlling transistor delay of nanowire or nanosheet transistor devices
KR102550501B1 (en) 2017-12-04 2023-06-30 도쿄엘렉트론가부시키가이샤 Method for controlling transistor delay of nanowire or nanosheet transistor devices

Also Published As

Publication number Publication date
TWI611584B (en) 2018-01-11
KR20150022905A (en) 2015-03-04
WO2014018201A1 (en) 2014-01-30
TWI538212B (en) 2016-06-11
TW201618309A (en) 2016-05-16
KR101958530B1 (en) 2019-03-14
TW201411846A (en) 2014-03-16
KR20160135842A (en) 2016-11-28

Similar Documents

Publication Publication Date Title
KR101678405B1 (en) Nanowire transistor devices and forming techniques
US9812524B2 (en) Nanowire transistor devices and forming techniques
US20220028747A1 (en) Self-aligned 3-d epitaxial structures for mos device fabrication
US9893149B2 (en) High mobility strained channels for fin-based transistors
TWI618127B (en) Non-planar semiconductor device having channel region with low band-gap cladding layer
TWI637508B (en) High mobility strained channels for fin-based nmos transistors
TWI540721B (en) Non-planar semiconductor devices having multi-layered compliant substrates
TW201626575A (en) Confined epitaxial regions for semiconductor devices and methods of fabricating semiconductor devices having confined epitaxial regions
CN110660799A (en) Base fin structure for stacked transistor integration

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
A107 Divisional application of patent
GRNT Written decision to grant