KR101628211B1 - Method for manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium - Google Patents

Method for manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium Download PDF

Info

Publication number
KR101628211B1
KR101628211B1 KR1020147008979A KR20147008979A KR101628211B1 KR 101628211 B1 KR101628211 B1 KR 101628211B1 KR 1020147008979 A KR1020147008979 A KR 1020147008979A KR 20147008979 A KR20147008979 A KR 20147008979A KR 101628211 B1 KR101628211 B1 KR 101628211B1
Authority
KR
South Korea
Prior art keywords
layer
gas
substrate
supplying
processing chamber
Prior art date
Application number
KR1020147008979A
Other languages
Korean (ko)
Other versions
KR20140066216A (en
Inventor
사토시 시마모토
요시로 히로세
아츠시 사노
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20140066216A publication Critical patent/KR20140066216A/en
Application granted granted Critical
Publication of KR101628211B1 publication Critical patent/KR101628211B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

처리실 내의 기판에 소정 원소 및 할로겐기를 포함하는 제1 원료 가스를 공급하는 공정과, 처리실 내의 기판에 소정 원소 및 아미노기를 포함하는 제2 원료 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해, 기판 상에 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정; 처리실 내의 압력을 제1 원료 가스, 제2 원료 가스 및 반응 가스를 공급할 때의 처리실 내의 압력보다 크게 하고, 처리실 내의 기판에 탄소, 질소 및 수소를 포함하는 아민계 원료 가스를 공급하는 것에 의해 제1층을 개질하여 제2층을 형성하는 공정; 및 처리실 내의 기판에 각각의 원료 가스들과는 다른 반응 가스를 공급하는 것에 의해 제2층을 개질하여 제3층을 형성하는 공정;을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 기판 상에 소정 원소를 포함하는 소정 조성의 박막을 형성한다.A step of supplying a first source gas containing a predetermined element and a halogen group to a substrate in the treatment chamber and a step of supplying a second source gas containing a predetermined element and an amino group to the substrate in the treatment chamber are alternately performed a predetermined number of times , A step of forming a first layer containing a predetermined element, nitrogen and carbon on the substrate; The pressure in the process chamber is made larger than the pressure in the process chamber when the first source gas, the second source gas and the reaction gas are supplied, and the amine source gas containing carbon, nitrogen and hydrogen is supplied to the substrate in the process chamber, Modifying the layer to form a second layer; And a step of forming a third layer by modifying the second layer by supplying a reaction gas different from each of the source gases to the substrate in the treatment chamber by performing a predetermined number of times, A thin film of a predetermined composition is formed.

Description

반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체{METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE, SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING APPARATUS, AND RECORDING MEDIUM}BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to a semiconductor device manufacturing method, a substrate processing method, a substrate processing apparatus,

본 발명은 기판 상에 박막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체에 관한 것이다.The present invention relates to a semiconductor device manufacturing method, a substrate processing method, a substrate processing apparatus, and a recording medium including a step of forming a thin film on a substrate.

트랜지스터의 미세화와 함께, 게이트 전극의 사이드 월 스페이서(SWS) 등을 구성하는 절연막 등의 박막에 대하여 저온 성막, 저유전율, 저HF 웨트 에칭 레이트의 요구가 높아지고 있다. 이들의 요구를 만족시키기 위해서 실리콘 질화막(SiN막)에 탄소(C)를 첨가한 실리콘 탄질화막(SiCN막)이나, 또한 산소(O)를 첨가한 실리콘 산탄질화막(SiOCN막) 등이 절연막으로서 이용되고 있다. 이들의 절연막은 높은 스텝 커버리지 특성이 요구되기 때문에 처리 가스를 교호(交互)적으로 공급하는 교호 공급법에 의해 형성되는 경우가 많다(예컨대 특허문헌 1 참조).The requirements for a low-temperature film, a low dielectric constant, and a low HF wet etching rate are increasing for a thin film such as an insulating film constituting the side wall spacer (SWS) of the gate electrode or the like along with miniaturization of the transistor. A silicon carbonitride film (SiCN film) in which carbon (C) is added to a silicon nitride film (SiN film) or a silicon oxynitride film (SiOCN film) in which oxygen (O) is added is used as an insulating film . Since these insulating films are required to have high step coverage characteristics, they are often formed by an alternate feeding method in which processing gases are alternately supplied (see, for example, Patent Document 1).

1. 일본 특개 2011-192875공보1. Japanese Patent Laid-Open Publication No. 2011-192875

전술의 SiCN막이나 SiOCN막 등의 절연막에 대하여 불산(HF)에 대한 내성을 더 향상시키거나 유전율을 더 저하시키기 위해서는 막 중의 질소 농도를 감소시키고 탄소 농도를 증가시키거나, 산소 농도를 증가시키는 것이 유효하다. 하지만 종래의 교호 공급법으로는 예컨대 탄소 농도가 질소 농도를 초과할 수 있는 박막을 형성하는 것은 용이하지 않았다. 또한 박막 중의 탄소 농도, 질소 농도를 적절하게 제어하는 것은 용이하지 않았다. 또한 사이드 월 스페이서 등을 구성하는 절연막을 형성할 때에는 성막 온도의 저온화가 요구되지만, 종래의 교호 공급법에서의 성막 온도는 600℃ 전후이며, 예컨대 550℃ 이하의 저온 영역에서 전술한 절연막 등의 박막을 형성하는 것은 용이하지 않았다.In order to further improve the resistance to hydrofluoric acid (HF) or decrease the dielectric constant of the insulating film such as the above-mentioned SiCN film or SiOCN film, it is necessary to decrease the nitrogen concentration in the film, increase the carbon concentration, or increase the oxygen concentration Valid. However, it has not been easy to form a thin film which can have a carbon concentration exceeding the nitrogen concentration, for example, by the conventional alternate feeding method. Also, it is not easy to appropriately control the carbon concentration and the nitrogen concentration in the thin film. When forming the insulating film constituting the sidewall spacer or the like, it is required to lower the film forming temperature. However, the film forming temperature in the conventional alternate feeding method is about 600 占 폚. In the low temperature region of, for example, 550 占 폚 or less, Was not easy to form.

따라서 본 발명의 목적은 저온 영역에서 막 중 조성을 제어하여 양질의 박막을 형성할 수 있는 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체를 제공하는 데 있다.Accordingly, an object of the present invention is to provide a method of manufacturing a semiconductor device, a substrate processing method, a substrate processing apparatus, and a recording medium, which can form a high-quality thin film by controlling the composition of the film in a low temperature region.

본 발명의 일 형태에 의하면,According to one aspect of the present invention,

처리실 내의 기판에 소정 원소 및 할로겐기(基)를 포함하는 제1 원료 가스를 공급하는 공정과, 상기 처리실 내의 상기 기판에 상기 소정 원소 및 아미노기를 포함하는 제2 원료 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;A step of supplying a first raw material gas containing a predetermined element and a halogen group to a substrate in a treatment chamber and a step of supplying a second raw material gas containing the predetermined element and an amino group to the substrate in the treatment chamber, Forming a first layer containing the predetermined element, nitrogen and carbon on the substrate by performing a predetermined number of times with the first element and the second element;

상기 처리실 내의 압력을 상기 제1 원료 가스, 상기 제2 원료 가스 및 반응 가스를 공급할 때의 상기 처리실 내의 압력보다 크게 하고, 상기 처리실 내의 상기 기판에 탄소, 질소 및 수소를 포함하는 아민계 원료 가스를 공급하는 것에 의해 상기 제1층을 개질하여 상기 제1층에 포함되는 질소의 비율보다 높은 비율의 질소 및 상기 제1층에 포함되는 탄소의 비율보다 높은 비율의 탄소를 포함하는 제2층을 형성하는 공정; 및The pressure in the processing chamber is made larger than the pressure in the processing chamber when the first source gas, the second source gas, and the reaction gas are supplied, and an amine source gas containing carbon, nitrogen, and hydrogen is introduced into the processing chamber The first layer is modified to form a second layer containing a higher proportion of nitrogen than the proportion of nitrogen contained in the first layer and a higher proportion of carbon than the proportion of carbon contained in the first layer ; And

상기 처리실 내의 상기 기판에 상기 각 원료 가스와는 다른 상기 반응 가스를 공급하는 것에 의해 상기 제2층을 개질하여 제3층을 형성하는 공정;Forming a third layer by reforming the second layer by supplying the reaction gas different from the respective source gases to the substrate in the treatment chamber;

을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 소정 조성의 박막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.A step of forming a thin film of a predetermined composition including the predetermined element on the substrate by performing a cycle a predetermined number of times.

본 발명의 다른 형태에 의하면,According to another aspect of the present invention,

처리실 내의 기판에 소정 원소 및 할로겐기를 포함하는 제1 원료 가스를 공급하는 공정과, 상기 처리실 내의 상기 기판에 상기 소정 원소 및 아미노기를 포함하는 제2 원료 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;A step of supplying a first source gas containing a predetermined element and a halogen group to a substrate in a treatment chamber and a step of supplying a second source gas containing the predetermined element and an amino group to the substrate in the treatment chamber are alternately performed a predetermined number of times Thereby forming a first layer containing the predetermined element, nitrogen and carbon on the substrate;

상기 처리실 내의 압력을 상기 제1 원료 가스, 상기 제2 원료 가스 및 반응 가스를 공급할 때의 상기 처리실 내의 압력보다 크게 하고, 상기 처리실 내의 상기 기판에 탄소, 질소 및 수소를 포함하는 아민계 원료 가스를 공급하는 것에 의해 상기 제1층을 개질하여 상기 제1층에 포함되는 질소의 비율보다 높은 비율의 질소 및 상기 제1층에 포함되는 탄소의 비율보다 높은 비율의 탄소를 포함하는 제2층을 형성하는 공정; 및The pressure in the processing chamber is made larger than the pressure in the processing chamber when the first source gas, the second source gas, and the reaction gas are supplied, and an amine source gas containing carbon, nitrogen, and hydrogen is introduced into the processing chamber The first layer is modified to form a second layer containing a higher proportion of nitrogen than the proportion of nitrogen contained in the first layer and a higher proportion of carbon than the proportion of carbon contained in the first layer ; And

상기 처리실 내의 상기 기판에 상기 각 원료 가스와는 다른 상기 반응 가스를 공급하는 것에 의해 상기 제2층을 개질하여 제3층을 형성하는 공정;Forming a third layer by reforming the second layer by supplying the reaction gas different from the respective source gases to the substrate in the treatment chamber;

을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 소정 조성의 박막을 형성하는 공정을 포함하는 기판 처리 방법이 제공된다.A step of forming a thin film of a predetermined composition including the predetermined element on the substrate by performing a cycle a predetermined number of times.

본 발명의 또 다른 형태에 의하면,According to another aspect of the present invention,

기판을 수용하는 처리실;A processing chamber for accommodating a substrate;

상기 처리실 내의 기판에 소정 원소 및 할로겐기를 포함하는 제1 원료 가스를 공급하는 제1 원료 가스 공급계;A first raw material gas supply system for supplying a first raw material gas containing a predetermined element and a halogen group to the substrate in the treatment chamber;

상기 처리실 내의 기판에 상기 소정 원소 및 아미노기를 포함하는 제2 원료 가스를 공급하는 제2 원료 가스 공급계;A second source gas supply system for supplying a second source gas containing the predetermined element and the amino group to the substrate in the treatment chamber;

상기 처리실 내의 기판에 탄소, 질소 및 수소를 포함하는 아민계 원료 가스를 공급하는 제3 원료 가스 공급계;A third source gas supply system for supplying an amine-based source gas containing carbon, nitrogen and hydrogen to the substrate in the treatment chamber;

상기 처리실 내의 기판에 상기 각 원료 가스와는 다른 반응 가스를 공급하는 반응 가스 공급계; 및A reaction gas supply system for supplying a reaction gas different from the respective source gases to the substrate in the processing chamber; And

상기 처리실 내의 상기 기판에 상기 제1 원료 가스를 공급하는 처리와 상기 처리실 내의 상기 기판에 상기 제2 원료 가스를 공급하는 처리를 교호적으로 소정 횟수 수행하는 것에 의해 상기 기판 상에 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 처리와, 상기 처리실 내의 압력을 상기 제1 원료 가스, 상기 제2 원료 가스 및 상기 반응 가스를 공급할 때의 상기 처리실 내의 압력보다 크게 하고 상기 처리실 내의 상기 기판에 상기 아민계 원료 가스를 공급하는 것에 의해 상기 제1층을 개질하여 상기 제1층에 포함되는 질소의 비율보다 높은 비율의 질소 및 상기 제1층에 포함되는 탄소의 비율보다 높은 비율의 탄소를 포함하는 제2층을 형성하는 처리와, 상기 처리실 내의 상기 기판에 상기 반응 가스를 공급하는 것에 의해 상기 제2층을 개질하여 제3층을 형성하는 처리를 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소를 포함하는 소정 조성의 박막을 형성하도록 상기 제1 원료 가스 공급계, 상기 제2 원료 가스 공급계, 상기 제3 원료 가스 공급계 및 상기 반응 가스 공급계를 제어하는 제어부;A process for supplying the first source gas to the substrate in the process chamber and a process for supplying the second source gas to the substrate in the process chamber are alternately performed a predetermined number of times to form the predetermined element, And forming a first layer containing carbon on the surface of the substrate in the process chamber; and a process of forming a first layer containing carbon and a pressure in the process chamber, wherein the pressure in the process chamber is larger than the pressure in the process chamber when supplying the first source gas, the second source gas, The first layer is modified to supply the amine-based source gas to a portion of the first layer in which the ratio of nitrogen to nitrogen contained in the first layer is higher than that of nitrogen contained in the first layer, Forming a second layer including the second layer on the substrate, and supplying the reaction gas to the substrate in the processing chamber to modify the second layer And forming a thin film of a predetermined composition including the predetermined element on the substrate by performing a cycle including a process of forming a third layer by a predetermined number of times, A control unit for controlling the supply system, the third source gas supply system, and the reaction gas supply system;

를 포함하는 기판 처리 장치가 제공된다.And a substrate processing apparatus.

본 발명의 또 다른 형태에 의하면,According to another aspect of the present invention,

기판 처리 장치의 처리실 내의 기판에 소정 원소 및 할로겐기를 포함하는 제1 원료 가스를 공급하는 순서와, 상기 처리실 내의 상기 기판에 상기 소정 원소 및 아미노기를 포함하는 제2 원료 가스를 공급하는 순서를 교호적으로 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 순서;A step of supplying a first raw material gas containing a predetermined element and a halogen group to a substrate in a processing chamber of the substrate processing apparatus and a step of supplying a second raw material gas containing the predetermined element and an amino group to the substrate in the processing chamber, A step of forming a first layer containing the predetermined element, nitrogen and carbon on the substrate by performing a predetermined number of times;

상기 처리실 내의 압력을 상기 제1 원료 가스, 상기 제2 원료 가스 및 반응 가스를 공급할 때의 상기 처리실 내의 압력보다 크게 하고, 상기 처리실 내의 상기 기판에 탄소, 질소 및 수소를 포함하는 아민계 원료 가스를 공급하는 것에 의해 상기 제1층을 개질하여 상기 제1층에 포함되는 질소의 비율보다 높은 비율의 질소 및 상기 제1층에 포함되는 탄소의 비율보다 높은 비율의 탄소를 포함하는 제2층을 형성하는 순서; 및The pressure in the processing chamber is made larger than the pressure in the processing chamber when the first source gas, the second source gas, and the reaction gas are supplied, and an amine source gas containing carbon, nitrogen, and hydrogen is introduced into the processing chamber The first layer is modified to form a second layer containing a higher proportion of nitrogen than the proportion of nitrogen contained in the first layer and a higher proportion of carbon than the proportion of carbon contained in the first layer Order; And

상기 처리실 내의 상기 기판에 상기 각 원료 가스와는 다른 상기 반응 가스를 공급하는 것에 의해 상기 제2층을 개질하여 제3층을 형성하는 순서;Forming a third layer by reforming the second layer by supplying the reaction gas different from the respective source gases to the substrate in the treatment chamber;

를 포함하는 사이클을 소정 횟수 수행하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 소정 조성의 박막을 형성하는 순서를 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.There is provided a computer-readable recording medium recording a program that causes a computer to execute a procedure of forming a thin film of a predetermined composition including the predetermined element on a substrate by performing a cycle a predetermined number of times.

본 발명에 의하면, 저온 영역에서 막 중 조성을 제어하여 양질의 박막을 형성할 수 있는 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체를 제공할 수 있다.According to the present invention, it is possible to provide a semiconductor device manufacturing method, a substrate processing method, a substrate processing apparatus, and a recording medium, which can form a high quality thin film by controlling the film composition in a low temperature region.

도 1은 본 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형(縱型) 처리로의 개략 구성도이며, 처리로 부분을 종(縱)단면도로 도시하는 도면.
도 2는 본 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로 부분을 도 1의 A-A선 단면도로 도시하는 도면.
도 3은 본 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 컨트롤러의 개략 구성도.
도 4는 본 실시 형태의 제1 시퀀스에서의 성막 플로우의 예를 도시하는 도면.
도 5는 본 실시 형태의 제2 시퀀스에서의 성막 플로우의 예를 도시하는 도면.
도 6은 본 실시 형태의 제3 시퀀스에서의 성막 플로우의 예를 도시하는 도면.
도 7은 본 실시 형태의 제1 시퀀스에서의 가스 공급의 타이밍의 예를 도시하는 도면.
도 8은 본 실시 형태의 제2 시퀀스에서의 가스 공급의 타이밍의 예를 도시하는 도면.
도 9는 본 실시 형태의 제3 시퀀스에서의 가스 공급의 타이밍의 예를 도시하는 도면이며, 도 9의 (a)는 플라즈마를 이용하지 않고(논 플라즈마로) 성막을 수행하는 시퀀스예를 도시하고, 도 9의 (b)는 플라즈마를 이용하여 성막을 수행하는 시퀀스예를 도시하는 도면.
BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 is a schematic configuration diagram of a vertical type processing of a substrate processing apparatus preferably used in the present embodiment, and showing a processing furnace portion in a cross-sectional view. Fig.
Fig. 2 is a schematic configuration diagram of a vertical processing furnace of a substrate processing apparatus preferably used in the present embodiment, and shows a processing furnace portion as a sectional view taken along line AA of Fig.
3 is a schematic configuration view of a controller of a substrate processing apparatus preferably used in the present embodiment.
4 is a diagram showing an example of the film formation flow in the first sequence of this embodiment.
5 is a diagram showing an example of the film formation flow in the second sequence of the present embodiment.
6 is a diagram showing an example of the film formation flow in the third sequence of the present embodiment.
7 is a diagram showing an example of timing of gas supply in the first sequence of the present embodiment;
8 is a diagram showing an example of timing of gas supply in the second sequence of the present embodiment;
Fig. 9 is a diagram showing an example of the timing of gas supply in the third sequence of the present embodiment. Fig. 9 (a) shows a sequence example in which film formation is performed without using plasma , And FIG. 9 (b) is a diagram showing a sequence example in which film formation is performed using plasma.

이하에 본 발명의 실시 형태를 도면에 기초하여 설명한다.BEST MODE FOR CARRYING OUT THE INVENTION Hereinafter, embodiments of the present invention will be described with reference to the drawings.

도 1은 본 실시 형태에서 바람직하게 이용되는 기판 처리 장치의 종형 처리로의 개략 구성도이며, 처리로(202) 부분을 종단면도로 도시한다. 또한 도 2는 본 실시 형태에서 바람직하게 이용되는 종형 처리로의 개략 구성도이며, 처리로(202) 부분을 도 1의 A-A선 단면도로 도시한다. 또한 본 발명은 본 실시 형태에 따른 기판 처리 장치에 한정되지 않고, 매엽식(枚葉式), Hot Wall형, Cold Wall형의 처리로를 포함하는 기판 처리 장치에도 바람직하게 적용할 수 있다.Fig. 1 is a schematic configuration diagram of a vertical processing furnace of a substrate processing apparatus preferably used in the present embodiment, and shows a part of the processing furnace 202 as a longitudinal sectional view. 2 is a schematic configuration diagram of a vertical treatment furnace preferably used in the present embodiment, and a portion of the treatment furnace 202 is shown by a cross-sectional view along line A-A in Fig. Further, the present invention is not limited to the substrate processing apparatus according to the present embodiment, but can be suitably applied to a substrate processing apparatus including a single row type, a hot wall type, and a cold wall type processing furnace.

도 1에 도시되는 바와 같이 처리로(202)는 가열 수단(가열 기구)으로서의 히터(207)를 포함한다. 히터(207)는 원통 형상이며, 보지판(保持板)으로서의 히터 베이스(도시되지 않음)에 지지되는 것에 의해 수직으로 설치된다. 또한 히터(207)는 후술하는 바와 같이 가스를 열로 활성화(여기)시키는 활성화 기구(여기부)로서도 기능한다.As shown in Fig. 1, the processing furnace 202 includes a heater 207 as a heating means (heating mechanism). The heater 207 has a cylindrical shape and is vertically installed by being supported by a heater base (not shown) as a holding plate. The heater 207 also functions as an activation mechanism (excitation portion) for activating (exciting) the gas by heat as described later.

히터(207)의 내측에는 히터(207)와 동심원 형상으로 반응 용기(처리 용기)를 구성하는 반응관(203)이 배설(配設)된다. 반응관(203)은 예컨대 석영(SiO2) 또는 탄화실리콘(SiC) 등의 내열성 재료로 이루어지고, 상단이 폐색되고 하단이 개구(開口)된 원통 형상으로 형성된다. 반응관(203)의 통중공부(筒中空部)에는 처리실(201)이 형성되고, 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해 수평 자세로 수직 방향에 다단으로 정렬한 상태에서 수용 가능하도록 구성된다.A reaction tube 203 constituting a reaction vessel (processing vessel) is disposed (arranged) inside the heater 207 concentrically with the heater 207. The reaction tube 203 is made of a heat-resistant material such as quartz (SiO 2 ) or silicon carbide (SiC), and is formed into a cylindrical shape in which the upper end is closed and the lower end is opened. A processing chamber 201 is formed in the hollow tube of the reaction tube 203 and the wafer 200 as a substrate is accommodated in a state of being vertically aligned in multiple stages in a horizontal posture by a boat 217, Lt; / RTI >

처리실(201) 내에는 제1 노즐(249a), 제2 노즐(249b), 제3 노즐(249c), 제4 노즐(249d)이 반응관(203)의 하부를 관통하도록 설치된다. 제1 노즐(249a), 제2 노즐(249b), 제3 노즐(249c), 제4 노즐(249d)에는 제1 가스 공급관(232a), 제2 가스 공급관(232b), 제3 가스 공급관(232c), 제4 가스 공급관(232d)이 각각 접속된다. 또한 제4 가스 공급관(232d)에는 제5 가스 공급관(232j)이 접속된다. 이와 같이 반응관(203)에는 4개의 노즐(249a, 249b, 249c, 249d)과 5개의 가스 공급관(232a, 232b, 232c, 232d, 232j)이 설치되고, 처리실(201) 내에 복수 종류, 여기서는 5종류의 가스를 공급할 수 있도록 구성된다.A first nozzle 249a, a second nozzle 249b, a third nozzle 249c and a fourth nozzle 249d are installed in the treatment chamber 201 so as to pass through the lower portion of the reaction tube 203. The first gas supply pipe 232a, the second gas supply pipe 232b and the third gas supply pipe 232c (not shown) are connected to the first nozzle 249a, the second nozzle 249b, the third nozzle 249c and the fourth nozzle 249d. And the fourth gas supply pipe 232d are respectively connected. And the fifth gas supply pipe 232j is connected to the fourth gas supply pipe 232d. In this way, the reaction tube 203 is provided with four nozzles 249a, 249b, 249c and 249d and five gas supply pipes 232a, 232b, 232c, 232d and 232j, Type gas.

또한 반응관(203)의 하방에 반응관(203)을 지지하는 금속제의 매니폴드를 설치하고, 각 노즐을 이 금속제의 매니폴드의 측벽을 관통하도록 설치해도 좋다. 이 경우, 이 금속제의 매니폴드에 후술하는 배기관(231)을 더 설치해도 좋다. 또한 이 경우에서도 배기관(231)을 금속제의 매니폴드가 아닌 반응관(203)의 하부에 설치해도 좋다. 이와 같이 처리로(202)의 노구부(爐口部)를 금속제로 하고, 이 금속제의 노구부에 노즐 등을 설치해도 좋다.Further, a metal manifold for supporting the reaction tube 203 may be provided below the reaction tube 203, and each nozzle may be provided so as to pass through the side wall of the metal-made manifold. In this case, an exhaust pipe 231, which will be described later, may be further provided on the metal-made manifold. Also in this case, the exhaust pipe 231 may be provided below the reaction tube 203 instead of the metal manifold. As described above, the furnace mouth portion of the processing furnace 202 may be made of metal, and a nozzle or the like may be provided in the furnace portion of the metal furnace.

제1 가스 공급관(232a)에는 상류 방향부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241a)(MFC) 및 개폐 밸브인 밸브(243a)가 설치된다. 또한 제1 가스 공급관(232a)의 밸브(243a)보다 하류측에는 제1 불활성 가스 공급관(232e)이 접속된다. 이 제1 불활성 가스 공급관(232e)에는 상류 방향부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241e) 및 개폐 밸브인 밸브(243e)가 설치된다. 또한 제1 가스 공급관(232a)의 선단부(先端部)에는 전술한 제1 노즐(249a)이 접속된다. 제1 노즐(249a)은 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원호 형상의 공간에 반응관(203)의 내벽의 하부로부터 상부를 따라 웨이퍼(200)의 적재 방향 상방을 향하여 상승[立上]하도록 설치된다. 즉 제1 노즐(249a)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록 설치된다. 제1 노즐(249a)은 L자형의 롱 노즐로서 구성되고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측(一端側)으로부터 타단측(他端側)을 향하여 상승하도록 설치된다. 제1 노즐(249a)의 측면에는 가스를 공급하는 가스 공급공(250a)이 설치된다. 가스 공급공(250a)은 반응관(203)의 중심을 향하도록 개구되고, 웨이퍼(200)를 향하여 가스를 공급하는 것이 가능하도록 이루어진다. 이 가스 공급공(250a)은 반응관(203)의 하부로부터 상부에 걸쳐서 복수 설치되고, 각각이 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치된다.The first gas supply pipe 232a is provided with a mass flow controller 241a (MFC), which is a flow controller (flow control unit), and a valve 243a, which is an open / close valve, in this order from the upstream side. A first inert gas supply pipe 232e is connected to the first gas supply pipe 232a downstream of the valve 243a. The first inert gas supply pipe 232e is provided with a mass flow controller 241e as a flow controller (flow control unit) and a valve 243e as an open / close valve in this order from the upstream side. The first nozzle 249a described above is connected to the distal end of the first gas supply pipe 232a. The first nozzle 249a is disposed in a circular arc space between the inner wall of the reaction tube 203 and the wafer 200 from the lower portion to the upper portion of the inner wall of the reaction tube 203 toward the upper side of the loading direction of the wafer 200 Is set up to rise. That is, the first nozzle 249a is installed along the wafer arrangement area in a region horizontally surrounding the wafer arrangement area on the side of the wafer arrangement area where the wafer 200 is arranged. The first nozzle 249a is configured as an L-shaped long nozzle, and the horizontal portion thereof is provided so as to pass through the lower side wall of the reaction tube 203. The vertical portion of the first nozzle 249a extends from one end side (one end side) (The other end side). A gas supply hole 250a for supplying a gas is provided on the side surface of the first nozzle 249a. The gas supply hole 250a is opened so as to face the center of the reaction tube 203 and is capable of supplying gas toward the wafer 200. [ A plurality of the gas supply holes 250a are provided from the lower portion to the upper portion of the reaction tube 203, each having the same opening area and the same opening pitch.

주로 제1 가스 공급관(232a), 매스 플로우 컨트롤러(241a), 밸브(243a)에 의해 제1 가스 공급계가 구성된다. 또한 제1 노즐(249a)을 제1 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제1 불활성 가스 공급관(232e), 매스 플로우 컨트롤러(241e), 밸브(243e)에 의해 제1 불활성 가스 공급계가 구성된다. 제1 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.The first gas supply system is constituted mainly by the first gas supply pipe 232a, the mass flow controller 241a and the valve 243a. Also, the first nozzle 249a may be included in the first gas supply system. The first inert gas supply system is mainly constituted by the first inert gas supply pipe 232e, the mass flow controller 241e and the valve 243e. The first inert gas supply system also functions as a purge gas supply system.

제2 가스 공급관(232b)에는 상류 방향부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241b)(MFC) 및 개폐 밸브인 밸브(243b)가 설치된다. 또한 제2 가스 공급관(232b)의 밸브(243b)보다 하류측에는 제2 불활성 가스 공급관(232f)이 접속된다. 이 제2 불활성 가스 공급관(232f)에는 상류 방향부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241f) 및 개폐 밸브인 밸브(243f)가 설치된다. 또한 제2 가스 공급관(232b)의 선단부에는 전술한 제2 노즐(249b)이 접속된다. 제2 노즐(249b)은 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원호 형상의 공간에 반응관(203)의 내벽의 하부로부터 상부를 따라 웨이퍼(200)의 적재 방향 상방을 향하여 상승하도록 설치된다. 즉 제2 노즐(249b)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록 설치된다. 제2 노즐(249b)은 L자형의 롱 노즐로서 구성되고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향하여 상승하도록 설치된다. 제2 노즐(249b)의 측면에는 가스를 공급하는 가스 공급공(250b)이 설치된다. 가스 공급공(250b)은 반응관(203)의 중심을 향하도록 개구되고, 웨이퍼(200)를 향하여 가스를 공급하는 것이 가능하도록 이루어진다. 이 가스 공급공(250b)은 반응관(203)의 하부로부터 상부에 걸쳐서 복수 설치되고, 각각이 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치된다.The second gas supply pipe 232b is provided with a mass flow controller 241b (MFC), which is a flow controller (flow control unit), and a valve 243b, which is an open / close valve, in this order from the upstream side. A second inert gas supply pipe 232f is connected to the second gas supply pipe 232b on the downstream side of the valve 243b. A mass flow controller 241f, which is a flow controller (flow control unit), and a valve 243f, which is an open / close valve, are provided in this second inert gas supply pipe 232f in this order from the upstream side. The second nozzle 249b is connected to the tip of the second gas supply pipe 232b. The second nozzle 249b is disposed in a circular arc space between the inner wall of the reaction tube 203 and the wafer 200 from the lower portion to the upper portion of the inner wall of the reaction tube 203 toward the upper side of the stacking direction of the wafer 200 . Namely, the second nozzle 249b is installed along the wafer arrangement region in a region horizontally surrounding the wafer arrangement region on the side of the wafer arrangement region in which the wafer 200 is arranged. The second nozzle 249b is configured as an L-shaped long nozzle, and the horizontal portion thereof is provided so as to pass through the lower side wall of the reaction tube 203, and the vertical portion of the second nozzle 249b is moved upward at least from the one end side to the other end side Respectively. A gas supply hole 250b for supplying a gas to the side surface of the second nozzle 249b is provided. The gas supply hole 250b is opened so as to face the center of the reaction tube 203 and is capable of supplying gas toward the wafer 200. A plurality of the gas supply holes 250b are provided from the lower portion to the upper portion of the reaction tube 203, each having the same opening area and the same opening pitch.

주로 제2 가스 공급관(232b), 매스 플로우 컨트롤러(241b), 밸브(243b)에 의해 제2 가스 공급계가 구성된다. 또한 제2 노즐(249b)을 제2 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제2 불활성 가스 공급관(232f), 매스 플로우 컨트롤러(241f), 밸브(243f)에 의해 제2 불활성 가스 공급계가 구성된다. 제2 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.The second gas supply system is constituted mainly by the second gas supply pipe 232b, the mass flow controller 241b and the valve 243b. Further, the second nozzle 249b may be included in the second gas supply system. The second inert gas supply system is mainly constituted by the second inert gas supply pipe 232f, the mass flow controller 241f and the valve 243f. The second inert gas supply system also functions as a purge gas supply system.

제3 가스 공급관(232c)에는 상류 방향부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241c)(MFC) 및 개폐 밸브인 밸브(243c)가 설치된다. 또한 제3 가스 공급관(232c)의 밸브(243c)보다 하류측에는 제3 불활성 가스 공급관(232g)이 접속된다. 이 제3 불활성 가스 공급관(232g)에는 상류 방향부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241g) 및 개폐 밸브인 밸브(243g)가 설치된다. 또한 제3 가스 공급관(232c)의 선단부에는 전술한 제3 노즐(249c)이 접속된다. 제3 노즐(249c)은 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원호 형상의 공간에 반응관(203)의 내벽의 하부로부터 상부를 따라 웨이퍼(200)의 적재 방향 상방을 향하여 상승하도록 설치된다. 즉 제3 노즐(249c)은 웨이퍼(200)가 배열되는 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록 설치된다. 제3 노즐(249c)은 L자형의 롱 노즐로서 구성되고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향하여 상승하도록 설치된다. 제3 노즐(249c)의 측면에는 가스를 공급하는 가스 공급공(250c)이 설치된다. 가스 공급공(250c)은 반응관(203)의 중심을 향하도록 개구되고, 웨이퍼(200)를 향하여 가스를 공급하는 것이 가능하도록 이루어진다. 이 가스 공급공(250c)은 반응관(203)의 하부로부터 상부에 걸쳐서 복수 설치되고, 각각이 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치된다.A mass flow controller 241c (MFC), which is a flow rate controller (flow control unit), and a valve 243c, which is an opening / closing valve, are provided in this order from the upstream side in the third gas supply pipe 232c. A third inert gas supply pipe 232g is connected to the third gas supply pipe 232c on the downstream side of the valve 243c. A mass flow controller 241g, which is a flow controller (flow control unit), and a valve 243g, which is an on / off valve, are provided in this third inert gas supply pipe 232g in this order from the upstream side. The third nozzle 249c is connected to the tip of the third gas supply pipe 232c. The third nozzle 249c is disposed in a circular arc space between the inner wall of the reaction tube 203 and the wafer 200 from the lower portion to the upper portion of the inner wall of the reaction tube 203 toward the upper side of the loading direction of the wafer 200 . That is, the third nozzle 249c is installed along the wafer arrangement region in a region horizontally surrounding the wafer arrangement region on the side of the wafer arrangement region in which the wafer 200 is arranged. The third nozzle 249c is configured as an L-shaped long nozzle, and the horizontal portion thereof is provided so as to pass through the lower side wall of the reaction tube 203, and the vertical portion of the third nozzle 249c is moved upward at least from the one end side to the other end side Respectively. A gas supply hole 250c for supplying gas is provided on the side surface of the third nozzle 249c. The gas supply hole 250c is opened so as to face the center of the reaction tube 203 and is capable of supplying gas toward the wafer 200. [ A plurality of the gas supply holes 250c are provided from the lower part to the upper part of the reaction tube 203, and they have the same opening area and are provided at the same opening pitch.

주로 제3 가스 공급관(232c), 매스 플로우 컨트롤러(241c), 밸브(243c)에 의해 제3 가스 공급계가 구성된다. 또한 제3 노즐(249c)을 제3 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제3 불활성 가스 공급관(232g), 매스 플로우 컨트롤러(241g), 밸브(243g)에 의해 제3 불활성 가스 공급계가 구성된다. 제3 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.A third gas supply system is constituted mainly by the third gas supply pipe 232c, the mass flow controller 241c and the valve 243c. The third nozzle 249c may be included in the third gas supply system. Also, a third inert gas supply system is constituted mainly by the third inert gas supply pipe 232g, the mass flow controller 241g, and the valve 243g. The third inert gas supply system also functions as a purge gas supply system.

제4 가스 공급관(232d)에는 상류 방향부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241d)(MFC) 및 개폐 밸브인 밸브(243d)가 설치된다. 또한 제4 가스 공급관(232d)의 밸브(243d)보다 하류측에는 제5 가스 공급관(232j)이 접속된다. 이 제5 가스 공급관(232j)에는 상류 방향부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241j) 및 개폐 밸브인 밸브(243j)가 설치된다. 또한 제4 가스 공급관(232d)에서의 제5 가스 공급관(232j)과의 접속 개소(箇所)보다 하류측에는 제4 불활성 가스 공급관(232h)이 접속된다. 이 제4 불활성 가스 공급관(232h)에는 상류 방향부터 순서대로 유량 제어기(유량 제어부)인 매스 플로우 컨트롤러(241h) 및 개폐 밸브인 밸브(243h)가 설치된다. 또한 제4 가스 공급관(232d)의 선단부에는 전술한 제4 노즐(249d)이 접속된다. 제4 노즐(249d)은 가스 분산 공간인 버퍼실(237) 내에 설치된다.A mass flow controller 241d (MFC), which is a flow controller (flow control unit), and a valve 243d, which is an opening / closing valve, are provided in this order from the upstream side in the fourth gas supply pipe 232d. The fifth gas supply pipe 232j is connected to the downstream side of the valve 243d of the fourth gas supply pipe 232d. The fifth gas supply pipe 232j is provided with a mass flow controller 241j, which is a flow controller (flow control unit), and a valve 243j, which is an open / close valve, in this order from the upstream side. The fourth inert gas supply pipe 232h is connected to the fourth gas supply pipe 232d on the downstream side of the connection point with the fifth gas supply pipe 232j. A mass flow controller 241h, which is a flow controller (flow control unit), and a valve 243h, which is an on-off valve, are provided in this fourth inert gas supply pipe 232h in this order from the upstream side. The fourth nozzle 249d is connected to the tip of the fourth gas supply pipe 232d. The fourth nozzle 249d is installed in the buffer chamber 237 which is a gas dispersion space.

버퍼실(237)은 반응관(203)의 내벽과 웨이퍼(200) 사이에서의 원호 형상의 공간에 반응관(203) 내벽의 하부로부터 상부에 걸치는 부분에 웨이퍼(200)의 적재 방향을 따라 설치된다. 즉 버퍼실(237)은 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록 설치된다. 버퍼실(237)의 웨이퍼(200)와 인접하는 벽의 단부(端部)에는 가스를 공급하는 가스 공급공(250e)이 설치된다. 가스 공급공(250e)은 반응관(203)의 중심을 향하도록 개구되고, 웨이퍼(200)를 향하여 가스를 공급하는 것이 가능하도록 이루어진다. 이 가스 공급공(250e)은 반응관(203)의 하부로부터 상부에 걸쳐서 복수 설치되고, 각각이 동일한 개구 면적을 가지고, 또한 같은 개구 피치로 설치된다.The buffer chamber 237 is provided in a circular arc space between the inner wall of the reaction tube 203 and the wafer 200 along the loading direction of the wafer 200 at a portion extending from the lower portion to the upper portion of the inner wall of the reaction tube 203 do. That is, the buffer chamber 237 is provided so as to follow the wafer arrangement region in a region horizontally surrounding the wafer arrangement region on the side of the wafer arrangement region. A gas supply hole 250e for supplying gas to the end of the wall adjacent to the wafer 200 of the buffer chamber 237 is provided. The gas supply hole 250e is opened so as to face the center of the reaction tube 203 and is made capable of supplying gas toward the wafer 200. [ A plurality of gas supply holes 250e are provided from the lower portion to the upper portion of the reaction tube 203, each having the same opening area and the same opening pitch.

제4 노즐(249d)은 버퍼실(237)의 가스 공급공(250e)이 설치된 단부와 반대측의 단부에 반응관(203)의 내벽의 하부로부터 상부를 따라 웨이퍼(200)의 적재 방향 상방을 향하여 상승하도록 설치된다. 즉 제4 노즐(249d)은 웨이퍼 배열 영역의 측방의 웨이퍼 배열 영역을 수평하게 둘러싸는 영역에 웨이퍼 배열 영역을 따르도록 설치된다. 제4 노즐(249d)은 L자형의 롱 노즐로서 구성되고, 그 수평부는 반응관(203)의 하부 측벽을 관통하도록 설치되고, 그 수직부는 적어도 웨이퍼 배열 영역의 일단측으로부터 타단측을 향하여 상승하도록 설치된다. 제4 노즐(249d)의 측면에는 가스를 공급하는 가스 공급공(250d)이 설치된다. 가스 공급공(250d)은 버퍼실(237)의 중심을 향하도록 개구된다. 이 가스 공급공(250d)은 버퍼실(237)의 가스 공급공(250e)과 마찬가지로 반응관(203)의 하부로부터 상부에 걸쳐서 복수 설치된다. 이 복수의 가스 공급공(250d)의 각각의 개구 면적은 버퍼실(237) 내와 처리실(201) 내의 차압이 작은 경우에는 상류측(하부)으로부터 하류측(상부)까지 각각 동일한 개구 면적으로 동일한 개구 피치로 하면 좋지만, 차압이 큰 경우에는 상류측으로부터 하류측을 향하여 각각 개구 면적을 크게 하거나 개구 피치를 작게 하면 좋다.The fourth nozzle 249d is disposed at an end opposite to the end where the gas supply hole 250e of the buffer chamber 237 is provided from the lower portion of the inner wall of the reaction tube 203 toward the upper side of the loading direction of the wafer 200 . Namely, the fourth nozzle 249d is installed so as to follow the wafer arrangement area in the area horizontally surrounding the wafer arrangement area on the side of the wafer arrangement area. The fourth nozzle 249d is configured as an L-shaped long nozzle, and the horizontal portion thereof is provided so as to penetrate through the lower side wall of the reaction tube 203, and the vertical portion of the fourth nozzle 249d is moved upward from the one end side to the other end side Respectively. A gas supply hole 250d for supplying gas is provided on the side surface of the fourth nozzle 249d. The gas supply hole 250d is opened toward the center of the buffer chamber 237. [ A plurality of gas supply holes 250d are provided from the lower portion to the upper portion of the reaction tube 203 like the gas supply holes 250e of the buffer chamber 237. The opening areas of the plurality of gas supply holes 250d are equal to each other from the upstream side (lower portion) to the downstream portion (upper portion) when the differential pressure within the buffer chamber 237 and the processing chamber 201 is small However, when the differential pressure is large, it is sufficient to increase the opening area and decrease the opening pitch from the upstream side to the downstream side.

본 실시 형태에서는 제4 노즐(249d)의 가스 공급공(250d)의 각각의 개구 면적이나 개구 피치를 상류측으로부터 하류측에 걸쳐서 전술과 같이 조절하는 것에 의해 우선 가스 공급공(250d)의 각각으로부터 유속의 차이는 있지만, 유량이 거의 같은 양의 가스를 분출시킨다. 그리고 이 가스 공급공(250d)의 각각으로부터 분출하는 가스를 일단 버퍼실(237) 내에 도입하고, 버퍼실(237) 내에서 가스의 유속 차이의 균일화를 수행한다.In the present embodiment, the opening areas and the opening pitches of the gas supply holes 250d of the fourth nozzle 249d are adjusted from the upstream side to the downstream side, as described above, The flow rate is about the same, but the flow rate is about the same. The gas ejected from each of the gas supply holes 250d is once introduced into the buffer chamber 237 and the gas flow rate difference in the buffer chamber 237 is equalized.

즉 제4 노즐(249d)의 가스 공급공(250d)의 각각으로부터 버퍼실(237) 내에 분출한 가스는 버퍼실(237) 내에서 각 가스의 입자 속도가 완화된 후, 버퍼실(237)의 가스 공급공(250e)으로부터 처리실(201) 내에 분출한다. 이에 의해 제4 노즐(249d)의 가스 공급공(250d)의 각각으로부터 버퍼실(237) 내에 분출한 가스는 버퍼실(237)의 가스 공급공(250e)의 각각으로부터 처리실(201) 내에 분출할 때에는 균일한 유량과 유속을 가지는 가스가 된다.That is, the gas ejected from each of the gas supply holes 250d of the fourth nozzle 249d into the buffer chamber 237 is supplied to the buffer chamber 237 after the particle velocity of each gas in the buffer chamber 237 is relaxed. And is injected into the processing chamber 201 from the gas supply hole 250e. The gas ejected from each of the gas supply holes 250d of the fourth nozzle 249d into the buffer chamber 237 is ejected from each of the gas supply holes 250e of the buffer chamber 237 into the processing chamber 201 It becomes a gas having a uniform flow rate and flow rate.

주로 제4 가스 공급관(232d), 매스 플로우 컨트롤러(241d), 밸브(243d)에 의해 제4 가스 공급계가 구성된다. 또한 제4 노즐(249d) 및 버퍼실(237)을 제4 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제5 가스 공급관(232j), 매스 플로우 컨트롤러(241j), 밸브(243j)에 의해 제5 가스 공급계가 구성된다. 또한 제4 노즐(249d) 및 버퍼실(237)을 제5 가스 공급계에 포함시켜서 생각해도 좋다. 또한 주로 제4 불활성 가스 공급관(232h), 매스 플로우 컨트롤러(241h), 밸브(243h)에 의해 제4 불활성 가스 공급계가 구성된다. 제4 불활성 가스 공급계는 퍼지 가스 공급계로서도 기능한다.A fourth gas supply system is constituted mainly by the fourth gas supply pipe 232d, the mass flow controller 241d and the valve 243d. Further, the fourth nozzle 249d and the buffer chamber 237 may be included in the fourth gas supply system. The fifth gas supply system is mainly constituted by the fifth gas supply pipe 232j, the mass flow controller 241j and the valve 243j. The fourth nozzle 249d and the buffer chamber 237 may be included in the fifth gas supply system. The fourth inert gas supply system is mainly constituted by the fourth inert gas supply pipe 232h, the mass flow controller 241h and the valve 243h. The fourth inert gas supply system also functions as a purge gas supply system.

이와 같이 본 실시 형태에서의 가스 공급의 방법은 반응관(203)의 내벽과, 적재된 복수 매의 웨이퍼(200)의 단부로 정의되는 원호 형상의 세로로 긴 공간 내에 배치한 노즐(249a, 249b, 249c, 249d) 및 버퍼실(237)을 경유하여 가스를 반송하고, 노즐(249a, 249b, 249c, 249d) 및 버퍼실(237)에 각각 개구된 가스 공급공(250a, 250b, 250c, 250d, 250e)으로부터 웨이퍼(200)의 근방에서 처음 반응관(203) 내에 가스를 분출시키고, 반응관(203) 내에서의 가스의 주된 흐름을 웨이퍼(200)의 표면과 평행한 방향, 즉 수평 방향으로 한다. 이와 같은 구성으로 하는 것에 의해 각 웨이퍼(200)에 균일하게 가스를 공급할 수 있고, 각 웨이퍼(200)에 형성되는 박막의 막 두께를 균일하게 할 수 있는 효과가 있다. 또한 반응 후의 잔류 가스는 배기구, 즉 후술하는 배기관(231)의 방향을 향하여 흐르지만, 이 잔류 가스가 흐르는 방향은 배기구의 위치에 의해 적절히 특정되고, 수직 방향으로 한정되지 않는다.As described above, the gas supply method in the present embodiment is characterized in that the inner wall of the reaction tube 203 and the nozzles 249a and 249b (hereinafter, referred to as " 249c and 249d and the buffer chamber 237 and the gas supply holes 250a, 250b, 250c and 250d (250a, 250b, 250c and 250d) respectively opened in the nozzles 249a, 249b, 249c and 249d and the buffer chamber 237, And 250e in the vicinity of the wafer 200 so that the main flow of gas in the reaction tube 203 is directed in a direction parallel to the surface of the wafer 200, . With this configuration, gas can be uniformly supplied to each wafer 200, and the film thickness of the thin film formed on each wafer 200 can be made uniform. Although the residual gas after the reaction flows toward the exhaust port, that is, the exhaust pipe 231 described later, the direction in which the residual gas flows is properly specified by the position of the exhaust port, and is not limited to the vertical direction.

제1 가스 공급관(232a)으로부터는 제1 실리콘 원료 가스(제1 실리콘 함유 가스)로서 예컨대 소정 원소 및 할로겐기를 포함하는 제1 원료, 즉 실리콘(Si) 원소와 클로로기를 포함하는 제1 원료 가스인 클로로실란계 원료 가스가 매스 플로우 컨트롤러(241a), 밸브(243a), 제1 노즐(249a)을 개재하여 처리실(201) 내에 공급된다. 여기서 클로로실란계 원료 가스란 상온 상압 하에서 액체 상태인 클로로실란계 원료를 기화하는 것에 의해 얻어지는 가스다. 또한 클로로실란계 원료란 클로로기를 포함하는 실란계 원료이며, 적어도 실리콘(Si) 및 염소(Cl)를 포함하는 원료다. 즉 여기서 말하는 클로로실란계 원료는 할로겐화물의 일종이라고도 할 수 있다. 또한 본 명세서에서 「원료」라는 단어를 이용한 경우는 「액체 상태인 액체 원료」를 의미하는 경우, 「액체 원료를 기화한 원료 가스」를 의미하는 경우, 또는 그 양방(兩方)을 의미하는 경우가 있다. 따라서 본 명세서에서 「클로로실란계 원료」라는 단어를 이용한 경우는 「액체 상태인 클로로실란계 원료」를 의미하는 경우, 「클로로실란계 원료 가스」를 의미하는 경우, 또는 그 양방을 의미하는 경우가 있다. 클로로실란계 원료 가스로서는 예컨대 헥사클로로디실란(Si2Cl6, 약칭: HCDS) 가스를 이용할 수 있다. 또한 HCDS와 같이 상온 상압 하에서 액체 상태인 액체 원료를 이용하는 경우에는 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여 원료 가스(HCDS가스)로서 공급한다.From the first gas supply pipe 232a, a first raw material containing a predetermined element and a halogen group, that is, a first raw material gas containing a silicon (Si) element and a chloro group, for example, as a first silicon raw material gas The chlorosilane-based source gas is supplied into the processing chamber 201 via the mass flow controller 241a, the valve 243a, and the first nozzle 249a. Here, the chlorosilane-based source gas is a gas obtained by vaporizing a chlorosilane-based raw material in a liquid state under ordinary temperature and normal pressure. The chlorosilane-based raw material is a silane-based raw material containing a chloro group and is a raw material containing at least silicon (Si) and chlorine (Cl). That is, the chlorosilane-based raw material referred to herein may be referred to as a kind of halide. In the present specification, when the word "raw material" is used, the term "liquid raw material liquid" means "raw material gas vaporizing the liquid raw material" or both of them . Therefore, when the word " chlorosilane-based raw material " is used in this specification, the term " chlorosilane raw material liquid " means " chlorosilane raw material gas " have. As the chlorosilane-based source gas, for example, hexachlorodisilane (Si 2 Cl 6 , abbreviation: HCDS) gas can be used. When a liquid raw material in a liquid state is used at room temperature and atmospheric pressure, such as HCDS, a liquid raw material is vaporized by a vaporization system such as a vaporizer or a bubbler, and is supplied as a raw material gas (HCDS gas).

제2 가스 공급관(232b)으로부터는 제2 실리콘 원료 가스(제2 실리콘 함유 가스)로서 예컨대 소정 원소 및 아미노기(아민기)를 포함하는 제2 원료, 즉 실리콘(Si) 원소와 아미노기를 포함하는 제2 원료 가스인 아미노실란계 원료 가스가 매스 플로우 컨트롤러(241b), 밸브(243b), 제2 노즐(249b)을 개재하여 처리실(201) 내에 공급된다. 여기서 아미노실란계 원료 가스란 상온 상압 하에서 액체 상태인 아미노실란계 원료를 기화하는 것에 의해 얻어지는 가스다. 또한 아미노실란계 원료란 아미노기를 포함하는 실란계 원료(메틸기나 에틸기나 부틸기 등의 알킬기도 함유하는 실란계 원료이기도 하다)이며, 적어도 실리콘(Si), 질소(N) 및 탄소(C)를 포함하는 원료다. 즉 여기서 말하는 아미노실란계 원료는 유기계의 원료라고도 할 수 있고, 유기 아미노실란계 원료라고도 할 수 있다. 또한 본 명세서에서 「아미노실란계 원료」라는 단어를 이용한 경우는 「액체 상태인 아미노실란계 원료」를 의미하는 경우, 「아미노실란계 원료 가스」를 의미하는 경우, 또는 그 양방을 의미하는 경우가 있다. 아미노실란계 원료 가스로서는 예컨대 트리스디메틸아미노실란(Si[N(CH3)2]3H, 약칭: 3DMAS) 가스를 이용할 수 있다. 또한 3DMAS와 같이 상온 상압 하에서 액체 상태인 액체 원료를 이용하는 경우에는 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여 원료 가스(3DMAS가스)로서 공급한다.A second raw material containing a predetermined element and an amino group (amine group), that is, a silicon compound containing a silicon (Si) element and an amino group as a second silicon source gas (second silicon containing gas) 2 is supplied into the processing chamber 201 via the mass flow controller 241b, the valve 243b, and the second nozzle 249b. Here, the aminosilane-based source gas is a gas obtained by vaporizing an aminosilane-based raw material in a liquid state under ordinary temperature and normal pressure. The aminosilane-based raw material is a silane-based raw material (also a silane-based raw material containing an alkyl group such as a methyl group, an ethyl group or a butyl group) containing an amino group and at least silicon (Si), nitrogen (N) It is the raw material involved. That is, the aminosilane-based raw material referred to herein may be referred to as an organic-based raw material and may be referred to as an organic aminosilane-based raw material. When the word "aminosilane-based raw material" is used in the present specification, the term "aminosilane raw material in a liquid state" means the case of "aminosilane raw material gas", or both cases have. As the aminosilane-based source gas, for example, trisdimethylaminosilane (Si [N (CH 3 ) 2 ] 3 H, abbreviation: 3DMAS) gas can be used. When a liquid raw material in a liquid state is used at room temperature and normal pressure, such as 3DMAS, the liquid raw material is vaporized by a vaporization system such as a vaporizer or a bubbler, and is supplied as a raw material gas (3DMAS gas).

제3 가스 공급관(232c)으로부터는 아민, 즉 아민계 원료 가스가 매스 플로우 컨트롤러(241c), 밸브(243c), 제3 노즐(249c)을 개재하여 처리실(201) 내에 공급된다. 여기서 아민계 원료 가스란 아민을 기화한 가스 등의 아민기를 포함하는 원료 가스이며, 적어도 탄소(C), 질소(N) 및 수소(H)를 포함하는 원료 가스다. 아민계 원료 가스는 에틸아민, 메틸아민, 프로필아민, 이소프로필아민, 부틸아민, 이소부틸아민 등의 아민을 포함한다. 여기서 아민이란 암모니아(NH3)의 수소 원자를 알킬기 등의 탄화수소기로 치환한 형태의 화합물의 총칭이다. 즉 아민은 알킬기 등의 탄화수소기를 포함한다. 아민계 원료 가스는 실리콘(Si)을 포함하지 않기 때문에 실리콘 비함유의 가스라고도 할 수 있고, 또한 실리콘 및 금속을 포함하지 않기 때문에 실리콘 및 금속 비함유의 가스라고도 할 수 있다. 또한 아민계 원료 가스는 질소 함유 가스이며, 탄소 함유 가스이며, 수소 함유 가스이기도 한다. 또한 아민계 원료 가스는 탄소 원소(C), 질소 원소(N) 및 수소 원소(H)의 3원소만으로 구성되는 물질이라고도 할 수 있다. 또한 본 명세서에서 「아민계 원료」라는 단어를 이용한 경우는 「액체 상태인 아민계 원료」를 의미하는 경우, 「아민계 원료 가스」를 의미하는 경우, 또는 그 양방을 의미하는 경우가 있다. 아민계 원료 가스로서는 예컨대 트리에틸아민[(C2H5)3N, 약칭: TEA] 가스를 이용할 수 있다. 또한 TEA와 같이 상온 상압 하에서 액체 상태인 액체 원료를 이용하는 경우에는 액체 원료를 기화기나 버블러 등의 기화 시스템에 의해 기화하여 원료 가스(TEA가스)로서 공급한다.From the third gas supply pipe 232c, an amine, that is, an amine-based source gas is supplied into the process chamber 201 via the mass flow controller 241c, the valve 243c, and the third nozzle 249c. Here, the amine-based source gas is a source gas containing an amine group such as an amine-vaporized gas, and is a source gas containing at least carbon (C), nitrogen (N), and hydrogen (H). The amine-based source gas includes amines such as ethylamine, methylamine, propylamine, isopropylamine, butylamine, and isobutylamine. Herein, amine is a generic term for compounds in which hydrogen atoms of ammonia (NH 3 ) are substituted with hydrocarbon groups such as alkyl groups. That is, the amine includes a hydrocarbon group such as an alkyl group. Since the amine-based source gas does not contain silicon (Si), it may be referred to as a gas containing no silicon, and may also be referred to as a gas containing no silicon or metal, since it does not contain silicon and metal. In addition, the amine-based source gas is a nitrogen-containing gas, a carbon-containing gas, and also a hydrogen-containing gas. The amine-based source gas may also be referred to as a material composed of only the three elements of carbon element (C), nitrogen element (N) and hydrogen element (H). When the word "amine-based raw material" is used in the present specification, the term "amine-based raw material" means "amine-based raw material gas" or both of them. As the amine-based source gas, for example, triethylamine [(C 2 H 5 ) 3 N, abbreviation: TEA] gas can be used. In the case of using a liquid raw material in a liquid state under ordinary temperature and normal pressure conditions such as TEA, the liquid raw material is vaporized by a vaporization system such as a vaporizer or a bubbler and is supplied as a raw material gas (TEA gas).

제4 가스 공급관(232d)으로부터는 질화 가스로서 예컨대 질소(N)를 포함하는 가스(질소 함유 가스)가 매스 플로우 컨트롤러(241d), 밸브(243d), 제4 노즐(249d), 버퍼실(237)을 개재하여 처리실(201) 내에 공급된다. 질소 함유 가스로서는 예컨대 암모니아(NH3) 가스를 이용할 수 있다.A gas (nitrogen-containing gas) containing, for example, nitrogen (N) as a nitrification gas is supplied from the fourth gas supply pipe 232d to the mass flow controller 241d, the valve 243d, the fourth nozzle 249d, (Not shown). As the nitrogen-containing gas, for example, ammonia (NH 3 ) gas can be used.

제5 가스 공급관(232j)으로부터는 산화 가스로서 예컨대 산소(O)를 포함하는 가스(산소 함유 가스)가 매스 플로우 컨트롤러(241j), 밸브(243j), 제4 가스 공급관(232d), 제4 노즐(249d), 버퍼실(237)을 개재하여 처리실(201) 내에 공급된다. 산소 함유 가스로서는 예컨대 산소(O2) 가스를 이용할 수 있다.A gas (oxygen-containing gas) containing, for example, oxygen (O) as an oxidizing gas is supplied from the fifth gas supply pipe 232j to the mass flow controller 241j, the valve 243j, the fourth gas supply pipe 232d, Is supplied into the processing chamber 201 via the buffer chamber 239 and the buffer chamber 237, As the oxygen-containing gas, for example, oxygen (O 2 ) gas can be used.

불활성 가스 공급관(232e, 232f, 232g, 232h)으로부터는 예컨대 질소(N2) 가스가 각각 매스 플로우 컨트롤러(241e, 241f, 241g, 241h), 밸브(243e, 243f, 243g, 243h), 가스 공급관(232a, 232b, 232c, 232d), 가스 노즐(249a, 249b, 249c, 249d) 및 버퍼실(237)을 개재하여 처리실(201) 내에 공급된다.An inert gas supply pipe, for example nitrogen from (232e, 232f, 232g, 232h ) (N 2) controllers each gas mass flow (241e, 241f, 241g, 241h ), the valve (243e, 243f, 243g, 243h ), the gas supply tube ( 232a, 232b, 232c, and 232d, gas nozzles 249a, 249b, 249c, and 249d, and a buffer chamber 237. [

또한 예컨대 각 가스 공급관으로부터 전술과 같은 가스를 각각 흘리는 경우, 제1 가스 공급계에 의해 소정 원소 및 할로겐기를 포함하는 제1 원료 가스를 공급하는 제1 원료 가스 공급계로서의 제1 실리콘 원료 가스 공급계, 즉 클로로실란계 원료 가스 공급계가 구성된다. 또한 클로로실란계 원료 가스 공급계를 단순히 클로로실란계 원료 공급계라고도 칭한다. 또한 제2 가스 공급계에 의해 소정 원소 및 아미노기를 포함하는 제2 원료 가스를 공급하는 제2 원료 가스 공급계로서의 제2 실리콘 원료 가스 공급계, 즉 아미노실란계 원료 가스 공급계가 구성된다. 또한 아미노실란계 원료 가스 공급계를 단순히 아미노실란계 원료 공급계라고도 칭한다. 또한 제3 가스 공급계에 의해 아민계 원료 가스를 공급하는 제3 원료 가스 공급계로서의 아민 공급계, 즉 아민계 원료 가스 공급계가 구성된다. 또한 아민계 원료 가스 공급계를 단순히 아민계 원료 공급계라고도 칭한다. 또한 제4 가스 공급계에 의해 질화 가스 공급계로서의 질소 함유 가스 공급계가 구성된다. 또한 제5 가스 공급계에 의해 산화 가스 공급계로서의 산소 함유 가스 공급계가 구성된다.Further, in the case where, for example, the above-described gas is flowed from each gas supply pipe, a first silicon source gas supply system as a first source gas supply system for supplying a first source gas containing a predetermined element and a halogen group by a first gas supply system , That is, a chlorosilane-based source gas supply system is constituted. The chlorosilane-based feed gas supply system is also simply referred to as a chlorosilane-based feed supply system. And a second silicon source gas supply system, that is, an aminosilane-based source gas supply system, as a second source gas supply system for supplying a second source gas containing a predetermined element and an amino group by the second gas supply system. The aminosilane-based feed gas supply system is also referred to simply as an aminosilane-based feed system. The third gas supply system constitutes an amine supply system, that is, an amine-based source gas supply system, as a third source gas supply system for supplying the amine-based source gas. The amine-based feed gas supply system is also referred to simply as an amine-based feed system. The fourth gas supply system constitutes a nitrogen-containing gas supply system as a nitrification gas supply system. The fifth gas supply system constitutes an oxygen-containing gas supply system as an oxidizing gas supply system.

또한 클로로실란계 원료 가스와 아미노실란계 원료 가스와 아민계 원료 가스를 총칭하여 원료 가스라고 칭하는 경우, 클로로실란계 원료 가스 공급계와 아미노실란계 원료 가스 공급계와 아민계 원료 가스 공급계에 의해 원료 가스 공급계가 구성된다. 또한 원료 가스 공급계를 단순히 원료 공급계라고도 칭한다. 또한 질소 함유 가스(질화 가스) 및, 산소 함유 가스(산화 가스)를 총칭하여 반응 가스라고 칭하는 경우, 질소 함유 가스 공급계 및, 산소 함유 가스 공급계에 의해 반응 가스 공급계가 구성된다. 또한 전술과 같이 아민계 원료 가스는 질소 함유 가스이기도 하고, 탄소 함유 가스이기도 하고, 수소 함유 가스이기도 하기 때문에 반응 가스라고 칭하는 경우도 있다.When the chlorosilane-based source gas, the aminosilane-based source gas and the amine-based source gas are collectively referred to as a source gas, the chlorosilane-based source gas supply system, the aminosilane-based source gas supply system, and the amine- A raw material gas supply system is constituted. The raw material gas supply system is also simply referred to as a raw material supply system. When a nitrogen-containing gas (nitriding gas) and an oxygen-containing gas (oxidizing gas) are collectively referred to as a reactive gas, a reaction gas supply system is constituted by a nitrogen-containing gas supply system and an oxygen-containing gas supply system. Further, as described above, the amine-based source gas is also referred to as a reactive gas because it is a nitrogen-containing gas, a carbon-containing gas, or a hydrogen-containing gas.

버퍼실(237) 내에는 도 2에 도시하는 바와 같이 가늘고 긴 구조를 가지는 제1 전극인 제1 봉 형상 전극(269) 및 제2 전극인 제2 봉 형상 전극(270)이 반응관(203)의 하부로부터 상부에 걸쳐 웨이퍼(200)의 적층 방향을 따라 배설된다. 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270)의 각각은 제4 노즐(249d)과 평행으로 설치된다. 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270)의 각각은 상부로부터 하부에 걸쳐 각 전극을 보호하는 보호관인 전극 보호관(275)에 의해 피복되는 것에 의해 보호된다. 이 제1 봉 형상 전극(269) 또는 제2 봉 형상 전극(270) 중 어느 일방(一方)은 정합기(272)를 개재하여 고주파 전원(273)에 접속되고, 타방(他方)은 기준 전위인 어스에 접속된다. 정합기(272)를 개재하여 고주파 전원(273)으로부터 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 고주파 전력을 인가하는 것에 의해 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이의 플라즈마 생성 영역(224)에 플라즈마가 생성된다. 주로 제1 봉 형상 전극(269), 제2 봉 형상 전극(270), 전극 보호관(275)에 의해 플라즈마 발생기(플라즈마 발생부)로서의 플라즈마원(源)이 구성된다. 또한 정합기(272), 고주파 전원(273)을 플라즈마원에 포함시켜서 생각해도 좋다. 또한 플라즈마원은 후술하는 바와 같이 가스를 플라즈마로 활성화(여기)시키는 활성화 기구(여기부)로서 기능한다.In the buffer chamber 237, a first rod-shaped electrode 269 and a second rod-shaped electrode 270, which are a first electrode having an elongated structure as shown in Fig. 2, Along the direction in which the wafers 200 are stacked. Each of the first rod-shaped electrode 269 and the second rod-shaped electrode 270 is installed in parallel with the fourth nozzle 249d. Each of the first bar-shaped electrode 269 and the second bar-shaped electrode 270 is protected by being covered by an electrode protection tube 275 which is a protection tube protecting each electrode from top to bottom. Either one of the first rod-shaped electrode 269 or the second rod-shaped electrode 270 is connected to the high-frequency power source 273 via the matching unit 272 and the other is connected to the reference potential Earth. Frequency power is applied between the first bar-shaped electrode 269 and the second bar-shaped electrode 270 from the high-frequency power source 273 via the matching unit 272, whereby the first bar-shaped electrode 269 and the second bar- Plasma is generated in the plasma generation region 224 between the two-rod electrodes 270. A plasma source as a plasma generator (plasma generating portion) is constituted mainly by the first rod-like electrode 269, the second rod-shaped electrode 270, and the electrode protecting tube 275. Also, the matcher 272 and the high-frequency power source 273 may be included in the plasma source. The plasma source also functions as an activation mechanism (excitation portion) for activating (exciting) the gas with plasma as described later.

전극 보호관(275)은 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270)의 각각을 버퍼실(237)의 분위기와 격리한 상태에서 버퍼실(237) 내에 삽입할 수 있는 구조로 이루어진다. 여기서 전극 보호관(275)의 내부의 산소 농도가 외기(外氣)[대기(大氣)]의 산소 농도와 같은 정도이면, 전극 보호관(275)에 각각 삽입된 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270)은 히터(207)에 의한 열로 산화된다. 그렇기 때문에 전극 보호관(275)의 내부에 질소 가스 등의 불활성 가스를 미리 충전하거나, 전극 보호관(275)의 내부를 불활성 가스 퍼지 기구를 이용하여 질소 가스 등의 불활성 가스로 퍼지하는 것에 의해, 전극 보호관(275)의 내부의 산소 농도를 저감시켜 제1 봉 형상 전극(269) 또는 제2 봉 형상 전극(270)의 산화를 방지할 수 있도록 구성된다.The electrode protection tube 275 has a structure in which each of the first rod-shaped electrode 269 and the second rod-shaped electrode 270 can be inserted into the buffer chamber 237 while being isolated from the atmosphere of the buffer chamber 237 . When the oxygen concentration inside the electrode protection tube 275 is equal to the oxygen concentration in the outside air (atmospheric air), the first rod-like electrode 269 and the second rod- The two-rod electrode 270 is oxidized to heat by the heater 207. Therefore, an inert gas such as nitrogen gas is preliminarily charged into the electrode protection tube 275, or the inside of the electrode protection tube 275 is purged with an inert gas such as nitrogen gas using an inert gas purge mechanism, So that the oxygen concentration inside the first rod-like electrode 279 and the second rod-shaped electrode 270 can be reduced to prevent oxidation of the first rod-shaped electrode 269 or the second rod-shaped electrode 270.

반응관(203)에는 처리실(201) 내의 분위기를 배기하는 배기관(231)이 설치된다. 배기관(231)에는 처리실(201) 내의 압력을 검출하는 압력 검출기(압력 검출부)로서의 압력 센서(245) 및 압력 조정기(압력 조정부)로서의 APC(Auto Pressure Controller)밸브(244)를 개재하여 진공 배기 장치로서의 진공 펌프(246)가 접속된다. 또한 APC밸브(244)는 진공 펌프(246)를 작동시킨 상태에서 밸브를 개폐하는 것에 의해 처리실(201) 내의 진공 배기 및 진공 배기 정지를 수행할 수 있고, 또한 진공 펌프(246)를 작동시킨 상태에서 밸브의 개도(開度)를 조절하는 것에 의해 처리실(201) 내의 압력을 조정할 수 있도록 구성된 밸브다. 주로 배기관(231), APC밸브(244), 압력 센서(245)에 의해 배기계가 구성된다. 또한 진공 펌프(246)를 배기계에 포함시켜서 생각해도 좋다. 배기계는 진공 펌프(246)를 작동시키면서 압력 센서(245)에 의해 검출된 압력 정보에 기초하여 APC밸브(244)의 밸브의 개도를 조절하는 것에 의해 처리실(201) 내의 압력이 소정의 압력(진공도)이 되도록 진공 배기할 수 있도록 구성된다.The reaction tube 203 is provided with an exhaust pipe 231 for exhausting the atmosphere in the processing chamber 201. The exhaust pipe 231 is provided with a pressure sensor 245 as a pressure detector (pressure detecting portion) for detecting the pressure in the process chamber 201 and an APC (Auto Pressure Controller) valve 244 as a pressure regulator A vacuum pump 246 is connected. Further, the APC valve 244 can perform vacuum exhaust and vacuum exhaust stop in the processing chamber 201 by opening and closing the valve while the vacuum pump 246 is operated, and furthermore, by operating the vacuum pump 246 A valve configured to adjust the pressure in the process chamber 201 by adjusting the opening degree of the valve in the process chamber 201. [ An exhaust system is mainly composed of an exhaust pipe 231, an APC valve 244, and a pressure sensor 245. The vacuum pump 246 may be included in the exhaust system. The exhaust system controls the opening degree of the valve of the APC valve 244 based on the pressure information detected by the pressure sensor 245 while operating the vacuum pump 246 so that the pressure in the processing chamber 201 is maintained at a predetermined pressure ). ≪ / RTI >

반응관(203)의 하방에는 반응관(203)의 하단 개구를 기밀하게 폐색 가능한 노구 개체(蓋體)로서의 씰 캡(219)이 설치된다. 씰 캡(219)은 반응관(203)의 하단에 수직 방향 하측으로부터 당접(當接)되도록 구성된다. 씰 캡(219)은 예컨대 스텐레스 등의 금속으로 이루어지고, 원반 형상으로 형성된다. 씰 캡(219)의 상면에는 반응관(203)의 하단과 당접하는 씰 부재로서의 O링(220)이 설치된다. 씰 캡(219)의 처리실(201)과 반대측에는 후술하는 기판 보지구로서의 보트(217)를 회전시키는 회전 기구(267)가 설치된다. 회전 기구(267)의 회전축(255)은 씰 캡(219)을 관통해서 보트(217)에 접속된다. 회전 기구(267)는 보트(217)를 회전시키는 것에 의해 웨이퍼(200)를 회전시키도록 구성된다. 씰 캡(219)은 반응관(203)의 외부에 수직으로 설치된 승강 기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성된다. 보트 엘리베이터(115)는 씰 캡(219)을 승강시키는 것에 의해 보트(217)를 처리실(201) 내외로 반입 및 반출하는 것이 가능하도록 구성된다. 즉 보트 엘리베이터(115)는 보트(217), 즉 웨이퍼(200)를 처리실(201) 내외로 반송하는 반송 장치(반송 기구)로서 구성된다.Below the reaction tube 203, a seal cap 219 is provided as a lid body capable of hermetically closing the lower end opening of the reaction tube 203. The seal cap 219 is configured to be in contact with the lower end of the reaction tube 203 from the lower side in the vertical direction. The seal cap 219 is made of metal such as stainless steel and formed into a disc shape. On the upper surface of the seal cap 219, there is provided an O-ring 220 as a seal member which contacts the lower end of the reaction tube 203. On the opposite side of the seal chamber 219 from the process chamber 201, a rotation mechanism 267 for rotating a boat 217 as a substrate support, which will be described later, is provided. The rotating shaft 255 of the rotating mechanism 267 penetrates the seal cap 219 and is connected to the boat 217. The rotating mechanism 267 is configured to rotate the wafer 200 by rotating the boat 217. The seal cap 219 is configured to be vertically elevated and lowered by a boat elevator 115 as a vertically installed elevating mechanism on the outside of the reaction tube 203. The boat elevator 115 is constructed so that the boat 217 can be carried in and out of the processing chamber 201 by moving the seal cap 219 up and down. In other words, the boat elevator 115 is configured as a boat 217, that is, as a transfer device (transfer mechanism) for transferring the wafer 200 into and out of the process chamber 201.

기판 지지구로서의 보트(217)는 예컨대 석영이나 탄화규소 등의 내열성 재료로 이루어지고, 복수 매의 웨이퍼(200)를 수평 자세로, 또한 서로 중심을 맞춘 상태로 정렬시켜서 다단으로 지지하도록 구성된다. 또한 보트(217)의 하부에는 예컨대 석영이나 탄화규소 등의 내열성 재료로 이루어지는 단열 부재(218)가 설치되고, 히터(207)로부터의 열이 씰 캡(219)측에 전달되기 어렵도록 구성된다. 또한 단열 부재(218)는 석영이나 탄화규소 등의 내열성 재료로 이루어지는 복수 매의 단열판과, 이들 단열판을 수평 자세로 다단으로 지지하는 단열판 홀더에 의해 구성해도 좋다.The boat 217 as a substrate support is made of a heat-resistant material such as quartz or silicon carbide, and is configured to support a plurality of wafers 200 in a horizontal posture and in a state of being centered to each other and to be supported in multiple stages. A heat insulating member 218 made of a heat resistant material such as quartz or silicon carbide is provided under the boat 217 to prevent heat from the heater 207 from being transmitted to the seal cap 219 side. The heat insulating member 218 may be composed of a plurality of heat insulating plates made of a heat resistant material such as quartz or silicon carbide and a heat insulating plate holder for supporting the heat insulating plates in a horizontal position in multiple stages.

반응관(203) 내에는 온도 검출기로서의 온도 센서(263)가 설치되고, 온도 센서(263)에 의해 검출된 온도 정보에 기초하여 히터(207)로의 통전 상태를 조정하는 것에 의해 처리실(201) 내의 온도가 원하는 온도 분포가 되도록 구성된다. 온도 센서(263)는 노즐(249a, 249b, 249c, 249d)과 마찬가지로 L자형으로 구성되고, 반응관(203)의 내벽을 따라 설치된다.A temperature sensor 263 as a temperature detector is provided in the reaction tube 203 and the state of the heater 207 is adjusted based on the temperature information detected by the temperature sensor 263, The temperature is configured to be a desired temperature distribution. Like the nozzles 249a, 249b, 249c, and 249d, the temperature sensor 263 is formed in an L shape and is disposed along the inner wall of the reaction tube 203. [

도 3에 도시되는 바와 같이 제어부(제어 수단)인 컨트롤러(121)는 CPU(121a)(Central Processing Unit), RAM(121b)(Random Access Memory), 기억 장치(121c), I/O 포트(121d)를 구비한 컴퓨터로서 구성된다. RAM(121b), 기억 장치(121c), I/O 포트(121d)는 내부 버스(121e)를 개재하여 CPU(121a)와 데이터 교환 가능하도록 구성된다. 컨트롤러(121)에는 예컨대 터치패널 등으로서 구성된 입출력 장치(122)가 접속된다.3, the controller 121 which is a control unit (control means) includes a CPU 121a (Central Processing Unit), a RAM 121b (Random Access Memory), a storage device 121c, an I / O port 121d As shown in Fig. The RAM 121b, the storage device 121c and the I / O port 121d are configured to exchange data with the CPU 121a via an internal bus 121e. The controller 121 is connected to an input / output device 122 configured as, for example, a touch panel.

기억 장치(121c)는 예컨대 플래시 메모리, HDD(Hard Disk Drive) 등으로 구성된다. 기억 장치(121c) 내에는 기판 처리 장치의 동작을 제어하는 제어 프로그램이나, 후술하는 기판 처리의 순서나 조건 등이 기재된 프로세스 레시피 등이 판독 가능하도록 격납된다. 또한 프로세스 레시피는 후술하는 기판 처리 공정에서의 각 순서를 컨트롤러(121)에 실행시켜 소정의 결과를 얻을 수 있도록 조합된 것이며, 프로그램으로서 기능한다. 이하, 이 프로세스 레시피나 제어 프로그램 등을 총칭하여 단순히 프로그램이라고도 부른다. 또한 본 명세서에서 프로그램이라는 단어를 이용한 경우는 프로세스 레시피 단체(單體)만을 포함하는 경우, 제어 프로그램 단체만을 포함하는 경우, 또는 그 양방을 포함하는 경우가 있다. 또한 RAM(121b)은 CPU(121a)에 의해 판독된 프로그램이나 데이터 등이 일시적으로 보지되는 메모리 영역(work area)으로서 구성된다.The storage device 121c is composed of, for example, a flash memory, a hard disk drive (HDD), or the like. In the storage device 121c, a control program for controlling the operation of the substrate processing apparatus, a process recipe describing the order and condition of substrate processing to be described later, and the like are stored so as to be readable. The process recipe is combined with the controller 121 so as to obtain a predetermined result by executing the respective steps in the substrate processing step to be described later, and functions as a program. Hereinafter, the process recipe, the control program, and the like are collectively referred to simply as a program. In the present specification, the word "program" includes only a process recipe group, or includes only a control program group, or both. Further, the RAM 121b is configured as a memory area (work area) in which programs and data read by the CPU 121a are temporarily held.

I/O 포트(121d)는 전술한 매스 플로우 컨트롤러(241a, 241b, 241c, 241d, 241e, 241f, 241g, 241h, 241j), 밸브(243a, 243b, 243c, 243d, 243e, 243f, 243g, 243h, 243j), 압력 센서(245), APC밸브(244), 진공 펌프(246), 히터(207), 온도 센서(263), 회전 기구(267), 보트 엘리베이터(115), 고주파 전원(273), 정합기(272) 등에 접속된다.The I / O port 121d is connected to the mass flow controllers 241a, 241b, 241c, 241d, 241e, 241f, 241g, 241h, 241j, 243a, 243b, 243c, 243d, 243e, 243f, 243g, 243h 243j, a pressure sensor 245, an APC valve 244, a vacuum pump 246, a heater 207, a temperature sensor 263, a rotation mechanism 267, a boat elevator 115, a high frequency power source 273, Matching device 272, and the like.

CPU(121a)는 기억 장치(121c)로부터 제어 프로그램을 판독하여 실행하는 것과 함께, 입출력 장치(122)로부터의 조작 커맨드의 입력 등에 따라 기억 장치(121c)로부터 프로세스 레시피를 판독하도록 구성된다. 그리고 CPU(121a)는 판독한 프로세스 레시피의 내용을 따르도록 매스 플로우 컨트롤러(241a, 241b, 241c, 241d, 241e, 241f, 241g, 241h, 241j)에 의한 각종 가스의 유량 조정 동작, 밸브(243a, 243b, 243c, 243d, 243e, 243f, 243g, 243h, 243j)의 개폐 동작, APC밸브(244)의 개폐 동작 및 APC밸브(244)에 의한 압력 센서(245)에 기초하는 압력 조정 동작, 온도 센서(263)에 기초하는 히터(207)의 온도 조정 동작, 진공 펌프(246)의 기동 및 정지, 고주파 전원(273)의 전력 공급, 정합기(272)에 의한 임피던스 조정 동작, 회전 기구(267)에 의한 보트(217)의 회전 및 회전 속도 조절 동작, 보트 엘리베이터(115)에 의한 보트(217)의 승강 동작 등을 제어하도록 구성된다.The CPU 121a is configured to read and execute the control program from the storage device 121c and to read the process recipe from the storage device 121c in response to an input of an operation command from the input / output device 122. [ The CPU 121a performs various gas flow rate adjustment operations by the mass flow controllers 241a, 241b, 241c, 241d, 241e, 241f, 241g, 241h, and 241j to control the contents of the read process recipe, Closing operation of the APC valve 244 and pressure adjusting operation based on the pressure sensor 245 by the APC valve 244, and the temperature sensor 243, 243c, 243d, 243e, 243f, 243g, 243h, 243j, The power supply of the high frequency power supply 273, the impedance adjustment operation by the matching device 272, the operation of the rotation mechanism 267, And the operation of adjusting the rotation speed of the boat 217 by the boat elevator 115 and the elevating operation of the boat 217 by the boat elevator 115. [

또한 컨트롤러(121)는 전용의 컴퓨터로서 구성되는 경우에 한정되지 않고, 범용의 컴퓨터로서 구성되어도 좋다. 예컨대 전술한 프로그램을 격납한 외부 기억 장치(123)[예컨대 자기(磁氣) 테이프, 플렉시블 디스크나 하드 디스크 등의 자기 디스크, CD나 DVD 등의 광(光)디스크, MO 등의 광자기 디스크, USB메모리나 메모리 카드 등의 반도체 메모리]를 준비하고, 이와 같은 외부 기억 장치(123)를 이용하여 범용의 컴퓨터에 프로그램을 인스톨하는 것 등에 의해 본 실시 형태에 따른 컨트롤러(121)를 구성할 수 있다. 또한 컴퓨터에 프로그램을 공급하기 위한 수단은 외부 기억 장치(123)를 개재하여 공급하는 경우에 한정되지 않는다. 예컨대 인터넷이나 전용 회선 등의 통신 수단을 이용하여 외부 기억 장치(123)를 개재하지 않고 프로그램을 공급해도 좋다. 또한 기억 장치(121c)나 외부 기억 장치(123)는 컴퓨터 판독 가능한 기록 매체로서 구성된다. 이하, 이들을 총칭하여 단순히 기록 매체라고도 부른다. 또한 본 명세서에서 기록 매체라는 단어를 이용한 경우는 기억 장치(121c) 단체만을 포함하는 경우, 외부 기억 장치(123) 단체만을 포함하는 경우, 또는 그 양방을 포함하는 경우가 있다.The controller 121 is not limited to being a dedicated computer, and may be configured as a general-purpose computer. A magnetic tape such as a magnetic disk such as a flexible disk or a hard disk, an optical disk such as a CD or a DVD, a magneto-optical disk such as an MO, or the like, A semiconductor memory such as a USB memory or a memory card) and installing the program in a general-purpose computer by using the external storage device 123 can constitute the controller 121 according to the present embodiment . In addition, the means for supplying the program to the computer is not limited to the case of supplying via the external storage device 123. [ The program may be supplied without interposing the external storage device 123 using a communication means such as the Internet or a private line. Further, the storage device 121c and the external storage device 123 are configured as a computer-readable recording medium. Hereinafter, they are collectively referred to simply as a recording medium. In the present specification, the term " recording medium " includes the case where only the storage device 121c is included alone, the case where only the external storage device 123 is included alone, or both cases.

다음으로 전술한 기판 처리 장치의 처리로를 이용하여 반도체 장치(디바이스)의 제조 공정의 일 공정으로서 기판 상에 박막을 성막하는 시퀀스예에 대하여 설명한다. 또한 이하의 설명에서 기판 처리 장치를 구성하는 각(各) 부(部)의 동작은 컨트롤러(121)에 의해 제어된다.Next, a sequence example in which a thin film is formed on a substrate as one step of a manufacturing process of a semiconductor device (device) using the processing furnace of the above-described substrate processing apparatus will be described. In the following description, the operations of the respective parts constituting the substrate processing apparatus are controlled by the controller 121. [

본 발명의 실시 형태에서는 형성하는 막의 조성비가 화학량론 조성, 또는 화학량론 조성과는 다른 소정의 조성비가 되도록 하는 것을 목적으로 하여, 형성하는 박막을 구성하는 복수의 원소를 포함하는 복수 종류의 가스의 공급 조건을 제어한다. 예컨대 형성하는 막을 구성하는 복수의 원소 중 적어도 하나의 원소가 다른 원소보다 화학량론 조성에 대하여 과잉이 되도록 하는 것을 목적으로 하여 공급 조건을 제어한다. 이하, 형성하는 박막을 구성하는 복수의 원소의 비율, 즉 박막의 조성비를 제어하면서 성막을 수행하는 3개의 시퀀스예(제1 시퀀스 내지 제3 시퀀스)에 대하여 설명한다.In the embodiment of the present invention, for the purpose of making the composition ratio of the film to be formed to be a predetermined composition ratio which is different from the stoichiometric composition or the stoichiometric composition, it is preferable that a plurality of kinds of gases including a plurality of elements constituting the thin film to be formed Control the supply conditions. For example, the supply conditions are controlled for the purpose of making at least one element among the plurality of elements constituting the film to be formed to be excessive relative to the stoichiometric composition. Hereinafter, three example sequences (first sequence to third sequence) for performing film formation while controlling the ratio of a plurality of elements constituting the thin film, that is, the composition ratio of the thin film will be described.

본 실시 형태의 제1 시퀀스에서는,In the first sequence of the present embodiment,

처리실 내의 기판에 소정 원소 및 할로겐기를 포함하는 제1 원료 가스를 공급하는 공정과, 처리실 내의 기판에 소정 원소 및 아미노기를 포함하는 제2 원료 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해, 기판 상에 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정; 및A step of supplying a first source gas containing a predetermined element and a halogen group to a substrate in the treatment chamber and a step of supplying a second source gas containing a predetermined element and an amino group to the substrate in the treatment chamber are alternately performed a predetermined number of times , A step of forming a first layer containing a predetermined element, nitrogen and carbon on the substrate; And

처리실 내의 기판에 아민계 원료 가스를 공급하는 것에 의해 제1층을 개질하여 제2층을 형성하는 공정;A step of modifying the first layer to form a second layer by supplying an amine-based source gas to the substrate in the treatment chamber;

을 교호적으로 소정 횟수 수행하는 것에 의해 기판 상에 소정 원소를 포함하는 소정 조성의 박막을 형성한다.Are alternately performed a predetermined number of times to form a thin film having a predetermined composition including a predetermined element on the substrate.

본 실시 형태의 제2 시퀀스 및 제3 시퀀스에서는,In the second sequence and the third sequence of the present embodiment,

처리실 내의 기판에 소정 원소 및 할로겐기를 포함하는 제1 원료 가스를 공급하는 공정과, 처리실 내의 기판에 소정 원소 및 아미노기를 포함하는 제2 원료 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해, 기판 상에 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;A step of supplying a first source gas containing a predetermined element and a halogen group to a substrate in the treatment chamber and a step of supplying a second source gas containing a predetermined element and an amino group to the substrate in the treatment chamber are alternately performed a predetermined number of times , A step of forming a first layer containing a predetermined element, nitrogen and carbon on the substrate;

처리실 내의 기판에 아민계 원료 가스를 공급하는 것에 의해 제1층을 개질하여 제2층을 형성하는 공정; 및A step of modifying the first layer to form a second layer by supplying an amine-based source gas to the substrate in the treatment chamber; And

처리실 내의 기판에 각 원료 가스와는 다른 반응 가스를 공급하는 것에 의해 제2층을 개질하여 제3층을 형성하는 공정;A step of forming a third layer by modifying the second layer by supplying a reaction gas different from each of the source gases to the substrate in the treatment chamber;

을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 기판 상에 소정 원소를 포함하는 소정 조성의 박막을 형성한다.To form a thin film having a predetermined composition including a predetermined element on the substrate.

(제1 시퀀스)(First sequence)

우선 본 실시 형태의 제1 시퀀스에 대하여 설명한다. 도 4는 본 실시 형태의 제1 시퀀스에서의 성막 플로우의 예를 도시하는 도면이다. 도 7은 본 실시 형태의 제1 시퀀스에서의 가스 공급의 타이밍의 예를 도시하는 도면이다.First, the first sequence of the present embodiment will be described. 4 is a diagram showing an example of a film formation flow in the first sequence of the present embodiment. 7 is a diagram showing an example of timing of gas supply in the first sequence of the present embodiment.

본 실시 형태의 제1 시퀀스에서는,In the first sequence of the present embodiment,

처리실(201) 내의 웨이퍼(200)에 대하여 클로로실란계 원료와 아미노실란계 원료를 교호적으로 공급하는 것에 의해 웨이퍼(200) 상에 실리콘, 질소 및 탄소를 포함하는 제1층을 형성하는 공정; 및Forming a first layer containing silicon, nitrogen and carbon on the wafer 200 by alternately supplying the chlorosilane-based raw material and the aminosilane-based raw material to the wafer 200 in the treatment chamber 201; And

처리실(201) 내의 웨이퍼(200)에 대하여 아민계 원료를 공급하는 것에 의해 제1층을 개질하여 제2층으로서 실리콘 탄질화층을 형성하는 공정;A step of modifying the first layer by supplying an amine-based raw material to the wafer 200 in the treatment chamber 201 to form a silicon carbonitride layer as a second layer;

을 교호적으로 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘 탄질화막을 형성한다.Thereby forming a silicon carbide nitride film having a predetermined composition and a predetermined film thickness on the wafer 200.

여기서 「클로로실란계 원료와 아미노실란계 원료를 교호적으로 공급한다」란 클로로실란계 원료 및 아미노실란계 원료 중 일방의 원료를 공급하고, 그 후, 타방의 원료를 공급하고, 이를 1세트로 한 경우, 이 세트를 1회 수행하는 경우와, 이 세트를 복수 회 반복하는 경우의 양방을 포함한다. 즉 이 세트를 1회 이상(소정 횟수) 수행하는 것을 의미한다.Here, " alternately supplying the chlorosilane-based raw material and the aminosilane-based raw material " means that one of the raw materials of the chlorosilane-based raw material and the aminosilane-based raw material is supplied and then the other raw material is supplied, In this case, the set includes both the case of performing the set once and the case of repeating the set a plurality of times. That is, to perform this set one or more times (a predetermined number of times).

또한 「제1층을 형성하는 공정과, 제2층을 형성하는 공정을 교호적으로 수행한다」란 제1층을 형성하는 공정과, 제2층을 형성하는 공정을 1사이클로 한 경우, 이 사이클을 1회 수행하는 경우와, 이 사이클을 복수 회 반복하는 경우의 양방을 포함한다. 즉 이 사이클을 1회 이상(소정 횟수) 수행하는 것을 의미한다.Further, "alternately performing the step of forming the first layer and the step of forming the second layer" means that, when the step of forming the first layer and the step of forming the second layer are performed in one cycle, And the case where the cycle is repeated a plurality of times. That is, this cycle is performed at least once (a predetermined number of times).

도 4 및 도 7은 전술한 세트, 즉 클로로실란계 원료 및 아미노실란계 원료 중 일방의 원료를 공급하는 공정과, 그 후, 타방의 원료를 공급하는 공정으로 구성되는 세트를 1회 수행하고, 전술한 사이클, 즉 제1층을 형성하는 공정과, 제2층을 형성하는 공정으로 구성되는 사이클을 소정 횟수 수행하는 시퀀스의 예를 도시한다.Fig. 4 and Fig. 7 show that the set consisting of the above-described set, that is, the step of supplying one of the raw materials among the chlorosilane-based raw material and the aminosilane-based raw material, and the subsequent step of supplying the other raw material, There is shown an example of a sequence in which the above-described cycle, that is, the step of forming the first layer and the step of forming the second layer are performed a predetermined number of times.

즉 도 4 및 도 7의 성막 시퀀스에서는 처리실(201) 내의 웨이퍼(200)에 대하여 클로로실란계 원료를 공급하고, 그 후, 아미노실란계 원료를 공급하는 것에 의해, 웨이퍼(200) 상에 실리콘, 질소 및 탄소를 포함하는 제1층을 형성하는 공정; 및That is, in the film formation sequence of FIGS. 4 and 7, the chlorosilane-based raw material is supplied to the wafer 200 in the processing chamber 201, and then the aminosilane-based raw material is supplied, Forming a first layer comprising nitrogen and carbon; And

처리실(201) 내의 웨이퍼(200)에 대하여 아민계 원료를 공급하는 것에 의해 제1층을 개질하여 제2층으로서 실리콘 탄질화층을 형성하는 공정;A step of modifying the first layer by supplying an amine-based raw material to the wafer 200 in the treatment chamber 201 to form a silicon carbonitride layer as a second layer;

을 교호적으로 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘 탄질화막을 형성한다.Is performed alternately a predetermined number of times to form a silicon carbide nitride film having a predetermined composition and a predetermined film thickness on the wafer 200.

이하, 본 실시 형태의 제1 시퀀스를 구체적으로 설명한다. 여기서는 클로로실란계 원료 가스로서 HCDS가스를 아미노실란계 원료 가스로서 3DMAS가스를 아민계 원료 가스로서 TEA가스를 이용하여, 도 4 및 도 7의 성막 시퀀스에 의해 기판 상에 절연막으로서 실리콘 탄질화막(SiCN막)을 형성하는 예에 대하여 설명한다.Hereinafter, the first sequence of the present embodiment will be described in detail. Here, HCDS gas as an aminosilane-based source gas as a chlorosilane-based source gas, 3DMAS gas as an amine-based source gas, TEA gas as a source gas, and a silicon carbide film (SiCN Film) is formed on the substrate.

또한 본 명세서에서 「웨이퍼」라는 단어를 이용한 경우는 「웨이퍼 그 자체」를 의미하는 경우나, 「웨이퍼와 그 표면에 형성된 소정의 층이나 막 등의 적층체(집합체)」를 의미하는 경우(즉 표면에 형성된 소정의 층이나 막 등을 포함시켜서 웨이퍼라고 칭하는 경우)가 있다. 또한 본 명세서에서 「웨이퍼의 표면」이라는 단어를 이용한 경우는 「웨이퍼 그 자체의 표면(노출면)」을 의미하는 경우나, 「웨이퍼 상에 형성된 소정의 층이나 막 등의 표면, 즉 적층체로서의 웨이퍼의 최표면(最表面)」을 의미하는 경우가 있다.In the present specification, the word " wafer " is used to mean " the wafer itself " or the case where the term " laminate (aggregate) of a predetermined layer or film formed on the wafer and its surface " A predetermined layer or a film formed on the surface is referred to as a wafer). When the word " surface of wafer " is used in this specification, " surface (exposed surface) " of the wafer itself or " surface of a predetermined layer or film formed on the wafer, Quot ;, the " outermost surface of the wafer "

따라서 본 명세서에서 「웨이퍼에 대하여 소정의 가스를 공급한다」고 기재한 경우는 「웨이퍼 그 자체의 표면(노출면)에 대하여 소정의 가스를 직접 공급한다」는 것을 의미하는 경우나, 「웨이퍼 상에 형성되는 층이나 막 등에 대하여, 즉 적층체로서의 웨이퍼의 최표면에 대하여 소정의 가스를 공급한다」는 것을 의미하는 경우가 있다. 또한 본 명세서에서 「웨이퍼 상에 소정의 층(또는 막)을 형성한다」고 기재한 경우는 「웨이퍼 그 자체의 표면(노출면) 상에 소정의 층(또는 막)을 직접 형성한다」는 것을 의미하는 경우나, 「웨이퍼 상에 형성되는 층이나 막 등의 상, 즉 적층체로서의 웨이퍼의 최표면 상에 소정의 층(또는 막)을 형성한다」는 것을 의미하는 경우가 있다.Therefore, in the case of describing " supplying a predetermined gas to a wafer " in the present specification, it means that "a predetermined gas is directly supplied to the surface (exposed surface) of the wafer itself" A predetermined gas is supplied to the layer or film formed on the wafer, that is, the outermost surface of the wafer as the layered product ". In the case of "forming a predetermined layer (or film) on a wafer" in the present specification, "a predetermined layer (or film) is directly formed on the surface (exposed surface) of the wafer itself" (Or film) is formed on the top surface of the wafer as a layer or a film to be formed on the wafer, that is, a layered body, in some cases.

또한 본 명세서에서 「기판」이라는 단어를 이용한 경우에도 「웨이퍼」라는 단어를 이용한 경우와 마찬가지이며, 그 경우, 상기 설명에서 「웨이퍼」를 「기판」으로 치환해서 생각하면 좋다.In this specification, the word " substrate " is used in the same way as the case of using the word " wafer ". In this case, the word " wafer "

(웨이퍼 차지 및 보트 로드)(Wafer charge and boat load)

복수 매의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 차지)되면, 도 1에 도시되는 바와 같이 복수 매의 웨이퍼(200)를 지지한 보트(217)는 보트 엘리베이터(115)에 의해 들어올려져 처리실(201) 내에 반입(보트 로드)된다. 이 상태에서 씰 캡(219)은 O링(220)을 개재하여 반응관(203)의 하단을 밀봉한 상태가 된다.When a plurality of wafers 200 are loaded (wafer charged) on the boat 217, a boat 217 supporting a plurality of wafers 200 as shown in Fig. 1 is brought in by the boat elevator 115 (Boat-loaded) into the processing chamber 201. In this state, the seal cap 219 is in a state of sealing the lower end of the reaction tube 203 via the O-ring 220.

(압력 조정 및 온도 조정)(Pressure adjustment and temperature adjustment)

처리실(201) 내가 원하는 압력(진공도)이 되도록 진공 펌프(246)에 의해 진공 배기된다. 이 때 처리실(201) 내의 압력은 압력 센서(245)로 측정되어, 이 측정된 압력 정보에 기초하여 APC밸브(244)가 피드백 제어된다(압력 조정). 또한 진공 펌프(246)는 적어도 웨이퍼(200)에 대한 처리가 완료할 때까지의 사이는 상시 작동시킨 상태를 유지한다. 또한 처리실(201) 내가 원하는 온도가 되도록 히터(207)에 의해 가열된다. 이 때 처리실(201) 내가 원하는 온도 분포가 되도록 온도 센서(263)가 검출한 온도 정보에 기초하여 히터(207)로의 통전 상태가 피드백 제어된다(온도 조정). 또한 히터(207)에 의한 처리실(201) 내의 가열은 적어도 웨이퍼(200)에 대한 처리가 완료할 때까지의 사이는 계속해서 수행된다. 계속해서 회전 기구(267)에 의해 보트(217)가 회전되는 것에 의해 웨이퍼(200)가 회전된다(웨이퍼 회전). 또한 회전 기구(267)에 의한 보트(217) 및 웨이퍼(200)의 회전은 적어도 웨이퍼(200)에 대한 처리가 완료할 때까지의 사이는 계속해서 수행된다.And is evacuated by the vacuum pump 246 so that the pressure in the processing chamber 201 becomes a desired pressure (vacuum degree). At this time, the pressure in the processing chamber 201 is measured by the pressure sensor 245, and the APC valve 244 is feedback-controlled based on the measured pressure information (pressure adjustment). Further, the vacuum pump 246 maintains a state in which the vacuum pump 246 always operates at least until the processing for the wafer 200 is completed. And is heated by the heater 207 so that the temperature in the processing chamber 201 becomes a desired temperature. At this time, based on the temperature information detected by the temperature sensor 263 so that the temperature distribution in the processing chamber 201 becomes a desired temperature, the energization state of the heater 207 is feedback controlled (temperature adjustment). The heating in the processing chamber 201 by the heater 207 is continuously performed at least until the processing for the wafer 200 is completed. Subsequently, the boat 217 is rotated by the rotating mechanism 267 to rotate the wafer 200 (wafer rotation). The rotation of the boat 217 and the wafer 200 by the rotating mechanism 267 is continuously performed at least until the processing on the wafer 200 is completed.

(실리콘 탄질화막 형성 공정)(Silicon Carbonitride Film Forming Step)

그 후, 다음 3개의 스텝, 즉 스텝1 내지 스텝3을 순차 실행한다.Then, the next three steps, that is, steps 1 to 3, are sequentially executed.

[스텝1](HCDS가스 공급)[Step 1] (supply of HCDS gas)

제1 가스 공급관(232a)의 밸브(243a)를 열고, 제1 가스 공급관(232a) 내에 HCDS가스를 흘린다. 제1 가스 공급관(232a) 내를 흐른 HCDS가스는 매스 플로우 컨트롤러(241a)에 의해 유량 조정된다. 유량 조정된 HCDS가스는 제1 노즐(249a)의 가스 공급공(250a)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이 때 웨이퍼(200)에 대하여 HCDS가스가 공급된다. 이 때 동시에 밸브(243e)를 열고, 불활성 가스 공급관(232e) 내에 N2가스 등의 불활성 가스를 흘린다. 불활성 가스 공급관(232e) 내를 흐른 N2가스는 매스 플로우 컨트롤러(241e)에 의해 유량 조정된다. 유량 조정된 N2가스는 HCDS가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.The valve 243a of the first gas supply pipe 232a is opened and HCDS gas is flowed into the first gas supply pipe 232a. The HCDS gas flowing in the first gas supply pipe 232a is regulated in flow rate by the mass flow controller 241a. The HCDS gas whose flow rate is adjusted is supplied into the process chamber 201 from the gas supply hole 250a of the first nozzle 249a and is exhausted from the exhaust pipe 231. [ At this time, HCDS gas is supplied to the wafer 200. Simultaneously, the valve 243e is opened and an inert gas such as N 2 gas is flowed into the inert gas supply pipe 232e. The flow rate of the N 2 gas flowing in the inert gas supply pipe 232e is adjusted by the mass flow controller 241e. The flow-regulated N 2 gas is supplied into the processing chamber 201 together with the HCDS gas, and is exhausted from the exhaust pipe 231.

이 때 제2 노즐(249b), 제3 노즐(249c), 제4 노즐(249d), 버퍼실(237) 내로의 HCDS가스의 침입을 방지하기 위해서 밸브(243f, 243g, 243h)를 열고, 제2 불활성 가스 공급관(232f), 제3 불활성 가스 공급관(232g), 제4 불활성 가스 공급관(232h) 내에 N2가스를 흘린다. N2가스는 제2 가스 공급관(232b), 제3 가스 공급관(232c), 제4 가스 공급관(232d), 제2 노즐(249b), 제3 노즐(249c), 제4 노즐(249d), 버퍼실(237)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.At this time, valves 243f, 243g, and 243h are opened to prevent intrusion of HCDS gas into the second nozzle 249b, the third nozzle 249c, the fourth nozzle 249d, and the buffer chamber 237, N 2 gas is passed through the second inert gas supply pipe 232f, the third inert gas supply pipe 232g, and the fourth inert gas supply pipe 232h. The N 2 gas is supplied to the second gas supply pipe 232b, the third gas supply pipe 232c, the fourth gas supply pipe 232d, the second nozzle 249b, the third nozzle 249c, the fourth nozzle 249d, Is supplied into the processing chamber 201 through the chamber 237 and exhausted from the exhaust pipe 231. [

이 때, APC밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 1Pa 내지 13,300Pa, 바람직하게는 20Pa 내지 1,330Pa의 범위 내의 압력으로 한다. 매스 플로우 컨트롤러(241a)로 제어하는 HCDS가스의 공급 유량은 예컨대 1sccm 내지 1,000sccm의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241e, 241f, 241g, 241h)로 제어하는 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. HCDS가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간[조사(照射) 시간]은 예컨대 1초 내지 120초, 바람직하게는 1초 내지 60초의 범위 내의 시간으로 한다. 이 때 히터(207)의 온도는 웨이퍼(200)의 온도가 예컨대 250℃ 내지 700℃, 바람직하게는 300℃ 내지 650℃, 보다 바람직하게는 350℃ 내지 600℃의 범위 내의 온도가 될 수 있는 온도로 설정한다. 또한 웨이퍼(200)의 온도가 250℃ 미만이 되면 웨이퍼(200) 상에 HCDS가 화학 흡착하기 어려워져 실용적인 성막 속도를 얻지 못하는 경우가 있다. 웨이퍼(200)의 온도를 250℃ 이상으로 하는 것에 의해 이를 해소하는 것이 가능해진다. 또한 웨이퍼(200)의 온도를 300℃ 이상, 또한 350℃ 이상으로 하는 것에 의해 웨이퍼(200) 상에 HCDS를 보다 충분히 흡착시키는 것이 가능해지고, 보다 충분한 성막 속도를 얻을 수 있게 된다. 또한 웨이퍼(200)의 온도가 700℃를 초과하면 CVD반응이 강해지는[기상(氣相) 반응이 지배적이게 되는] 것에 의해 막 두께 균일성이 악화하기 쉬워져, 그 제어가 곤란해진다. 웨이퍼(200)의 온도를 700℃ 이하로 하는 것에 의해 막 두께 균일성의 악화를 억제할 수 있어 그 제어가 가능해진다. 특히 웨이퍼(200)의 온도를 650℃ 이하, 또한 600℃ 이하로 하는 것에 의해 표면 반응이 지배적이게 되어 막 두께 균일성을 확보하기 쉬워져 그 제어가 용이해진다. 따라서 웨이퍼(200)의 온도는 250℃ 내지 700℃, 바람직하게는 300℃ 내지 650℃, 보다 바람직하게는 350℃ 내지 600℃의 범위 내의 온도로 하는 것이 좋다.At this time, the APC valve 244 is suitably adjusted to set the pressure in the treatment chamber 201 to a pressure within a range of, for example, 1 Pa to 13,300 Pa, preferably 20 Pa to 1,330 Pa. The supply flow rate of the HCDS gas controlled by the mass flow controller 241a is set to a flow rate within a range of, for example, 1 sccm to 1,000 sccm. The supply flow rates of the N 2 gas controlled by the mass flow controllers 241e, 241f, 241g, and 241h are set to flow rates within a range of, for example, 100 sccm to 10,000 sccm, respectively. The time for supplying the HCDS gas to the wafer 200, that is, the gas supply time (irradiation time) is, for example, from 1 second to 120 seconds, preferably from 1 second to 60 seconds. At this time, the temperature of the heater 207 is set to a temperature at which the temperature of the wafer 200 can be within a range of, for example, 250 to 700 占 폚, preferably 300 to 650 占 폚, and more preferably 350 to 600 占 폚 . If the temperature of the wafer 200 is less than 250 ° C, HCDS is difficult to chemically adsorb onto the wafer 200, and a practical film-forming rate may not be obtained. By making the temperature of the wafer 200 at 250 DEG C or higher, it can be solved. Further, by setting the temperature of the wafer 200 to 300 DEG C or more and 350 DEG C or more, it becomes possible to more sufficiently adsorb HCDS on the wafer 200, and a more sufficient deposition rate can be obtained. Also, when the temperature of the wafer 200 exceeds 700 ° C, the CVD reaction becomes stronger (the gas phase reaction becomes dominant), so that the uniformity of the film thickness tends to deteriorate, and control becomes difficult. By controlling the temperature of the wafer 200 to 700 占 폚 or less, the deterioration of film thickness uniformity can be suppressed and control thereof becomes possible. Particularly, by setting the temperature of the wafer 200 to 650 DEG C or lower and 600 DEG C or lower, the surface reaction becomes dominant and the uniformity of the film thickness becomes easy to be easily controlled. Therefore, the temperature of the wafer 200 is preferably set to a temperature within the range of 250 to 700 占 폚, preferably 300 to 650 占 폚, and more preferably 350 to 600 占 폚.

전술의 조건 하에서 HCDS가스를 처리실(201) 내에 공급하는 것에 의해 웨이퍼(200)[표면의 하지막(下地膜)] 상에 예컨대 1원자층 미만으로부터 수원자층 정도의 두께의 실리콘 함유층이 형성된다. 실리콘 함유층은 HCDS가스의 흡착층이어도 좋고, 실리콘층(Si층)이어도 좋고, 그 양방을 포함해도 좋다. 단, 실리콘 함유층은 실리콘(Si) 및 염소(Cl)를 포함하는 층인 것이 바람직하다.By supplying HCDS gas into the processing chamber 201 under the above-described conditions, a silicon-containing layer having a thickness of, for example, less than one atomic layer to several atomic layers is formed on the wafer 200 (underlying film) . The silicon-containing layer may be an adsorption layer of HCDS gas, a silicon layer (Si layer), or both. However, the silicon-containing layer is preferably a layer containing silicon (Si) and chlorine (Cl).

여기서 실리콘층이란 실리콘(Si)에 의해 구성되는 연속적인 층 외에 불연속적인 층이나, 이들이 중첩되어 이루어지는 실리콘 박막도 포함하는 총칭이다. 또한 Si에 의해 구성되는 연속적인 층을 실리콘 박막이라고 부르는 경우도 있다. 또한 실리콘층을 구성하는 Si는 Cl과의 결합이 완전히 끊이지 않은 것도 포함한다.Here, the silicon layer is a general term including a discontinuous layer in addition to a continuous layer constituted by silicon (Si), or a silicon thin film formed by superimposing these layers. A continuous layer constituted by Si may also be referred to as a silicon thin film. The Si constituting the silicon layer also includes those in which bonding with Cl is not completely terminated.

또한 HCDS가스의 흡착층은 HCDS가스의 가스 분자가 연속적인 화학 흡착층 외에 불연속적인 화학 흡착층도 포함한다. 즉 HCDS가스의 흡착층은 HCDS분자로 구성되는 1분자층 또는 1분자층 미만의 두께의 화학 흡착층을 포함한다. 또한 HCDS가스의 흡착층을 구성하는 HCDS(Si2Cl6)분자는 Si와 Cl의 결합이 일부 끊긴 것(SixCly분자)도 포함한다. 즉 HCDS의 흡착층은 Si2Cl6분자 및/또는 SixCly분자가 연속적인 화학 흡착층이나 불연속적인 화학 흡착층을 포함한다. 또한 1원자층 미만의 두께의 층이란 불연속적으로 형성되는 원자층을 의미하고, 1원자층의 두께의 층이란 연속적으로 형성되는 원자층을 의미한다. 또한 1분자층 미만의 두께의 층이란 불연속적으로 형성되는 분자층을 의미하고, 1분자층의 두께의 층이란 연속적으로 형성되는 분자층을 의미한다.In addition, the adsorption layer of the HCDS gas also includes a discontinuous chemical adsorption layer in addition to the chemical adsorption layer in which the gas molecules of the HCDS gas are continuous. That is, the adsorption layer of the HCDS gas includes a single molecule layer composed of HCDS molecules or a chemisorbed layer having a thickness less than one molecular layer. In addition, the HCDS (Si 2 Cl 6 ) molecule constituting the adsorption layer of the HCDS gas also includes a part of the bond between Si and Cl (Si x Cl y molecule). That is, the adsorption layer of HCDS contains a chemisorption layer or a discontinuous chemisorption layer in which Si 2 Cl 6 molecules and / or Si x Cl y molecules are continuous. Further, a layer having a thickness less than one atomic layer means an atomic layer formed discontinuously, and a layer having a thickness of one atomic layer means an atomic layer formed continuously. Further, a layer having a thickness of less than one molecular layer means a molecular layer formed discontinuously, and a layer having a thickness of one molecular layer means a molecular layer formed continuously.

HCDS가스가 자기분해(自己分解)(열분해)하는 조건 하, 즉 HCDS의 열분해 반응이 발생하는 조건 하에서는 웨이퍼(200) 상에 Si가 퇴적하는 것에 의해 실리콘층이 형성된다. HCDS가스가 자기분해(열분해)하지 않는 조건 하, 즉 HCDS의 열분해 반응이 발생하지 않는 조건 하에서는 웨이퍼(200) 상에 HCDS가스가 흡착하는 것에 의해 HCDS가스의 흡착층이 형성된다. 또한 웨이퍼(200) 상에 HCDS가스의 흡착층을 형성하는 것보다 웨이퍼(200) 상에 실리콘층을 형성하는 것이 성막 레이트를 더 높게 할 수 있어 바람직하다.Under the condition that the HCDS gas undergoes self-decomposition (pyrolysis), that is, under the condition that the thermal decomposition reaction of HCDS occurs, the silicon layer is formed by depositing Si on the wafer 200. Under the condition that the HCDS gas does not decompose (pyrolyze), that is, under the condition that the thermal decomposition reaction of the HCDS does not occur, the HCDS gas adsorbs on the wafer 200 to form the adsorption layer of the HCDS gas. Further, it is preferable to form a silicon layer on the wafer 200 rather than to form an adsorption layer of HCDS gas on the wafer 200 because the film formation rate can be made higher.

웨이퍼(200) 상에 형성되는 실리콘 함유층의 두께가 수원자층을 초과하면, 후술하는 스텝3에서의 개질의 작용이 실리콘 함유층 전체에 전달되지 않는다. 또한 웨이퍼(200) 상에 형성 가능한 실리콘 함유층의 두께의 최소값은 1원자층 미만이다. 따라서 실리콘 함유층의 두께는 1원자층 미만으로부터 수원자층으로 하는 것이 바람직하다. 또한 실리콘 함유층의 두께를 1원자층 이하, 즉 1원자층 또는 1원자층 미만으로 하는 것에 의해, 후술하는 스텝3에서의 개질 반응의 작용을 상대적으로 높일 수 있어 스텝3의 개질 반응에 요하는 시간을 단축할 수 있다. 스텝1의 실리콘 함유층 형성에 요하는 시간도 단축할 수 있다. 결과적으로 1사이클당의 처리 시간을 단축할 수 있고, 토탈에서의 처리 시간을 단축하는 것도 가능해진다. 즉 성막 레이트를 높게 하는 것도 가능해진다. 또한 실리콘 함유층의 두께를 1원자층 이하로 하는 것에 의해 막 두께 균일성의 제어성을 높이는 것도 가능해진다.When the thickness of the silicon-containing layer formed on the wafer 200 exceeds the number of atomic layers, the effect of modification in step 3 described later is not transmitted to the entire silicon-containing layer. The minimum value of the thickness of the silicon-containing layer that can be formed on the wafer 200 is less than one atomic layer. Therefore, it is preferable that the thickness of the silicon-containing layer is less than one atomic layer to several atomic layers. Further, by making the thickness of the silicon-containing layer 1 atomic layer or less, that is, less than 1 atomic layer or 1 atomic layer, the effect of the reforming reaction in Step 3 described later can be relatively increased, and the time required for the reforming reaction in Step 3 Can be shortened. The time required for forming the silicon-containing layer in Step 1 can be shortened. As a result, the processing time per cycle can be shortened, and the total processing time can be shortened. That is, the film forming rate can be increased. Further, by controlling the thickness of the silicon-containing layer to 1 atomic layer or less, controllability of film thickness uniformity can be improved.

(잔류 가스 제거)(Removal of residual gas)

웨이퍼(200) 상에 실리콘 함유층이 형성된 후, 제1 가스 공급관(232a)의 밸브(243a)를 닫고, HCDS가스의 공급을 정지한다. 이 때 배기관(231)의 APC밸브(244)는 연 상태로 하여 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여 처리실(201) 내에 잔류하는 미반응 또는 실리콘 함유층 형성에 기여한 후의 HCDS가스를 처리실(201) 내로부터 배제한다. 또한 밸브(243e, 243f, 243g, 243h)는 연 상태로 하여 불활성 가스로서의 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 또는 실리콘 함유층 형성에 기여한 후의 HCDS가스를 처리실(201) 내로부터 배제하는 효과를 더욱 높일 수 있다.After the silicon-containing layer is formed on the wafer 200, the valve 243a of the first gas supply pipe 232a is closed and the supply of the HCDS gas is stopped. At this time, the APC valve 244 of the exhaust pipe 231 is opened, and the inside of the processing chamber 201 is evacuated by the vacuum pump 246 to remove the unreacted portion remaining in the processing chamber 201 or the HCDS The gas is excluded from the inside of the processing chamber 201. Further, the valves 243e, 243f, 243g, and 243h are kept in the open state to maintain the supply of the N 2 gas as the inert gas into the processing chamber 201. The N 2 gas acts as a purge gas, thereby further enhancing the effect of eliminating HCDS gas remaining in the processing chamber 201 or contributing to formation of the silicon-containing layer from within the processing chamber 201.

또한 이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋고, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 수행되는 스텝2에서 악영향이 발생하지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없고, 예컨대 반응관(203)[처리실(201)]의 용적과 같은 정도의 양을 공급하는 것에 의해 스텝2에서 악영향이 발생하지 않을 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.At this time, the gas remaining in the processing chamber 201 may not be entirely excluded, or the processing chamber 201 may not be completely purged. If there is a small amount of gas remaining in the processing chamber 201, there is no adverse effect in step 2 performed after that. At this time, the flow rate of the N 2 gas to be supplied into the process chamber 201 is not limited to a large flow rate. For example, by supplying an amount of the same amount as the volume of the reaction tube 203 (process chamber 201) It is possible to carry out purging to such an extent that adverse effects do not occur. By not completely purging the inside of the processing chamber 201, the purging time can be shortened and the throughput can be improved. In addition, it becomes possible to suppress the consumption of N 2 gas to the minimum necessary.

클로로실란계 원료 가스로서는 HCDS가스 외에 테트라클로로실란, 즉 실리콘테트라클로라이드(SiCl4, 약칭: STC) 가스, 트리클로로실란(SiHCl3, 약칭: TCS) 가스, 디클로로실란(SiH2Cl2, 약칭: DCS) 가스, 모노클로로실란(SiH3Cl, 약칭: MCS) 가스 등의 무기 원료를 이용해도 좋다. 불활성 가스로서는 N2가스 외에 Ar가스, He가스, Ne가스, Xe가스 등의 희가스를 이용해도 좋다.As the chlorosilane-based source gas, tetrachlorosilane (SiCl 4 , abbreviation: STC) gas, trichlorosilane (SiHCl 3 , abbreviation: TCS) gas, dichlorosilane (SiH 2 Cl 2 , abbreviation: DCS) gas, and monochlorosilane (SiH 3 Cl, abbreviation: MCS) gas may be used. As the inert gas, a rare gas such as Ar gas, He gas, Ne gas or Xe gas may be used in addition to N 2 gas.

[스텝2](3DMAS가스 공급)[Step 2] (supply of 3DMAS gas)

스텝1이 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 제2 가스 공급관(232b)의 밸브(243b)를 열고, 제2 가스 공급관(232b) 내에 3DMAS가스를 흘린다. 제2 가스 공급관(232b) 내를 흐른 3DMAS가스는 매스 플로우 컨트롤러(241b)에 의해 유량 조정된다. 유량 조정된 3DMAS가스는 제2 노즐(249b)의 가스 공급공(250b)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이 때 웨이퍼(200)에 대하여 3DMAS가스가 공급된다. 이 때 동시에 밸브(243f)를 열고, 불활성 가스 공급관(232f) 내에 N2가스를 흘린다. N2가스는 3DMAS가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.After the step 1 is completed and the residual gas in the processing chamber 201 is removed, the valve 243b of the second gas supply pipe 232b is opened and the 3DMAS gas is flowed into the second gas supply pipe 232b. The 3DMAS gas flowing in the second gas supply pipe 232b is regulated in flow rate by the mass flow controller 241b. The 3DMAS gas whose flow rate has been adjusted is supplied from the gas supply hole 250b of the second nozzle 249b into the process chamber 201 and exhausted from the exhaust pipe 231. [ At this time, 3DMAS gas is supplied to the wafer 200. At this time, the valve 243f is opened and N 2 gas is passed through the inert gas supply pipe 232f. The N 2 gas is supplied into the processing chamber 201 together with the 3DMAS gas and exhausted from the exhaust pipe 231.

이 때 제1 노즐(249a), 제3 노즐(249c), 제4 노즐(249d), 버퍼실(237) 내로의 3DMAS가스의 침입을 방지하기 위해서 밸브(243e, 243g, 243h)를 열고, 제1 불활성 가스 공급관(232e), 제3 불활성 가스 공급관(232g), 제4 불활성 가스 공급관(232h) 내에 N2가스를 흘린다. N2가스는 제1 가스 공급관(232a), 제3 가스 공급관(232c), 제4 가스 공급관(232d), 제1 노즐(249a), 제3 노즐(249c), 제4 노즐(249d), 버퍼실(237)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.At this time, the valves 243e, 243g, and 243h are opened to prevent the inflow of the 3DMAS gas into the first nozzle 249a, the third nozzle 249c, the fourth nozzle 249d, and the buffer chamber 237, N 2 gas is passed through the first inert gas supply pipe 232e, the third inert gas supply pipe 232g, and the fourth inert gas supply pipe 232h. The N 2 gas is supplied to the first gas supply pipe 232a, the third gas supply pipe 232c, the fourth gas supply pipe 232d, the first nozzle 249a, the third nozzle 249c, the fourth nozzle 249d, Is supplied into the processing chamber 201 through the chamber 237 and exhausted from the exhaust pipe 231. [

이 때, APC밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 스텝1과 마찬가지로 예컨대 1Pa 내지 13,300Pa, 바람직하게는 20Pa 내지 1,330Pa의 범위 내의 압력으로 한다. 매스 플로우 컨트롤러(241b)로 제어하는 3DMAS가스의 공급 유량은 예컨대 1sccm 내지 1,000sccm의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241f, 241e, 241g, 241h)로 제어하는 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. 3DMAS가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1초 내지 120초, 바람직하게는 1초 내지 60초의 범위 내의 시간으로 한다. 이 때의 히터(207)의 온도는 스텝1과 마찬가지로 웨이퍼(200)의 온도가 예컨대 250℃ 내지 700℃, 바람직하게는 300℃ 내지 650℃, 보다 바람직하게는 350℃ 내지 600℃의 범위 내의 온도가 될 수 있는 온도로 설정한다.At this time, the APC valve 244 is appropriately adjusted so that the pressure in the treatment chamber 201 is set to a pressure within a range of, for example, 1 Pa to 13,300 Pa, preferably 20 Pa to 1,330 Pa, The supply flow rate of the 3DMAS gas controlled by the mass flow controller 241b is set to a flow rate within a range of, for example, 1 sccm to 1,000 sccm. The supply flow rates of the N 2 gas controlled by the mass flow controllers 241f, 241e, 241g, and 241h are set to flow rates within a range of, for example, 100 sccm to 10,000 sccm, respectively. The time for supplying the 3DMAS gas to the wafer 200, that is, the gas supply time (irradiation time) is, for example, a time within the range of 1 second to 120 seconds, preferably 1 second to 60 seconds. The temperature of the heater 207 at this time is set to a temperature within the range of 250 to 700 占 폚, preferably 300 to 650 占 폚, and more preferably 350 to 600 占 폚, Lt; / RTI >

3DMAS가스의 공급에 의해 스텝1에서 웨이퍼(200) 상에 형성된 실리콘 함유층과 3DMAS가스가 반응한다. 이에 의해 실리콘 함유층은 실리콘(Si), 질소(N) 및 탄소(C)를 포함하는 제1층으로 개질된다. 제1층은 1원자층 미만으로부터 수원자층 정도의 두께의 Si, N 및 C를 포함하는 층이 된다. 또한 제1층은 Si성분의 비율과 C성분의 비율이 비교적 많은 층, 즉 Si리치(rich)이며, 또한 C리치의 층이 된다.By the supply of the 3DMAS gas, the silicon-containing layer formed on the wafer 200 in Step 1 reacts with the 3DMAS gas. Whereby the silicon-containing layer is reformed into a first layer comprising silicon (Si), nitrogen (N) and carbon (C). The first layer is a layer containing Si, N and C with thicknesses of less than one atomic layer to several atomic layers. Further, the first layer is a layer having a relatively large proportion of the Si component and the C component, that is, a Si rich layer and a C rich layer.

(잔류 가스 제거)(Removal of residual gas)

웨이퍼(200) 상에 제1층이 형성된 후, 제2 가스 공급관(232b)의 밸브(243b)를 닫고, 3DMAS가스의 공급을 정지한다. 이 때 배기관(231)의 APC밸브(244)는 연 상태로 하여 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여 처리실(201) 내에 잔류하는 미반응 또는 제1층 형성에 기여한 후의 3DMAS가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한 밸브(243f, 243e, 243g, 243h)는 연 상태로 하여 불활성 가스로서의 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 또는 제1층 형성에 기여한 후의 3DMAS가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 더욱 높일 수 있다.After the first layer is formed on the wafer 200, the valve 243b of the second gas supply pipe 232b is closed and the supply of the 3DMAS gas is stopped. At this time, the APC valve 244 of the exhaust pipe 231 is opened and the inside of the processing chamber 201 is evacuated by the vacuum pump 246 so as to be unreacted in the processing chamber 201, 3DMAS gas or reaction by-products are excluded from the inside of the processing chamber 201. [ In addition, the valves 243f, 243e, 243g, and 243h are kept in the open state, and supply of N 2 gas as an inert gas into the processing chamber 201 is maintained. The N 2 gas acts as a purge gas, thereby further enhancing the effect of eliminating the unreacted residual in the processing chamber 201 or the 3DMAS gas or the reaction by-product after the contribution to the formation of the first layer from the inside of the processing chamber 201 .

또한 이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋고, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 수행되는 스텝3에서 악영향이 발생하지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없고, 예컨대 반응관(203)[처리실(201)]의 용적과 같은 정도의 양을 공급하는 것에 의해 스텝3에서 악영향이 발생하지 않을 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.At this time, the gas remaining in the processing chamber 201 may not be entirely excluded, or the processing chamber 201 may not be completely purged. If there is a small amount of gas remaining in the processing chamber 201, there is no adverse effect in step 3 performed after that. At this time, the flow rate of the N 2 gas to be supplied into the process chamber 201 is not limited to a large flow rate. For example, by supplying the same amount as the volume of the reaction tube 203 (processing chamber 201) It is possible to carry out purging to such an extent that adverse effects do not occur. By not completely purging the inside of the processing chamber 201, the purging time can be shortened and the throughput can be improved. In addition, it becomes possible to suppress the consumption of N 2 gas to the minimum necessary.

아미노실란계 원료 가스로서는 3DMAS가스 외에 테트라키스디메틸아미노실란(Si[N(CH3)2]4, 약칭: 4DMAS) 가스, 비스디에틸아미노실란(Si[N(C2H5)2]2H2, 약칭: 2DEAS) 가스, 비스터셔리부틸아미노실란(SiH2[NH(C4H9)]2, 약칭: BTBAS) 가스, 헥사메틸디실라잔[(CH3)3Si-NH-Si(CH3)3, 약칭: HMDS] 가스 등의 유기 원료를 이용해도 좋다. 불활성 가스로서는 N2가스 외에 Ar가스, He가스, Ne가스, Xe가스 등의 희가스를 이용해도 좋다.As the aminosilane-based source gas, besides the 3DMAS gas, tetrakisdimethylaminosilane (Si [N (CH 3 ) 2 ] 4 , abbreviation: 4DMAS) gas, bisdiethylaminosilane (Si [N (C 2 H 5 ) 2 ] 2 H 2, abbreviation: 2DEAS) gas, a non-master-tertiary butyl amino silane (SiH 2 [NH (C 4 H 9)] 2, abbreviated: BTBAS) gas, hexamethyldisilazane [(CH 3) 3 Si- NH- Si (CH 3 ) 3 , abbreviation: HMDS] gas may be used. As the inert gas, a rare gas such as Ar gas, He gas, Ne gas or Xe gas may be used in addition to N 2 gas.

[스텝3](TEA가스 공급)[Step 3] (TEA gas supply)

스텝2가 종료되고 처리실(201) 내의 잔류 가스나 반응 부생성물을 제거한 후, 제3 가스 공급관(232c)의 밸브(243c)를 열고, 제3 가스 공급관(232c) 내에 TEA가스를 흘린다. 제3 가스 공급관(232c) 내를 흐른 TEA가스는 매스 플로우 컨트롤러(241c)에 의해 유량 조정된다. 유량 조정된 TEA가스는 제3 노즐(249c)의 가스 공급공(250c)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이 때 웨이퍼(200)에 대하여 TEA가스가 공급된다. 이 때 동시에 밸브(243g)를 열고, 불활성 가스 공급관(232g) 내에 N2가스를 흘린다. N2가스는 TEA가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.Step 2 is completed and the residual gas or reaction by-products in the processing chamber 201 are removed. Then, the valve 243c of the third gas supply pipe 232c is opened and TEA gas is supplied into the third gas supply pipe 232c. The flow rate of the TEA gas flowing through the third gas supply pipe 232c is adjusted by the mass flow controller 241c. The flow rate adjusted TEA gas is supplied into the process chamber 201 from the gas supply hole 250c of the third nozzle 249c and exhausted from the exhaust pipe 231. [ At this time, TEA gas is supplied to the wafer 200. At the same time, the valve 243g is opened and N 2 gas is passed through the inert gas supply pipe 232g. The N 2 gas is supplied into the processing chamber 201 together with the TEA gas and exhausted from the exhaust pipe 231.

이 때 제1 노즐(249a), 제2 노즐(249b), 제4 노즐(249d), 버퍼실(237) 내로의 TEA가스의 침입을 방지하기 위해서 밸브(243e, 243f, 243h)를 열고, 제1 불활성 가스 공급관(232e), 제2 불활성 가스 공급관(232f), 제4 불활성 가스 공급관(232h) 내에 N2가스를 흘린다. N2가스는 제1 가스 공급관(232a), 제2 가스 공급관(232b), 제4 가스 공급관(232d), 제1 노즐(249a), 제2 노즐(249b), 제4 노즐(249d), 버퍼실(237)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.At this time, the valves 243e, 243f, 243h are opened to prevent the inflow of the TEA gas into the first nozzle 249a, the second nozzle 249b, the fourth nozzle 249d and the buffer chamber 237, N 2 gas is passed through the first inert gas supply pipe 232e, the second inert gas supply pipe 232f and the fourth inert gas supply pipe 232h. The N 2 gas is supplied to the first gas supply pipe 232a, the second gas supply pipe 232b, the fourth gas supply pipe 232d, the first nozzle 249a, the second nozzle 249b, the fourth nozzle 249d, Is supplied into the processing chamber 201 through the chamber 237 and exhausted from the exhaust pipe 231. [

이 때, APC밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 1Pa 내지 13,300Pa, 바람직하게는 500Pa 내지 6,000Pa의 범위 내의 압력으로 한다. 매스 플로우 컨트롤러(241c)로 제어하는 TEA가스의 공급 유량은 예컨대 1sccm 내지 10,000sccm의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241g, 241e, 241f, 241h)로 제어하는 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. TEA가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1초 내지 120초, 바람직하게는 1초 내지 60초의 범위 내의 시간으로 한다. 이 때의 히터(207)의 온도는 스텝1 및 스텝2와 마찬가지로 웨이퍼(200)의 온도가 예컨대 250℃ 내지 700℃, 바람직하게는 300℃ 내지 650℃, 보다 바람직하게는 350℃ 내지 600℃의 범위 내의 온도가 될 수 있는 온도로 설정한다. TEA가스는 제3 노즐(249c) 내나 처리실(201) 내에서 열로 활성화(여기)되어 웨이퍼(200)에 대하여 공급된다. 또한 TEA가스는 열로 활성화시켜서 공급하는 것이 소프트한 반응을 발생시킬 수 있어 후술하는 개질 처리를 소프트하게 수행할 수 있다.At this time, the APC valve 244 is suitably adjusted to set the pressure in the treatment chamber 201 to a pressure within a range of, for example, 1 Pa to 13,300 Pa, preferably 500 Pa to 6,000 Pa. The supply flow rate of the TEA gas to be controlled by the mass flow controller 241c is set to a flow rate within a range of, for example, 1 sccm to 10,000 sccm. The supply flow rates of the N 2 gas controlled by the mass flow controllers 241g, 241e, 241f, and 241h are set to flow rates within a range of, for example, 100 sccm to 10,000 sccm, respectively. The time for supplying the TEA gas to the wafer 200, that is, the gas supply time (irradiation time) is, for example, from 1 second to 120 seconds, preferably from 1 second to 60 seconds. The temperature of the heater 207 at this time is set to a temperature of the wafer 200 of 250 to 700 ° C, preferably 300 to 650 ° C, more preferably 350 to 600 ° C Set the temperature to the temperature within the range. The TEA gas is activated (excited) in the third nozzle 249c or in the process chamber 201 to be supplied to the wafer 200. Further, it is possible to softly react the TEA gas by supplying the TEA gas by activating it with heat, so that the following modification process can be softly performed.

TEA가스의 공급에 의해 스텝2에서 웨이퍼(200) 상에 형성된 Si성분의 비율과 C성분의 비율이 비교적 많은 Si, N 및 C를 포함하는 제1층의 적어도 일부와 TEA가스가 반응한다. 이에 의해 제1층은 제2층으로 개질된다. 이 때 제1층과 TEA가스와의 반응에 의해 제1층의 Si성분의 비율이 감소하고, C성분의 비율이 증가하고, 또한 N성분의 비율이 증가한다. 또한 이 반응에 의해 제1층 중에 포함되는 Cl 등의 불순물 성분이 층 내로부터 제거된다.By the supply of the TEA gas, TEA gas reacts with at least a part of the first layer including Si, N and C having a relatively large proportion of Si component and C component on the wafer 200 in Step 2. Whereby the first layer is modified into a second layer. At this time, the ratio of the Si component of the first layer decreases due to the reaction between the first layer and the TEA gas, the proportion of the component C increases, and the proportion of the component N increases. Further, the impurity component such as Cl contained in the first layer is removed from the layer by this reaction.

즉 열적으로 활성화된 TEA가스를 웨이퍼(200)에 대하여 공급하는 것에 의해 제1층에서의 C성분의 비율 및 N성분의 비율을 증가시키는 것과 함께 제1층에서의 Cl 등의 불순물 성분을 탈리(脫離)시키면서 제1층을 제2층으로 개질시킬 수 있다. 제2층은 1원자층 미만으로부터 수원자층 정도의 두께의 실리콘 탄질화층(SiCN층)이 된다. 또한 제2층은 제1층보다 C리치의 층이 되고, 제1층보다 Cl 등의 불순물이 적은 층이 된다. 이와 같이 하여 TEA가스에 의해 제1층에 대한 개질 처리가 수행된다.That is, by supplying the thermally activated TEA gas to the wafer 200, the ratio of the C component and the N component in the first layer is increased, and the impurity component such as Cl in the first layer is removed The first layer can be reformed into the second layer. And the second layer becomes a silicon carbonitride layer (SiCN layer) having a thickness of less than one atomic layer to several atomic layers. The second layer becomes a C-rich layer than the first layer and becomes a layer having less impurities such as Cl than the first layer. In this way, the reforming treatment for the first layer is performed by the TEA gas.

또한 TEA가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력은 스텝1 및 스텝2에서 HCDS가스, 3DMAS가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력보다 크게 하는 것이 바람직하다. 즉 HCDS가스, 3DMAS가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력을 각각 P1, P2[Pa]이라고 하고, TEA가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력을 P3[Pa]로 하였을 때, 압력P1 내지 압력P3을 P3>P1,P2의 관계를 만족시키도록 각각 설정하는 것이 바람직하다. 즉 TEA가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력은 스텝1 내지 스텝3 중에서 가장 높게 하는 것이 바람직하다. TEA가스 공급 시의 처리실(201) 내의 압력을 이와 같은 압력으로 설정하는 것에 의해 SiCN층 중의 C성분의 비율을 N성분의 비율보다 더 크게 하는 것이 가능해지고, 보다 C리치의 SiCN층을 형성하는 것이 가능해진다.The pressure in the process chamber 201 when the TEA gas is supplied to the wafer 200 is set to be larger than the pressure in the process chamber 201 when the HCDS gas and the 3DMAS gas are supplied to the wafer 200 in steps 1 and 2 . The pressure in the processing chamber 201 when the HCDS gas and the 3DMAS gas are supplied to the wafer 200 is P 1 and P 2 [Pa], respectively, and the processing chamber 201 when the TEA gas is supplied to the wafer 200 Is set to P 3 [Pa], it is preferable that the pressure P 1 to the pressure P 3 are set so as to satisfy the relationship P 3 > P 1 and P 2 , respectively. That is, it is preferable that the pressure in the process chamber 201 when the TEA gas is supplied to the wafer 200 is the highest in steps 1 to 3. The ratio of the C component in the SiCN layer can be made larger than the ratio of the N component by setting the pressure in the processing chamber 201 at the time of supplying the TEA gas to such a pressure and it is possible to form a C rich SiCN layer It becomes possible.

(잔류 가스 제거)(Removal of residual gas)

그 후, 제3 가스 공급관(232c)의 밸브(243c)를 닫고, TEA가스의 공급을 정지한다. 이 때 배기관(231)의 APC밸브(244)는 연 상태로 하여 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여 처리실(201) 내에 잔류하는 미반응 또는 제2층 형성에 기여한 후의 TEA가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한 밸브(243g, 243e, 243f, 243h)는 연 상태로 하여 불활성 가스로서의 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 또는 제2층 형성에 기여한 후의 TEA가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 더욱 높일 수 있다.Thereafter, the valve 243c of the third gas supply pipe 232c is closed, and the supply of the TEA gas is stopped. At this time, the APC valve 244 of the exhaust pipe 231 is opened, and the inside of the processing chamber 201 is evacuated by the vacuum pump 246 so as to be unreacted remaining in the processing chamber 201, TEA gas or reaction by-products are excluded from the inside of the processing chamber 201. In addition, the valves 243g, 243e, 243f, and 243h are kept in the open state to maintain the supply of N 2 gas as the inert gas into the processing chamber 201. The N 2 gas acts as a purge gas, thereby further enhancing the effect of eliminating the unreacted residual in the processing chamber 201 or the TEA gas or the reaction by-product after the contribution to the formation of the second layer from the inside of the processing chamber 201 .

또한 이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋고, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 수행되는 스텝1에서 악영향이 발생하지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없고, 예컨대 반응관(203)[처리실(201)]의 용적과 같은 정도의 양을 공급하는 것에 의해 스텝1에서 악영향이 발생하지 않을 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.At this time, the gas remaining in the processing chamber 201 may not be entirely excluded, or the processing chamber 201 may not be completely purged. If there is a small amount of gas remaining in the processing chamber 201, no adverse effect occurs in step 1 performed thereafter. At this time, the flow rate of the N 2 gas to be supplied into the processing chamber 201 is not limited to a large flow rate. For example, by supplying the same amount as the volume of the reaction tube 203 (processing chamber 201) It is possible to carry out purging to such an extent that adverse effects do not occur. By not completely purging the inside of the processing chamber 201, the purging time can be shortened and the throughput can be improved. In addition, it becomes possible to suppress the consumption of N 2 gas to the minimum necessary.

아민계 원료 가스로서는 트리에틸아민[(C2H5)3N, 약칭: TEA] 외에 디에틸아민[(C2H5)2NH, 약칭: DEA], 모노에틸아민(C2H5NH2, 약칭: MEA) 등을 기화한 에틸아민계 원료 가스, 트리메틸아민[(CH3)3N, 약칭: TMA], 디메틸아민[(CH3)2NH, 약칭: DMA], 모노메틸아민(CH3NH2, 약칭: MMA) 등을 기화한 메틸아민계 원료 가스, 트리프로필아민[(C3H7)3N, 약칭: TPA], 디프로필아민[(C3H7)2NH, 약칭: DPA], 모노프로필아민(C3H7NH2, 약칭: MPA) 등을 기화한 프로필아민계 원료 가스, 트리이소프로필아민([(CH3)2CH]3N, 약칭: TIPA), 디이소프로필아민([(CH3)2CH]2NH, 약칭: DIPA), 모노이소프로필아민[(CH3)2CHNH2, 약칭: MIPA] 등을 기화한 이소프로필아민계 원료 가스, 트리부틸아민[(C4H9)3N, 약칭: TBA], 디부틸아민[(C4H9)2NH, 약칭: DBA], 모노부틸아민(C4H9NH2, 약칭: MBA) 등을 기화한 부틸아민계 원료 가스, 또는 트리이소부틸아민([(CH3)2CHCH2]3N, 약칭: TIBA), 디이소부틸아민([(CH3)2CHCH2]2NH, 약칭: DIBA), 모노이소부틸아민[(CH3)2CHCH2NH2, 약칭: MIBA] 등을 기화한 이소부틸아민계 원료 가스를 바람직하게 이용할 수 있다. 즉 아민계 원료 가스로서는 예컨대(C2H5)xNH3-x, (CH3)xNH3-x, (C3H7)xNH3-x, [(CH3)2CH]xNH3-x, (C4H9)xNH3-x, [(CH3)2CHCH2]xNH3-x(식중, x는 1 내지 3의 정수) 중 적어도 1종류의 가스를 바람직하게 이용할 수 있다. 불활성 가스로서는 N2가스 외에 Ar가스, He가스, Ne가스, Xe가스 등의 희가스를 이용해도 좋다.Amine-based raw material gas as triethylamine [(C 2 H 5) 3 N, abbreviation: TEA] In addition to di-ethylamine [(C 2 H 5) 2 NH, abbreviated: DEA], monoethylamine (C 2 H 5 NH 2, abbreviation: MEA) such as amine-based source gas, trimethylamine [(CH 3) 3 N, abbreviation: TMA] vaporizing, dimethylamino [(CH 3) 2 NH, abbreviated: DMA], mono-methyl-amine ( CH 3 NH 2, abbreviation: MMA) of methyl amine-based material gas, tripropyl vaporizing the amine [(C 3 H 7) 3 N, abbreviation: TPA], dipropyl amine [(C 3 H 7) 2 NH, Propylamine ([(CH 3 ) 2 CH] 3 N, abbreviation: TIPA), which is obtained by vaporizing a propylamine-based source gas in which propyleneamine (abbreviation: DPA) and monopropylamine (C 3 H 7 NH 2 , abbreviated as MPA) , An isopropylamine-based feed gas in which diisopropylamine ([(CH 3 ) 2 CH 2 NH, abbreviation: DIPA) and monoisopropylamine [(CH 3 ) 2 CHNH 2 , abbreviated as MIPA] (C 4 H 9 ) 2 N, abbreviated as TBA], dibutylamine [(C 4 H 9 ) 2 NH, abbreviated as DBA], monobutylamine (C 4 H 9 NH 2 , abbreviated as MBA ) ([(CH 3 ) 2 CHCH 2 ] 3 NH, abbreviation: TIBA), diisobutylamine ([(CH 3 ) 2 CHCH 2 ] 2 NH, Isobutylamine-based source gas in which monoisobutylamine [(CH 3 ) 2 CHCH 2 NH 2 , abbreviation: MIBA] and the like are vaporized can be preferably used. I.e. Examples of amine-based material gas (C 2 H 5) x NH 3-x, (CH 3) x NH 3-x, (C 3 H 7) x NH 3-x, [(CH 3) 2 CH] x At least one gas of NH 3 -x , (C 4 H 9 ) x NH 3 -x , [(CH 3 ) 2 CHCH 2 ] x NH 3 -x (wherein x is an integer of 1 to 3) Can be used to make. As the inert gas, a rare gas such as Ar gas, He gas, Ne gas or Xe gas may be used in addition to N 2 gas.

또한 아민계 원료 가스 대신에 탄소 및 질소를 포함하는 원료 가스로서 유기 히드라진 화합물을 포함하는 가스, 즉 유기 히드라진계 가스를 이용해도 좋다. 또한 유기 히드라진계 가스란 유기 히드라진을 기화한 가스 등의 히드라진기을 포함하는 가스이며, 탄소(C), 질소(N) 및 수소(H)를 포함하는 가스다. 즉 유기 히드라진계 가스는 실리콘 비함유의 가스이며, 또한 실리콘 및 금속 비함유의 가스다. 유기 히드라진계 가스로서는 예컨대 모노메틸히드라진[(CH3)HN2H2, 약칭: MMH], 디메틸히드라진[(CH3)2N2H2, 약칭: DMH], 트리메틸히드라진[(CH3)2N2(CH3)H, 약칭: TMH] 등을 기화한 메틸히드라진계 가스나, 에틸히드라진[(C2H5)HN2H2, 약칭: EH] 등을 기화한 에틸히드라진계 가스를 바람직하게 이용할 수 있다. 또한 예컨대 MMH와 같이 상온 상압 하에서 액체 상태인 유기 히드라진을 이용하는 경우에는 액체 상태인 유기 히드라진을 기화기나 버블러 등의 기화 시스템에 의해 기화하여, 유기 히드라진계 가스, 즉 탄소 및 질소를 포함하는 가스(MMH가스)로서 공급한다. 또한 유기 히드라진 화합물을 포함하는 가스를 단순히 유기 히드라진 화합물 가스, 또는 유기 히드라진 가스라고도 부를 수 있다.Instead of the amine-based source gas, a gas containing an organic hydrazine compound, that is, an organic hydrazine-based gas, may be used as a raw material gas containing carbon and nitrogen. The organic hydrazine gas is a gas containing a hydrazine group such as a gas obtained by vaporizing an organic hydrazine, and is a gas containing carbon (C), nitrogen (N), and hydrogen (H). That is, the organic hydrazine-based gas is a silicon-free gas and also contains no silicon and no metal. Examples of the organic hydrazine-based gas, for example monomethyl hydrazine [(CH 3) HN 2 H 2, abbreviation: MMH], dimethyl hydrazine [(CH 3) 2 N 2 H 2, abbreviation: DMH], trimethyl hydrazine [(CH 3) 2 N 2 (CH 3) H, abbreviation: TMH] a methyl hydrazine gas or ethyl hydrazine vaporization and the like [(C 2 H 5) HN 2 H 2, abbreviated as: EH] such as preferably an ethyl hydrazine-based gas vaporizing Can be used to make. Further, in the case of using organic hydrazine in a liquid state at room temperature and atmospheric pressure, such as MMH, the organic hydrazine in a liquid state is vaporized by a vaporization system such as a vaporizer or a bubbler to generate an organic hydrazine gas, MMH gas). Further, a gas containing an organic hydrazine compound may be simply referred to as an organic hydrazine compound gas or an organic hydrazine gas.

(소정 횟수 실시)(A predetermined number of times)

전술한 스텝1 내지 스텝3을 1사이클로 하여 이 사이클을 1회 이상(소정 횟수) 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘 탄질화막(SiCN막)을 성막할 수 있다. 또한 전술한 사이클은 복수 회 반복하는 것이 바람직하다. 즉 1사이클당 형성하는 SiCN층의 두께를 원하는 막 두께보다 작게 하여 전술한 사이클을 원하는 막 두께가 될 때까지 복수 회 반복하는 것이 바람직하다. 또한 SiCN막은 실리콘(Si), 탄소(C) 및 질소(N)를 포함하는 박막이라고도 할 수 있다.A silicon nitride film (SiCN film) of a predetermined composition and a predetermined film thickness can be formed on the wafer 200 by performing the above-described steps 1 to 3 in one cycle and performing this cycle one or more times (a predetermined number of times) . It is also preferable that the above cycle is repeated a plurality of times. That is, the SiCN layer formed per cycle is made smaller than the desired film thickness, and the cycle is repeated a plurality of times until a desired film thickness is obtained. The SiCN film may also be referred to as a thin film containing silicon (Si), carbon (C) and nitrogen (N).

또한 사이클을 복수 회 수행하는 경우, 적어도 2사이클째 이후의 각 스텝에서 「웨이퍼(200)에 대하여 소정의 가스를 공급한다」고 기재한 부분은 「웨이퍼(200) 상에 형성되는 층에 대하여, 즉 적층체로서의 웨이퍼(200)의 최표면에 대하여 소정의 가스를 공급한다」는 것을 의미하고, 「웨이퍼(200) 상에 소정의 층을 형성한다」고 기재한 부분은 「웨이퍼(200) 상에 형성되는 층 상, 즉 적층체로서의 웨이퍼(200)의 최표면 상에 소정의 층을 형성한다」는 것을 의미한다. 이 점은 전술한 바와 같다. 또한 이 점은 후술하는 각 변형예, 각 응용예, 다른 성막 시퀀스, 다른 실시 형태에서도 마찬가지이다.In the case where the cycle is performed a plurality of times, the portion described as "supplying a predetermined gas to the wafer 200" in each of the steps after the second cycle is " A predetermined gas is supplied to the outermost surface of the wafer 200 as a layered product ", and a portion described as" forming a predetermined layer on the wafer 200 " That is, a predetermined layer is formed on the outermost surface of the wafer 200 as a layered body. &Quot; This point is as described above. This point is also true for each modification example, each application example, another film formation sequence, and other embodiments described later.

또한 전술한 스텝1과 스텝2를 교호적으로 소정 횟수 수행하는 공정과, 스텝3을 수행하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해, 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 SiCN막을 성막해도 좋다. 즉 전술한 스텝1 및 스텝2를 1세트로 하여 이 세트를 소정 횟수 수행하는 공정과, 스텝3을 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수 수행하는 것에 의해, 웨이퍼(200) 상에 소정 막 두께의 SiCN막을 성막해도 좋다.The step of alternately performing Step 1 and Step 2 described above a predetermined number of times and the step of performing Step 3 are alternately performed a predetermined number of times to form SiCN having a predetermined composition and a predetermined film thickness on the wafer 200 A film may be formed. That is, by performing the above-described steps 1 and 2 as one set and performing this set a predetermined number of times and performing the step 3 as one cycle and performing this cycle a predetermined number of times, A SiCN film having a film thickness may be formed.

전술한 스텝1 및 스텝2를 1세트로 하여 이 세트를 소정 횟수 수행하는 공정과, 스텝3을 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수 수행하는 것에 의해, SiCN막의 조성비의 제어성을 향상시킬 수 있다. 즉 스텝1 및 스텝2에서 구성되는 세트 수를 증감하는 것에 의해 제1층의 실리콘 성분, 질소 성분, 탄소 성분의 절대량을 증감시킬 수 있고, 이와 같이 하여 각 성분의 절대량을 증감시킨 제1층을 스텝3에서 개질하는 것에 의해, SiCN층의 각 성분의 비율을 세트 수에 의해 조정할 수 있어, 최종적으로 형성하는 SiCN막의 각 성분의 비율을 세트 수에 의해 제어할 수 있다.The control of the composition ratio of the SiCN film can be improved by performing this cycle a predetermined number of times with one cycle of the step of performing the set number of times and the step of performing the step 3 with the steps 1 and 2 described above as one set, . The absolute amount of the silicon component, the nitrogen component and the carbon component of the first layer can be increased or decreased by increasing or decreasing the number of sets constituted in steps 1 and 2. In this way, By modifying in step 3, the ratio of each component of the SiCN layer can be adjusted by the number of sets, and the ratio of each component of the finally formed SiCN film can be controlled by the number of sets.

또한 스텝1 및 스텝2에서 구성되는 세트 수를 늘리는 것에 의해 1사이클당 형성하는 제1층의 층수, 즉 1사이클당 형성하는 제1층의 두께를 세트 수의 수만큼 증가시킬 수 있고, 사이클 레이트(단위 사이클당 형성되는 SiCN층의 두께)를 향상시키는 것이 가능해진다. 또한 이에 의해 성막 레이트(단위 시간당 형성되는 SiCN막의 막 두께)도 향상시킬 수 있다.Further, by increasing the number of sets constituted in steps 1 and 2, it is possible to increase the number of layers of the first layer formed per cycle, that is, the thickness of the first layer formed per cycle, by the number of sets, (The thickness of the SiCN layer formed per unit cycle) can be improved. In this way, the film-forming rate (film thickness of the SiCN film formed per unit time) can be improved.

(퍼지 및 대기압 복귀)(Purge and atmospheric pressure return)

소정 조성을 가지는 소정 막 두께의 SiCN막을 형성하는 성막 처리가 이루어지면, N2 등의 불활성 가스가 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기되는 것에 의해, 처리실(201) 내가 불활성 가스로 퍼지되어 처리실(201) 내에 잔류하는 가스나 반응 부생성물이 처리실(201) 내로부터 제거된다(가스 퍼지). 그 후, 처리실(201) 내의 분위기가 불활성 가스로 치환되어(불활성 가스 치환) 처리실(201) 내의 압력이 상압으로 복귀된다(대기압 복귀).An inert gas such as N 2 is supplied into the processing chamber 201 and exhausted from the exhaust pipe 231 so that the processing chamber 201 is filled with an inert gas The gas or reaction by-products remaining in the process chamber 201 are removed from the process chamber 201 (gas purge). Thereafter, the atmosphere in the processing chamber 201 is replaced with an inert gas (inert gas replacement), and the pressure in the processing chamber 201 is returned to normal pressure (atmospheric pressure return).

(보트 언로드 및 웨이퍼 디스차지)(Boat unload and wafer discharge)

그 후, 보트 엘리베이터(115)에 의해 씰 캡(219)이 하강되어 반응관(203)의 하단이 개구되는 것과 함께, 처리 완료된 웨이퍼(200)가 보트(217)에 지지된 상태에서 반응관(203)의 하단으로부터 반응관(203)의 외부로 반출(보트 언로드)된다. 그 후, 처리 완료된 웨이퍼(200)는 보트(217)로부터 취출(取出)된다(웨이퍼 디스차지).Thereafter, the seal cap 219 is lowered by the boat elevator 115 to open the lower end of the reaction tube 203, and the processed wafer 200 is supported by the boat 217 in the reaction tube (Boat unloading) from the lower end of the reaction tube 203 to the outside of the reaction tube 203. Thereafter, the processed wafer 200 is taken out from the boat 217 (wafer discharge).

(제2 시퀀스)(Second sequence)

다음으로 본 실시 형태의 제2 시퀀스에 대하여 설명한다. 도 5는 본 실시 형태의 제2 시퀀스에서의 성막 플로우의 예를 도시하는 도면이다. 도 8은 본 실시 형태의 제2 시퀀스에서의 가스 공급의 타이밍의 예를 도시하는 도면이다.Next, the second sequence of the present embodiment will be described. 5 is a diagram showing an example of the film formation flow in the second sequence of the present embodiment. 8 is a diagram showing an example of timing of gas supply in the second sequence of the present embodiment.

본 실시 형태의 제2 시퀀스에서는,In the second sequence of the present embodiment,

처리실(201) 내의 웨이퍼(200)에 대하여 클로로실란계 원료와 아미노실란계 원료를 교호적으로 공급하는 것에 의해 웨이퍼(200) 상에 실리콘, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;Forming a first layer containing silicon, nitrogen and carbon on the wafer 200 by alternately supplying the chlorosilane-based raw material and the aminosilane-based raw material to the wafer 200 in the treatment chamber 201;

처리실(201) 내의 웨이퍼(200)에 대하여 아민계 원료를 공급하는 것에 의해 제1층을 개질하여 제2층으로서 실리콘 탄질화층을 형성하는 공정; 및A step of modifying the first layer by supplying an amine-based raw material to the wafer 200 in the treatment chamber 201 to form a silicon carbonitride layer as a second layer; And

처리실(201) 내의 웨이퍼(200)에 대하여 열로 활성화시킨 산소 함유 가스(산화 가스)를 공급하는 것에 의해 제2층을 산화하여 제3층으로서 실리콘 산탄질화층 또는 실리콘 산탄화층을 형성하는 공정;Oxidizing the second layer by supplying heat-activated oxygen-containing gas (oxidizing gas) to the wafer 200 in the processing chamber 201 to form a silicon oxynitride layer or a silicon oxynitride layer as a third layer;

을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘 산탄질화막 또는 실리콘 산탄화막을 형성한다.To form a silicon oxynitride film or a silicon oxynitride film having a predetermined composition and a predetermined film thickness on the wafer 200. [

여기서 「클로로실란계 원료와 아미노실란계 원료를 교호적으로 공급한다」란 클로로실란계 원료 및 아미노실란계 원료 중 일방의 원료를 공급하고, 그 후, 타방의 원료를 공급하고, 이를 1세트로 한 경우, 이 세트를 1회 수행하는 경우와, 이 세트를 복수 회 반복하는 경우의 양방을 포함한다. 즉 이 세트를 1회 이상(소정 횟수) 수행하는 것을 의미한다.Here, " alternately supplying the chlorosilane-based raw material and the aminosilane-based raw material " means that one of the raw materials of the chlorosilane-based raw material and the aminosilane-based raw material is supplied and then the other raw material is supplied, In this case, the set includes both the case of performing the set once and the case of repeating the set a plurality of times. That is, to perform this set one or more times (a predetermined number of times).

또한 「제1층을 형성하는 공정과, 제2층을 형성하는 공정과, 제3층을 형성하는 공정을 포함하는 사이클을 소정 횟수 수행한다」란 제1층을 형성하는 공정과, 제2층을 형성하는 공정과, 제3층을 형성하는 공정을 1사이클로 한 경우, 이 사이클을 1회 수행하는 경우와, 이 사이클을 복수 회 반복하는 경우의 양방을 포함한다. 즉 이 사이클을 1회 이상(소정 횟수) 수행하는 것을 의미한다.Further, the phrase " a cycle including the step of forming the first layer, the step of forming the second layer and the step of forming the third layer " is performed a predetermined number of times " When the step of forming the third layer and the step of forming the third layer are taken as one cycle, this includes both the case of performing this cycle once and the case of repeating this cycle a plurality of times. That is, this cycle is performed at least once (a predetermined number of times).

도 5 및 도 8은 전술한 세트, 즉 클로로실란계 원료 및 아미노실란계 원료 중 일방의 원료를 공급하는 공정과, 그 후, 타방의 원료를 공급하는 공정으로 구성되는 세트를 1회 수행하고, 전술한 사이클, 즉 제1층을 형성하는 공정과, 제2층을 형성하는 공정과, 제3층을 형성하는 공정으로 구성되는 사이클을 소정 횟수 수행하는 시퀀스의 예를 도시한다.Figs. 5 and 8 are a set of one set consisting of the above-described set, that is, the step of supplying one raw material out of the chlorosilane-based raw material and the aminosilane-based raw material, and thereafter the step of supplying the other raw material, There is shown an example of a sequence in which the above-described cycle, that is, the process of forming the first layer, the process of forming the second layer, and the process of forming the third layer is performed a predetermined number of times.

즉 도 5 및 도 8의 성막 시퀀스에서는,That is, in the film formation sequence of Figs. 5 and 8,

처리실(201) 내의 웨이퍼(200)에 대하여 클로로실란계 원료를 공급하고, 그 후, 아미노실란계 원료를 공급하는 것에 의해 웨이퍼(200) 상에 실리콘, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;A first layer containing silicon, nitrogen, and carbon is formed on the wafer 200 by supplying the chlorosilane-based raw material to the wafer 200 in the treatment chamber 201 and then supplying the aminosilane-based raw material to the wafer 200 in the treatment chamber 201 ;

처리실(201) 내의 웨이퍼(200)에 대하여 아민계 원료 가스를 공급하는 것에 의해 제1층을 개질하여 제2층으로서 실리콘 탄질화층을 형성하는 공정; 및A step of modifying the first layer by supplying an amine-based source gas to the wafer (200) in the treatment chamber (201) to form a silicon carbonitride layer as a second layer; And

처리실(201) 내의 웨이퍼(200)에 대하여 열로 활성화시킨 산소 함유 가스를 공급하는 것에 의해 제2층을 산화하여 제3층으로서 실리콘 산탄질화층 또는 실리콘 산탄화층을 형성하는 공정;Oxidizing the second layer by supplying heat-activated oxygen-containing gas to the wafer (200) in the processing chamber (201) to form a silicon oxynitride layer or a silicon oxynitride layer as a third layer;

을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘 산탄질화막 또는 실리콘 산탄화막을 형성한다.To form a silicon oxynitride film or a silicon oxynitride film having a predetermined composition and a predetermined film thickness on the wafer 200. [

이하, 본 실시 형태의 제2 시퀀스를 구체적으로 설명한다. 여기서는 클로로실란계 원료 가스로서 HCDS가스를, 아미노실란계 원료 가스로서 3DMAS가스를, 아민계 원료 가스로서 TEA가스를, 산소 함유 가스로서 O2가스를 이용하여, 도 5 및 도 8의 성막 시퀀스에 의해 기판 상에 절연막으로서 실리콘 산탄질화막(SiOCN막) 또는 실리콘 산탄화층(SiOC막)을 형성하는 예에 대하여 설명한다.Hereinafter, the second sequence of the present embodiment will be described in detail. Here, HCDS gas is used as the chlorosilane-based source gas, 3DMAS gas is used as the aminosilane-based source gas, TEA gas is used as the amine-based source gas, and O 2 gas is used as the oxygen-containing gas. A silicon oxynitride film (SiOCN film) or a silicon oxynitride layer (SiOC film) is formed as an insulating film on a substrate.

웨이퍼 차지, 보트 로드, 압력 조정, 온도 조정, 웨이퍼 회전까지는 제1 시퀀스와 마찬가지로 수행한다. 그 후, 후술하는 4개의 스텝을 순차 실행한다.Wafer charge, boat load, pressure adjustment, temperature adjustment, and wafer rotation are performed in the same manner as the first sequence. Thereafter, the following four steps are sequentially executed.

[스텝1][Step 1]

스텝1은 제1 시퀀스의 스텝1과 마찬가지로 수행한다. 즉 스텝1에서의 처리 조건, 발생하는 반응, 형성하는 층 등은 제1 시퀀스에서의 스텝1들과 마찬가지이다. 즉 이 스텝에서는 처리실(201) 내로의 HCDS가스의 공급에 의해 웨이퍼(200) 상에 실리콘 함유층을 형성한다.Step 1 is performed in the same manner as Step 1 of the first sequence. That is, the processing conditions in step 1, the reaction to be generated, the layer to be formed, and the like are the same as those in step 1 in the first sequence. That is, in this step, the silicon-containing layer is formed on the wafer 200 by supplying the HCDS gas into the process chamber 201.

[스텝2][Step 2]

스텝2는 제1 시퀀스의 스텝2와 마찬가지로 수행한다. 즉 스텝2에서의 처리 조건, 발생하는 반응, 형성하는 층 등은 제1 시퀀스에서의 스텝2와 마찬가지이다. 즉 이 스텝에서는 처리실(201) 내로의 3DMAS가스의 공급에 의해 실리콘 함유층과 3DMAS가스를 반응시켜서 Si, N 및 C를 포함하는 제1층을 형성한다.Step 2 is performed in the same manner as step 2 of the first sequence. That is, the processing conditions in step 2, the reaction to be generated, the layer to be formed, and the like are the same as those in step 2 in the first sequence. That is, in this step, the silicon-containing layer and the 3DMAS gas are reacted by supplying the 3DMAS gas into the processing chamber 201 to form the first layer containing Si, N and C.

[스텝3][Step 3]

스텝3은 제1 시퀀스의 스텝3과 마찬가지로 수행한다. 즉 스텝3에서의 처리 조건, 발생하는 반응, 형성하는 층 등은 제1 시퀀스에서의 스텝3과 마찬가지이다. 즉 이 스텝에서는 처리실(201) 내로의 TEA가스의 공급에 의해 Si, N 및 C를 포함하는 제1층과 TEA가스를 반응시켜서 제1층보다 C성분의 비율이 더 많고 제1층보다 Cl 등의 불순물 성분이 더 적은 제2층(SiCN층)을 형성한다.Step 3 is performed in the same manner as step 3 of the first sequence. That is, the processing conditions in step 3, the reaction to be generated, the layer to be formed, and the like are the same as those in step 3 in the first sequence. That is, in this step, the first layer containing Si, N and C is reacted with the TEA gas by the supply of the TEA gas into the treatment chamber 201, so that the ratio of the C component is larger than that of the first layer, (SiCN layer) having a smaller impurity component than the first layer.

또한 TEA가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력은 스텝1 및 스텝2에서 HCDS가스, 3DMAS가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력보다 크게 하는 것이 바람직하고, 또한 후술하는 스텝4에서 O2가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력보다 크게 하는 것이 바람직하다. 또한 스텝4에서 O2가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력은 스텝1 및 스텝2에서 HCDS가스, 3DMAS가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력보다 크게 하는 것이 바람직하고, TEA가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력은 스텝4에서 O2가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력보다 크게 하는 것이 바람직하다. 즉 HCDS가스, 3DMAS가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력을 각각 P1, P2[Pa]라고 하고, TEA가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력을 P3[Pa]이라고 하고, O2가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력을 P4[Pa]로 하였을 때, 압력P1 내지 압력P4를 P3>P1,P2의 관계를 만족시키도록 각각 설정하는 것이 바람직하고, 또한 P3>P4의 관계를 만족시키도록 각각 설정하는 것이 보다 바람직하고, 또한 P3>P4>P1,P2의 관계를 만족시키도록 각각 설정하는 것이 가장 바람직하다. 즉 TEA가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력은 스텝1 내지 스텝4 중에서 가장 높게 하는 것이 바람직하다.The pressure in the process chamber 201 when the TEA gas is supplied to the wafer 200 is set to be larger than the pressure in the process chamber 201 when the HCDS gas and the 3DMAS gas are supplied to the wafer 200 in steps 1 and 2 And it is preferable that the pressure in the processing chamber 201 at the time of supplying O 2 gas to the wafer 200 is larger than that in the step 4 described later. The pressure in the processing chamber 201 when O 2 gas is supplied to the wafer 200 in Step 4 is set to be higher than the pressure in the processing chamber 201 when supplying HCDS gas and 3DMAS gas to the wafer 200 in Step 1 and Step 2. [ The pressure in the processing chamber 201 when the TEA gas is supplied to the wafer 200 is lower than the pressure in the processing chamber 201 when the O 2 gas is supplied to the wafer 200 in step 4 . The pressure in the processing chamber 201 when the HCDS gas and the 3DMAS gas are supplied to the wafer 200 is P 1 and P 2 [Pa], respectively, and the TEA gas is supplied to the processing chamber 201 ) for the pressure in the P 3 [Pa] as, and when the pressure in the process chamber 201 when the supply for the O 2 gas to the wafer 200 to the P 4 [Pa], the pressure P 1 to a pressure P 4 P 3> preferably each set so as to satisfy the relation of P 1, P 2, and further more preferable that each set so as to satisfy the relationship of P 3> P 4, and also P 3> P 4> P 1, P < 2 > are satisfied. That is, it is preferable that the pressure in the process chamber 201 when the TEA gas is supplied to the wafer 200 is the highest in steps 1 to 4.

TEA가스 공급 시의 처리실(201) 내의 압력을 이와 같은 압력으로 설정하는 것에 의해 제2층(SiCN층) 중의 C성분의 비율을 N성분의 비율보다 더 크게 하는 것이 가능해지고, 보다 C리치의 SiCN층을 형성하는 것이 가능해진다. 이에 의해 스텝4에서 제2층(SiCN층)을 산화한 후에도 C성분의 비율이 N성분의 비율보다 큰 상태를 유지하는 것이 가능해지고, C농도가 높은 SiOCN층 또는 SiOC층을 형성하는 것이 가능해진다. 즉 C농도가 높은 SiOCN막 또는 SiOC막을 형성하는 것이 가능해진다. 또한 스텝4에서 제2층(SiCN층)을 산화한 후에 C성분의 비율이 O성분의 비율보다 큰 상태를 만들어 낼 수 있고, O농도보다 C농도가 더 높은 SiOCN층 또는 SiOC층을 형성하는 것이 가능해진다. 즉 O농도보다 C농도가 더 높은 SiOCN막 또는 SiOC막을 형성하는 것이 가능해진다.The ratio of the C component in the second layer (SiCN layer) can be made larger than the ratio of the N component by setting the pressure in the processing chamber 201 at the time of supplying the TEA gas to such a pressure, and the C rich SiCN It becomes possible to form a layer. Thus, even after the second layer (the SiCN layer) is oxidized in Step 4, it is possible to maintain the state where the ratio of the C component is larger than the ratio of the N component, and it is possible to form the SiOCN layer or the SiOC layer with a high C concentration . That is, a SiOCN film or SiOC film having a high C concentration can be formed. Further, in step 4, it is possible to form a state in which the ratio of the C component is larger than that of the O component after the oxidation of the second layer (SiCN layer), and a SiOCN layer or SiOC layer higher in C concentration than the O concentration It becomes possible. That is, a SiOCN film or a SiOC film having a higher C concentration than the O concentration can be formed.

[스텝4](O2가스 공급)[Step 4] (supply of O 2 gas)

스텝3이 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 제5 가스 공급관(232j)의 밸브(243j)를 열고, 제5 가스 공급관(232j) 내에 O2가스를 흘린다. 제5 가스 공급관(232j) 내를 흐른 O2가스는 매스 플로우 컨트롤러(241j)에 의해 유량 조정된다. 유량 조정된 O2가스는 제4 노즐(249d)의 가스 공급공(250d)으로부터 버퍼실(237)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다. 이 때 웨이퍼(200)에 대하여 O2가스가 공급된다. 이 때 동시에 밸브(243h)를 열고, 불활성 가스 공급관(232h) 내에 N2가스를 흘린다. N2가스는 O2가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.Step 3 is completed and the residual gas in the processing chamber 201 is removed and then the valve 243j of the fifth gas supply pipe 232j is opened and O 2 gas is flowed into the fifth gas supply pipe 232j. The O 2 gas flowing in the fifth gas supply pipe 232j is regulated in flow rate by the mass flow controller 241j. The adjusted O 2 gas is supplied from the gas supply hole 250d of the fourth nozzle 249d to the processing chamber 201 through the buffer chamber 237 and exhausted from the exhaust pipe 231. [ At this time, O 2 gas is supplied to the wafer 200. Simultaneously, the valve 243h is opened and N 2 gas is flowed into the inert gas supply pipe 232h. The N 2 gas is supplied into the processing chamber 201 together with the O 2 gas and exhausted from the exhaust pipe 231.

이 때 제1 노즐(249a), 제2 노즐(249b), 제3 노즐(249c) 내로의 O2가스의 침입을 방지하기 위해서 밸브(243e, 243f, 243g)를 열고, 제1 불활성 가스 공급관(232e), 제2 불활성 가스 공급관(232f), 제3 불활성 가스 공급관(232g) 내에 N2가스를 흘린다. N2가스는 제1 가스 공급관(232a), 제2 가스 공급관(232b), 제3 가스 공급관(232c), 제1 노즐(249a), 제2 노즐(249b), 제3 노즐(249c)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.At this time, valves 243e, 243f and 243g are opened to prevent the inflow of O 2 gas into the first nozzle 249a, the second nozzle 249b and the third nozzle 249c, and the first inert gas supply pipe N 2 gas is passed through the second inert gas supply pipe 232f, the second inert gas supply pipe 232f and the third inert gas supply pipe 232g. The N 2 gas is supplied through the first gas supply pipe 232a, the second gas supply pipe 232b, the third gas supply pipe 232c, the first nozzle 249a, the second nozzle 249b and the third nozzle 249c And is then exhausted from the exhaust pipe 231. [

이 때 APC밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 1Pa 내지 3,000Pa의 범위 내의 압력으로 한다. 처리실(201) 내의 압력을 이와 같은 비교적 높은 압력대로 하는 것에 의해 O2가스를 제4 노즐(249d) 내나 버퍼실(237) 내나 처리실(201) 내에서 비(非)플라즈마로 열적으로 활성화(여기)시키는 것이 가능해진다. 또한 O2가스는 열로 활성화시켜서 공급하는 것이 소프트한 반응을 발생시킬 수 있어 후술하는 산화를 소프트하게 수행할 수 있다. 매스 플로우 컨트롤러(241j)로 제어하는 O2가스의 공급 유량은 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241h, 241e, 241f, 241g)로 제어하는 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. 이 때 처리실(201) 내에서의 O2가스의 분압은 0.01Pa 내지 2,970Pa의 범위 내의 압력으로 한다. 열로 활성화시킨 O2가스를 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1초 내지 120초, 바람직하게는 1초 내지 60초의 범위 내의 시간으로 한다. 이 때의 히터(207)의 온도는 스텝1 내지 스텝3과 마찬가지로 웨이퍼(200)의 온도가 예컨대 250℃ 내지 700℃, 바람직하게는 300℃ 내지 650℃, 보다 바람직하게는 350℃ 내지 600℃의 범위 내의 온도가 될 수 있는 온도로 설정한다.At this time, the APC valve 244 is appropriately adjusted to set the pressure in the processing chamber 201 to a pressure within a range of, for example, 1 Pa to 3,000 Pa. The O 2 gas can be thermally activated in the fourth nozzle 249d or in the buffer chamber 237 or in the process chamber 201 by non-plasma by setting the pressure in the process chamber 201 to a comparatively high pressure ). In addition, the O 2 gas can be softly reacted by supplying the O 2 gas in the form of heat, so that oxidation to be described later can be performed softly. The supply flow rate of the O 2 gas controlled by the mass flow controller 241j is set to a flow rate within a range of, for example, 100 sccm to 10,000 sccm. The supply flow rates of the N 2 gas to be controlled by the mass flow controllers 241h, 241e, 241f, and 241g are each set to a flow rate within a range of, for example, 100 sccm to 10,000 sccm. At this time, the partial pressure of the O 2 gas in the treatment chamber 201 is set to a pressure within the range of 0.01 Pa to 2,970 Pa. The time for supplying the O 2 gas activated by the heat to the wafer 200, that is, the gas supply time (irradiation time) is, for example, a time within a range of 1 second to 120 seconds, preferably 1 second to 60 seconds. The temperature of the heater 207 at this time is set such that the temperature of the wafer 200 is, for example, 250 DEG C to 700 DEG C, preferably 300 DEG C to 650 DEG C, more preferably 350 DEG C to 600 DEG C Set the temperature to the temperature within the range.

이 때, 처리실(201) 내에 흘리는 가스는 처리실(201) 내의 압력을 높게 하는 것에 의해 열적으로 활성화된 O2가스이며, 처리실(201) 내에는 HCDS가스도 3DMAS가스도 TEA가스도 흘리지 않는다. 따라서 O2가스는 기상 반응을 일으키지 않고, 활성화된 O2가스는 스텝3에서 웨이퍼(200) 상에 형성된 제2층(SiCN층)의 적어도 일부와 반응한다. 이에 의해 제2층은 산화되어 제3층으로 개질된다. 제3층은 실리콘 산탄질화층(SiOCN층) 또는 실리콘 산탄화층(SiOC층)이 된다.At this time, the gas flowing into the processing chamber 201 is an O 2 gas thermally activated by increasing the pressure in the processing chamber 201, and neither HCDS gas nor 3DMAS gas nor TEA gas is spilled into the processing chamber 201. Therefore, the O 2 gas does not cause a gas phase reaction, and the activated O 2 gas reacts with at least a part of the second layer (SiCN layer) formed on the wafer 200 in Step 3. Whereby the second layer is oxidized and reformed into a third layer. The third layer is a silicon oxyanitride layer (SiOCN layer) or a silicon oxynitride layer (SiOC layer).

또한 O2가스를 열로 활성화시켜서 처리실(201) 내에 흘리는 것에 의해 제2층을 열 산화하여 SiOCN층 또는 SiOC층으로 개질(변화)시킬 수 있다. 이 때 제2층에 O성분을 부가하면서 제2층을 SiOCN층 또는 SiOC층으로 개질시킨다. 또한 이 때 O2가스에 의한 열 산화의 작용에 의해 제2층에서의 Si-O결합이 증가하는 한편, Si-N결합, Si-C결합 및 Si-Si결합은 감소하고, 제2층에서의 N성분의 비율, C성분의 비율 및 Si성분의 비율은 감소한다. 그리고 이 때 열 산화 시간을 연장하거나, O2가스에 의한 열 산화의 산화력을 높이는 것에 의해 N성분의 대부분을 탈리시켜서 N성분을 불순물 수준으로까지 감소시키거나 N성분을 실질적으로 소멸시키는 것이 가능해진다. 즉 산소 농도를 증가시키는 방향에 또한 질소 농도, 탄소 농도 및 실리콘 농도를 감소시키는 방향으로 조성비를 변화시키면서 제2층을 SiOCN층 또는 SiOC층으로 개질시킬 수 있다. 또한 이 때 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어하는 것에 의해 SiOCN층 또는 SiOC층에서의 O성분의 비율, 즉 산소 농도를 미조정(微調整)할 수 있고, SiOCN층 또는 SiOC층의 조성비를 보다 엄밀하게 제어할 수 있다.Further, the O 2 gas can be thermally activated and flowed into the processing chamber 201, whereby the second layer can be reformed (changed) into a SiOCN layer or SiOC layer by thermal oxidation. At this time, the second layer is modified into the SiOCN layer or the SiOC layer while adding the O component to the second layer. At this time, Si-O bonds in the second layer increase due to the action of thermal oxidation by O 2 gas, while Si-N bonds, Si-C bonds and Si-Si bonds decrease, and in the second layer The ratio of the N component, the ratio of the C component, and the ratio of the Si component decrease. At this time, by extending the thermal oxidation time or increasing the oxidizing power of the thermal oxidation by the O 2 gas, most of the N component can be desorbed and the N component can be reduced to the impurity level or the N component can be substantially destroyed . That is, the second layer can be modified into the SiOCN layer or the SiOC layer while varying the composition ratio in the direction of increasing the oxygen concentration and decreasing the nitrogen concentration, the carbon concentration and the silicon concentration. At this time, the ratio of the O component in the SiOCN layer or the SiOC layer, that is, the oxygen concentration can be finely adjusted by controlling the process conditions such as the pressure in the process chamber 201 and the gas supply time, Or the composition ratio of the SiOC layer can be more strictly controlled.

또한 스텝1 및 스텝2에 의해 형성된 제1층에서의 C성분은 N성분에 비해 리치 상태에 있다는 것이 판명되었다. 또한 스텝3에 의해 형성된 제2층은 제1층보다 C성분이 더 리치의 상태가 된다. 어떤 실험에서는 탄소 농도가 질소 농도의 2배 정도 이상이 되는 경우도 있었다. 즉 산화종의 에너지(O2가스에 의한 열 산화의 작용)에 의해 제2층에서의 C성분과 N성분을 탈리시킬 때, 제2층에서의 N성분이 완전히 탈리하기 전에, 즉 N성분이 잔류한 상태에서 산화를 멈추는 것에 의해, 제2층에는 C성분과 N성분이 잔류하고, 제2층은 SiOCN층으로 개질된다. 또한 산화종의 에너지에 의해 제2층에서의 C성분과 N성분을 탈리시킬 때, N성분의 대부분이 탈리가 끝난 단계에서도 제2층에는 C성분이 잔류하고, 이 상태에서 산화를 멈추는 것에 의해 제2층은 SiOC층으로 개질된다. 즉 가스 공급 시간(산화 처리 시간)을 제어하는 것에 의해 C성분의 비율 및 N성분의 비율, 즉 탄소 농도 및 질소 농도를 제어할 수 있어, SiOCN층 및 SiOC층 중 어느 하나의 층을 조성비를 제어하면서 형성할 수 있다. 또한 제2층을 열 산화할 때의 산화력을 제어하는 것에 의해서도 C성분의 비율 및 N성분의 비율, 즉 탄소 농도 및 질소 농도를 제어할 수 있다. 또한 이 때 처리실(201) 내의 압력 등의 처리 조건을 제어하는 것에 의해 SiOCN층 또는 SiOC층에서의 C성분의 비율 및 N성분의 비율, 즉 탄소 농도 및 질소 농도를 미조정할 수 있다.It was also found that the C component in the first layer formed by Step 1 and Step 2 is in a rich state as compared with the N component. In the second layer formed by Step 3, the C component becomes richer than the first layer. In some experiments, the carbon concentration was more than twice the nitrogen concentration. That is, when the C component and the N component in the second layer are desorbed by the energy of the oxidizing species (the action of thermal oxidation by the O 2 gas), before the N component in the second layer completely desorbs, By stopping the oxidation in the remaining state, the C component and the N component remain in the second layer, and the second layer is modified into the SiOCN layer. Also, when the C component and the N component in the second layer are desorbed by the energy of the oxidizing species, the C component remains in the second layer even when most of the N component is desorbed, and by stopping the oxidation in this state The second layer is modified with a SiOC layer. That is, by controlling the gas supply time (oxidation treatment time), the ratio of the C component and the ratio of the N component, that is, the carbon concentration and the nitrogen concentration can be controlled and any one of the SiOCN layer and the SiOC layer can be controlled . Further, the ratio of the C component and the ratio of the N component, that is, the carbon concentration and the nitrogen concentration can be controlled by controlling the oxidizing power when the second layer is thermally oxidized. At this time, the ratio of the C component and the ratio of the N component in the SiOCN layer or the SiOC layer, that is, the carbon concentration and the nitrogen concentration can be finely adjusted by controlling the treatment conditions such as the pressure in the treatment chamber 201. [

또한 이 때 제2층의 산화 반응은 포화시키지 않는 것이 바람직하다. 예컨대 스텝1 내지 스텝3에서 수원자층의 두께의 제2층을 형성한 경우에는 그 제2층의 일부를 산화시키는 것이 바람직하다. 이 경우, 수원자층의 두께의 제2층 전체를 산화시키지 않도록 제2층의 산화 반응이 불포화가 되는 조건 하에서 산화를 수행한다.At this time, it is preferable that the oxidation reaction of the second layer is not saturated. For example, in the case where the second layer of the thickness of the atomic atom layer is formed in Step 1 to Step 3, it is preferable to oxidize a part of the second layer. In this case, oxidation is performed under the condition that the oxidation reaction of the second layer becomes unsaturated so as not to oxidize the entire second layer of the thickness of the atomic atom layer.

또한 제2층의 산화 반응을 불포화로 하기 위해서는 스텝4에서의 처리 조건을 전술한 처리 조건으로 하면 좋지만, 또한 스텝4에서의 처리 조건을 다음 처리 조건으로 하는 것에 의해 제2층의 산화 반응을 불포화로 하는 것이 용이해진다.In order to make the oxidation reaction of the second layer unsaturated, the treatment conditions in step 4 may be set to the above-described treatment conditions, and the oxidation conditions in the second layer may be unsaturated .

웨이퍼 온도: 500℃ 내지 600℃Wafer temperature: 500 ° C to 600 ° C

처리실 내 압력: 133Pa 내지 2,666PaPressure in the treatment chamber: 133 Pa to 2,666 Pa

O2가스 분압: 33Pa 내지 2,515PaO 2 gas partial pressure: 33 Pa to 2,515 Pa

O2가스 공급 유량: 1,000sccm 내지 5,000sccmO 2 gas supply flow rate: 1,000 sccm to 5,000 sccm

N2가스 공급 유량: 300sccm 내지 3,000sccmN 2 gas supply flow rate: 300 sccm to 3,000 sccm

O2가스 공급 시간: 6초 내지 60초O 2 gas supply time: 6 to 60 seconds

(잔류 가스 제거)(Removal of residual gas)

웨이퍼(200) 상에 제3층이 형성된 후, 제5 가스 공급관(232j)의 밸브(243j)를 닫고, O2가스의 공급을 정지한다. 이 때 배기관(231)의 APC밸브(244)는 연 상태로 하여 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여 처리실(201) 내에 잔류하는 미반응 또는 제3층 형성에 기여한 후의 O2가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한 밸브(243h, 243e, 243f, 243g)는 연 상태로 하여 불활성 가스로서의 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 또는 제3층 형성에 기여한 후의 O2가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 더욱 높일 수 있다.After the third layer is formed on the wafer 200, the valve 243j of the fifth gas supply pipe 232j is closed and the supply of the O 2 gas is stopped. At this time, the APC valve 244 of the exhaust pipe 231 is opened, and the inside of the processing chamber 201 is evacuated by the vacuum pump 246 so as to be unreacted remaining in the processing chamber 201, O 2 gas and reaction by-products are excluded from the inside of the processing chamber 201. Further, the valves 243h, 243e, 243f, and 243g are kept in the open state to maintain the supply of the N 2 gas as the inert gas into the processing chamber 201. The N 2 gas acts as a purge gas, thereby further enhancing the effect of eliminating O 2 gas or reaction by-products remaining in the processing chamber 201 or an unreacted portion remaining in the processing chamber 201 have.

또한 이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋고, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 수행되는 스텝1에서 악영향이 발생하지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없고, 예컨대 반응관(203)[처리실(201)]의 용적과 같은 정도의 양을 공급하는 것에 의해 스텝1에서 악영향이 발생하지 않을 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.At this time, the gas remaining in the processing chamber 201 may not be entirely excluded, or the processing chamber 201 may not be completely purged. If there is a small amount of gas remaining in the processing chamber 201, no adverse effect occurs in step 1 performed thereafter. At this time, the flow rate of the N 2 gas to be supplied into the processing chamber 201 is not limited to a large flow rate. For example, by supplying the same amount as the volume of the reaction tube 203 (processing chamber 201) It is possible to carry out purging to such an extent that adverse effects do not occur. By not completely purging the inside of the processing chamber 201, the purging time can be shortened and the throughput can be improved. In addition, it becomes possible to suppress the consumption of N 2 gas to the minimum necessary.

산소 함유 가스로서는 O2가스를 열로 활성화한 가스 외에 아산화질소(N2O) 가스, 일산화질소(NO) 가스, 이산화질소(NO2) 가스, 오존(O3) 가스, 수소(H2) 가스+산소(O2) 가스, H2가스+O3가스, 수증기(H2O) 가스, 일산화탄소(CO) 가스, 이산화탄소(CO2) 가스 등을 열로 활성화한 가스를 이용해도 좋고, 이들의 가스를 Ar가스, He가스, Ne가스, Xe가스 등의 희가스에서 희석한 가스를 열로 활성화하여 이용해도 좋다.The oxygen-containing gas as the nitrous oxide addition to the gas to heat activate the O 2 gas (N 2 O) gas, nitrogen monoxide (NO) gas and nitrogen dioxide (NO 2) gas, ozone (O 3) gas, hydrogen (H 2) gas + It is possible to use a gas in which heat is activated by oxygen (O 2 ) gas, H 2 gas + O 3 gas, water vapor (H 2 O) gas, carbon monoxide (CO) gas, carbon dioxide (CO 2 ) gas, A gas diluted with a rare gas such as an Ar gas, a He gas, an Ne gas, or an Xe gas may be activated by heat.

(소정 횟수 실시)(A predetermined number of times)

전술한 스텝1 내지 스텝4를 1사이클로 하여 이 사이클을 1회 이상(소정 횟수) 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘 산탄질화막(SiOCN막), 또는 실리콘 산탄화막(SiOC막)을 성막할 수 있다. 또한 전술한 사이클은 복수 회 반복하는 것이 바람직하다. 즉 1사이클당 형성하는 SiOCN층 또는 SiOC층의 두께를 원하는 막 두께보다 작게 하여 전술한 사이클을 원하는 막 두께가 될 때까지 복수 회 반복하는 것이 바람직하다. 또한 SiOCN막은 실리콘(Si), 산소(O), 탄소(C) 및 질소(N)를 포함하는 박막이라고도 할 수 있다. 또한 SiOC막은 실리콘(Si), 산소(O) 및 탄소(C)를 포함하는 박막이라고도 할 수 있다.By carrying out the above-described steps 1 to 4 in one cycle and performing this cycle one or more times (a predetermined number of times), a silicon oxynitride film (SiOCN film) having a predetermined composition and a predetermined film thickness, or a silicon oxyanitride film (SiOC film) can be formed. It is also preferable that the above cycle is repeated a plurality of times. That is, the thickness of the SiOCN layer or the SiOC layer formed per cycle is made smaller than the desired film thickness, and the cycle is repeated a plurality of times until a desired film thickness is obtained. The SiOCN film may also be referred to as a thin film containing silicon (Si), oxygen (O), carbon (C) and nitrogen (N). The SiOC film may also be referred to as a thin film containing silicon (Si), oxygen (O), and carbon (C).

또한 전술한 스텝1과 스텝2를 교호적으로 소정 횟수 수행하는 공정과, 스텝3을 수행하는 공정과, 스텝4를 수행하는 공정을 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 SiOCN막 또는 SiOC막을 성막해도 좋다. 즉 전술한 스텝1 및 스텝2를 1세트로 하여 이 세트를 소정 횟수 수행하는 공정과, 스텝3을 수행하는 공정과, 스텝4를 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수 수행하는 것에 의해, 웨이퍼(200) 상에 소정 막 두께의 SiOCN막 또는 SiOC막을 성막해도 좋다.Further, by performing the cycle including the step of alternately performing Step 1 and Step 2 described above a predetermined number of times, the step of performing Step 3, and the step of performing Step 4, A SiOCN film or a SiOC film of a predetermined composition and a predetermined film thickness may be formed. That is, the steps of performing the set number of times, performing the step 3, and performing the step 4 in one set of the steps 1 and 2 described above are performed one cycle, and the cycle is performed a predetermined number of times , A SiOCN film or a SiOC film having a predetermined film thickness may be formed on the wafer 200.

전술한 스텝1 및 스텝2를 1세트로 하여 이 세트를 소정 횟수 수행하는 공정과, 스텝3을 수행하는 공정과, 스텝4를 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수 수행하는 것에 의해, SiOCN막 또는 SiOC막의 조성비의 제어성을 향상시킬 수 있다. 즉 스텝1 및 스텝2에서 구성되는 세트 수를 증감하는 것에 의해 제1층의 실리콘 성분, 질소 성분, 탄소 성분의 절대량을 증감시킬 수 있고, 이와 같이 하여 각 성분의 절대량을 증감시킨 제1층을 스텝3 및 스텝4에서 개질하는 것에 의해 SiOCN층 또는 SiOC층의 각 성분의 비율을 세트 수에 의해 조정할 수 있어, 최종적으로 형성하는 SiOCN막 또는 SiOC막의 각 성분의 비율을 세트 수에 의해 제어할 수 있다.By carrying out this cycle a predetermined number of times with one cycle of performing the set number of times, performing the step 3, and performing the step 4 with one set of the steps 1 and 2 described above, The controllability of the composition ratio of the SiOCN film or the SiOC film can be improved. The absolute amount of the silicon component, the nitrogen component and the carbon component of the first layer can be increased or decreased by increasing or decreasing the number of sets constituted in steps 1 and 2. In this way, The ratio of each component of the SiOCN layer or the SiOC layer can be adjusted by the number of sets by modifying in the step 3 and the step 4 so that the ratio of each component of the finally formed SiOCN film or SiOC film can be controlled by the number of sets have.

또한 스텝1 및 스텝2에서 구성되는 세트 수를 늘리는 것에 의해 1사이클당 형성하는 제1층의 층수, 즉 1사이클당 형성하는 제1층의 두께를 세트 수만큼 증가시킬 수 있어, 사이클 레이트(단위 사이클당 형성되는 SiOCN층 또는 SiOC층의 두께)를 향상시키는 것이 가능해진다. 또한 이에 의해 성막 레이트(단위 시간당 형성되는 SiOCN막 또는 SiOC막의 막 두께)도 향상시킬 수 있다.Further, by increasing the number of sets constituted in steps 1 and 2, it is possible to increase the number of layers of the first layer formed per cycle, that is, the thickness of the first layer formed per cycle, by the number of sets, The thickness of the SiOCN layer or SiOC layer formed per cycle) can be improved. This also improves the deposition rate (the thickness of the SiOCN film or the SiOC film formed per unit time).

또한 전술한 스텝1 내지 스텝3을 1세트로 하여 이 세트를 소정 횟수 수행하는 공정과, 스텝4를 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수 수행하는 것에 의해, 웨이퍼(200) 상에 소정 막 두께의 SiOCN막 또는 SiOC막을 성막해도 좋다.In addition, by performing this cycle a predetermined number of times with one cycle of the step of performing this set a predetermined number of times and the step of performing the step 4 by using the above-described steps 1 to 3 as one set, A SiOCN film or a SiOC film of a film thickness may be formed.

전술한 스텝1 내지 스텝3을 1세트로 하여 이 세트를 소정 횟수 수행하는 공정과, 스텝4를 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수 수행하는 것에 의해, SiOCN막 또는 SiOC막의 조성비의 제어성을 향상시킬 수 있다. 즉 스텝1 내지 스텝3에서 구성되는 세트 수를 증감하는 것에 의해 제2층의 실리콘 성분, 질소 성분, 탄소 성분의 절대량을 증감시킬 수 있고, 이와 같이 하여 각 성분의 절대량을 증감시킨 제2층을 스텝4에서 개질하는 것에 의해 SiOCN층 또는 SiOC층의 각 성분의 비율을 세트 수에 의해 조정할 수 있어, 최종적으로 형성하는 SiOCN막 또는 SiOC막의 각 성분의 비율을 세트 수에 의해 제어할 수 있다.By performing this cycle a predetermined number of times with one cycle of the step of performing the set number of times and the step of performing step 4 with the above-described steps 1 to 3 as one set, the control of the composition ratio of the SiOCN film or the SiOC film It is possible to improve the property. The absolute amount of the silicon component, the nitrogen component and the carbon component of the second layer can be increased or decreased by increasing or decreasing the number of sets constituted by the steps 1 to 3. In this way, The ratio of each component of the SiOCN layer or the SiOC layer can be adjusted by the number of sets by modifying in step 4 and the ratio of each component of the finally formed SiOCN film or SiOC film can be controlled by the number of sets.

또한 스텝1 내지 스텝3에서 구성되는 세트 수를 늘리는 것에 의해 1사이클당 형성하는 제2층의 층수, 즉 1사이클당 형성하는 제2층의 두께를 세트 수만큼 증가시킬 수 있고, 사이클 레이트(단위 사이클당 형성되는 SiOCN층 또는 SiOC층의 두께)를 향상시키는 것이 가능해진다. 또한 이에 의해 성막 레이트(단위 시간당 형성되는 SiOCN막 또는 SiOC막의 막 두께)도 향상시킬 수 있다.Further, by increasing the number of sets constituted in steps 1 to 3, it is possible to increase the number of layers of the second layer formed per cycle, that is, the thickness of the second layer formed per cycle, by the number of sets, The thickness of the SiOCN layer or SiOC layer formed per cycle) can be improved. This also improves the deposition rate (the thickness of the SiOCN film or the SiOC film formed per unit time).

소정 조성을 가지는 소정 막 두께의 SiOCN막, 또는 SiOC막을 형성하는 성막 처리가 이루어지면, 가스 퍼지, 불활성 가스 치환, 대기압 복귀, 보트 언로드, 웨이퍼 디스차지가 제1 시퀀스와 마찬가지로 수행된다.When a SiOCN film having a predetermined composition or a SiOC film is formed, gas purge, inert gas replacement, atmospheric pressure return, boat unloading, and wafer discharge are performed in the same manner as the first sequence.

(제3 시퀀스)(Third sequence)

다음으로 본 실시 형태의 제3 시퀀스에 대하여 설명한다. 도 6은 본 실시 형태의 제3 시퀀스에서의 성막 플로우의 예를 도시하는 도면이다. 도 9는 본 실시 형태의 제3 시퀀스에서의 가스 공급의 타이밍의 예를 도시하는 도면이며, 도 9의 (a)는 플라즈마를 이용하지 않고(비(非)플라즈마로) 성막을 수행하는 시퀀스예를 도시하고, 도 9의 (b)는 플라즈마를 이용하여 성막을 수행하는 시퀀스예를 도시한다.Next, the third sequence of the present embodiment will be described. Fig. 6 is a diagram showing an example of the film formation flow in the third sequence of the present embodiment. Fig. 9 is a diagram showing an example of timing of gas supply in the third sequence of the present embodiment. Fig. 9 (a) shows a sequence example in which film formation is performed without using plasma (in a non-plasma) And FIG. 9 (b) shows a sequence example in which film formation is performed using plasma.

본 실시 형태의 제3 시퀀스에서는,In the third sequence of the present embodiment,

처리실(201) 내의 웨이퍼(200)에 대하여 클로로실란계 원료와 아미노실란계 원료를 교호적으로 공급하는 것에 의해 웨이퍼(200) 상에 실리콘, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;Forming a first layer containing silicon, nitrogen and carbon on the wafer 200 by alternately supplying the chlorosilane-based raw material and the aminosilane-based raw material to the wafer 200 in the treatment chamber 201;

처리실(201) 내의 웨이퍼(200)에 대하여 아민계 원료를 공급하는 것에 의해 제1층을 개질하여 제2층으로서 실리콘 탄질화층을 형성하는 공정; 및A step of modifying the first layer by supplying an amine-based raw material to the wafer 200 in the treatment chamber 201 to form a silicon carbonitride layer as a second layer; And

처리실(201) 내의 웨이퍼(200)에 대하여 열 또는 플라즈마로 활성화시킨 질소 함유 가스(질화 가스)를 공급하는 것에 의해 제2층을 질화하여 제3층으로서 실리콘 탄질화층을 형성하는 공정;A step of nitriding the second layer by supplying a nitrogen-containing gas (nitriding gas) activated by heat or plasma to the wafer 200 in the treatment chamber 201 to form a silicon carbonitride layer as a third layer;

을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘 탄질화막을 형성한다.A silicon nitride film of a predetermined composition and a predetermined film thickness is formed on the wafer 200 by performing a cycle a predetermined number of times.

여기서 「클로로실란계 원료와 아미노실란계 원료를 교호적으로 공급한다」란 클로로실란계 원료 및 아미노실란계 원료 중 일방의 원료를 공급하고, 그 후, 타방의 원료를 공급하고, 이를 1세트로 한 경우, 이 세트를 1회 수행하는 경우와, 이 세트를 복수 회 반복하는 경우의 양방을 포함한다. 즉 이 세트를 1회 이상(소정 횟수) 수행하는 것을 의미한다.Here, " alternately supplying the chlorosilane-based raw material and the aminosilane-based raw material " means that one of the raw materials of the chlorosilane-based raw material and the aminosilane-based raw material is supplied and then the other raw material is supplied, In this case, the set includes both the case of performing the set once and the case of repeating the set a plurality of times. That is, to perform this set one or more times (a predetermined number of times).

또한 「제1층을 형성하는 공정과, 제2층을 형성하는 공정과, 제3층을 형성하는 공정을 포함하는 사이클을 소정 횟수 수행한다」란 제1층을 형성하는 공정과, 제2층을 형성하는 공정과, 제3층을 형성하는 공정을 1사이클로 한 경우, 이 사이클을 1회 수행하는 경우와, 이 사이클을 복수 회 반복하는 경우의 양방을 포함한다. 즉 이 사이클을 1회 이상(소정 횟수) 수행하는 것을 의미한다.Further, the phrase " a cycle including the step of forming the first layer, the step of forming the second layer and the step of forming the third layer " is performed a predetermined number of times " When the step of forming the third layer and the step of forming the third layer are taken as one cycle, this includes both the case of performing this cycle once and the case of repeating this cycle a plurality of times. That is, this cycle is performed at least once (a predetermined number of times).

도 6 및 도 9는 전술한 세트, 즉 클로로실란계 원료 및 아미노실란계 원료 중 일방의 원료를 공급하는 공정과, 그 후, 타방의 원료를 공급하는 공정으로 구성되는 세트를 1회 수행하여, 전술한 사이클, 즉 제1층을 형성하는 공정과, 제2층을 형성하는 공정과, 제3층을 형성하는 공정으로 구성되는 사이클을 소정 횟수 수행하는 시퀀스의 예를 도시한다.Figs. 6 and 9 show a case where a set composed of the above-described set, that is, the step of supplying one of the raw materials out of the chlorosilane-based raw material and the aminosilane-based raw material, and the subsequent step of supplying the other raw material, There is shown an example of a sequence in which the above-described cycle, that is, the process of forming the first layer, the process of forming the second layer, and the process of forming the third layer is performed a predetermined number of times.

즉 도 6 및 도 8의 성막 시퀀스에서는,That is, in the film formation sequence of Figs. 6 and 8,

처리실(201) 내의 웨이퍼(200)에 대하여 클로로실란계 원료를 공급하고, 그 후, 아미노실란계 원료를 공급하는 것에 의해 웨이퍼(200) 상에 실리콘, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;A first layer containing silicon, nitrogen, and carbon is formed on the wafer 200 by supplying the chlorosilane-based raw material to the wafer 200 in the treatment chamber 201 and then supplying the aminosilane-based raw material to the wafer 200 in the treatment chamber 201 ;

처리실(201) 내의 웨이퍼(200)에 대하여 아민계 원료를 공급하는 것에 의해 제1층을 개질하여 제2층으로서 실리콘 탄질화층을 형성하는 공정; 및A step of modifying the first layer by supplying an amine-based raw material to the wafer 200 in the treatment chamber 201 to form a silicon carbonitride layer as a second layer; And

처리실(201) 내의 웨이퍼(200)에 대하여 열 또는 플라즈마로 활성화시킨 질소 함유 가스를 공급하는 것에 의해 제2층을 개질하여 제3층으로서 실리콘 탄질화층을 형성하는 공정;A step of modifying the second layer by supplying heat or a plasma-activated nitrogen-containing gas to the wafer 200 in the treatment chamber 201 to form a silicon carbonitride layer as a third layer;

을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘 탄질화막을 형성한다.A silicon nitride film of a predetermined composition and a predetermined film thickness is formed on the wafer 200 by performing a cycle a predetermined number of times.

이하, 본 실시 형태의 제3 시퀀스를 구체적으로 설명한다. 여기서는 클로로실란계 원료 가스로서 HCDS가스를, 아미노실란계 원료 가스로서 3DMAS가스를, 아민계 원료 가스로서 TEA가스를, 질소 함유 가스로서 NH3가스를 이용하여, 도 6 및 도 8의 시퀀스에 의해 기판 상에 절연막으로서 실리콘 탄질화막(SiCN막)을 형성하는 예에 대하여 설명한다.Hereinafter, the third sequence of the present embodiment will be described in detail. Here, the HCDS gas as the chlorosilane-based source gas, the 3DMAS gas as the aminosilane-based source gas, the TEA gas as the amine-based source gas, and the NH 3 gas as the nitrogen-containing gas are used An example of forming a silicon carbide (SiCN) film as an insulating film on a substrate will be described.

웨이퍼 차지, 보트 로드, 압력 조정, 온도 조정, 웨이퍼 회전까지는 제1 시퀀스와 마찬가지로 수행한다. 그 후, 후술하는 4개의 스텝을 순차 실행한다.Wafer charge, boat load, pressure adjustment, temperature adjustment, and wafer rotation are performed in the same manner as the first sequence. Thereafter, the following four steps are sequentially executed.

[스텝1][Step 1]

스텝1은 제1 시퀀스의 스텝1과 마찬가지로 수행한다. 즉 스텝1에서의 처리 조건, 발생하는 반응, 형성하는 층 등은 제1 시퀀스에서의 스텝1과 마찬가지이다. 즉 이 스텝에서는 처리실(201) 내로의 HCDS가스의 공급에 의해 웨이퍼(200) 상에 실리콘 함유층을 형성한다.Step 1 is performed in the same manner as Step 1 of the first sequence. That is, the processing conditions in step 1, reactions to be generated, layers to be formed, and the like are the same as those in step 1 in the first sequence. That is, in this step, the silicon-containing layer is formed on the wafer 200 by supplying the HCDS gas into the process chamber 201.

[스텝2][Step 2]

스텝2는 제1 시퀀스의 스텝2와 마찬가지로 수행한다. 즉 스텝2에서의 처리 조건, 발생하는 반응, 형성하는 층 등은 제1 시퀀스에서의 스텝2와 마찬가지이다. 즉 이 스텝에서는 처리실(201) 내로의 3DMAS가스의 공급에 의해 실리콘 함유층과 3DMAS가스를 반응시켜서 Si, N 및 C를 포함하는 제1층을 형성한다.Step 2 is performed in the same manner as step 2 of the first sequence. That is, the processing conditions in step 2, the reaction to be generated, the layer to be formed, and the like are the same as those in step 2 in the first sequence. That is, in this step, the silicon-containing layer and the 3DMAS gas are reacted by supplying the 3DMAS gas into the processing chamber 201 to form the first layer containing Si, N and C.

[스텝3][Step 3]

스텝3은 제1 시퀀스의 스텝3과 마찬가지로 수행한다. 즉 스텝3에서의 처리 조건, 발생하는 반응, 형성하는 층 등은 제1 시퀀스에서의 스텝3과 마찬가지이다. 즉 이 스텝에서는 처리실(201) 내로의 TEA가스의 공급에 의해 Si, N 및 C를 포함하는 제1층과 TEA가스를 반응시켜서 제1층보다 C성분의 비율이 더 많고 제1층보다 Cl 등의 불순물 성분이 더 적은 제2층(SiCN층)을 형성한다.Step 3 is performed in the same manner as step 3 of the first sequence. That is, the processing conditions in step 3, the reaction to be generated, the layer to be formed, and the like are the same as those in step 3 in the first sequence. That is, in this step, the first layer containing Si, N and C is reacted with the TEA gas by the supply of the TEA gas into the treatment chamber 201, so that the ratio of the C component is larger than that of the first layer, (SiCN layer) having a smaller impurity component than the first layer.

또한 TEA가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력은 스텝1 및 스텝2에서 HCDS가스, 3DMAS가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력보다 크게 하는 것이 바람직하고, 또한 후술하는 스텝4에서 NH3가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력보다 크게 하는 것이 바람직하다. 또한 스텝4에서 NH3가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력은 스텝1 및 스텝2에서 HCDS가스, 3DMAS가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력보다 크게 하는 것이 바람직하고, TEA가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력은 스텝4에서 NH3가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력보다 크게 하는 것이 바람직하다. 즉 HCDS가스, 3DMAS가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력을 각각 P1, P2[Pa]이라고 하고, TEA가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력을 P3[Pa]이라고 하고, NH3가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력을 P4[Pa]로 하였을 때, 압력P1 내지 압력P4를 P3>P1,P2의 관계를 만족시키도록 각각 설정하는 것이 바람직하고, 또한 P3>P4의 관계를 만족시키도록 각각 설정하는 것이 보다 바람직하고, 또한 P3>P4>P1,P2의 관계를 만족시키도록 각각 설정하는 것이 가장 바람직하다. 즉 TEA가스를 웨이퍼(200)에 대하여 공급할 때의 처리실(201) 내의 압력은 스텝1 내지 스텝4 중에서 가장 높게 하는 것이 바람직하다.The pressure in the process chamber 201 when the TEA gas is supplied to the wafer 200 is set to be larger than the pressure in the process chamber 201 when the HCDS gas and the 3DMAS gas are supplied to the wafer 200 in steps 1 and 2 And it is preferable that the pressure in the processing chamber 201 when the NH 3 gas is supplied to the wafer 200 in Step 4 to be described later is made larger. The pressure in the processing chamber 201 when NH 3 gas is supplied to the wafer 200 in step 4 is set to be higher than the pressure in the processing chamber 201 when supplying HCDS gas and 3DMAS gas to the wafer 200 in steps 1 and 2 The pressure in the processing chamber 201 when the TEA gas is supplied to the wafer 200 is set to be higher than the pressure in the processing chamber 201 when the NH 3 gas is supplied to the wafer 200 in Step 4 . The pressure in the processing chamber 201 when the HCDS gas and the 3DMAS gas are supplied to the wafer 200 is P 1 and P 2 [Pa], respectively, and the processing chamber 201 when the TEA gas is supplied to the wafer 200 P 3 [Pa], and the pressure in the processing chamber 201 when NH 3 gas is supplied to the wafer 200 is P 4 [Pa], the pressure P 1 to the pressure P 4 are set to P 3> preferably each set so as to satisfy the relation of P 1, P 2, and further more preferable that each set so as to satisfy the relationship of P 3> P 4, and also P 3> P 4> P 1, P < 2 > are satisfied. That is, it is preferable that the pressure in the process chamber 201 when the TEA gas is supplied to the wafer 200 is the highest in steps 1 to 4.

TEA가스 공급 시의 처리실(201) 내의 압력을 이와 같은 압력으로 설정하는 것에 의해 제2층(SiCN층) 중의 C성분의 비율을 N성분의 비율보다 더 크게 하는 것이 가능해지고, 보다 C리치의 SiCN층을 형성하는 것이 가능해진다. 이에 의해 스텝4에서 제2층(SiCN층)을 질화한 후에도 C성분의 비율이 N성분의 비율보다 큰 상태를 유지하는 것이 가능해지고, C농도가 높은 SiCN층을 형성하는 것이 가능해진다. 즉 C농도가 높은 SiCN막을 형성하는 것이 가능해진다.The ratio of the C component in the second layer (SiCN layer) can be made larger than the ratio of the N component by setting the pressure in the processing chamber 201 at the time of supplying the TEA gas to such a pressure, and the C rich SiCN It becomes possible to form a layer. Thus, even after the second layer (SiCN layer) is nitrided in Step 4, it is possible to maintain the state where the ratio of the C component is larger than the ratio of the N component, and it becomes possible to form a SiCN layer with a high C concentration. That is, it becomes possible to form a SiCN film having a high C concentration.

[스텝4](NH3가스 공급)[Step 4] (NH 3 gas supply)

스텝3이 종료되고 처리실(201) 내의 잔류 가스를 제거한 후, 제4 가스 공급관(232d)의 밸브(243d)를 열고, 제4 가스 공급관(232d) 내에 NH3가스를 흘린다. 제4 가스 공급관(232d) 내를 흐른 NH3가스는 매스 플로우 컨트롤러(241d)에 의해 유량 조정된다. 유량 조정된 NH3가스는 제4 노즐(249d)의 가스 공급공(250d)으로부터 버퍼실(237) 내에 공급된다. 이 때 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 고주파 전력을 인가하지 않는 것에 의해 버퍼실(237) 내에 공급된 NH3가스는 열로 활성화(여기)되어 가스 공급공(250e)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다[도 9의 (a) 참조]. 또한 이 때 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 고주파 전원(273)으로부터 정합기(272)를 개재하여 고주파 전력을 인가하는 것에 의해, 버퍼실(237) 내에 공급된 NH3가스는 플라즈마로 활성화(여기)되어, 활성종으로서 가스 공급공(250e)으로부터 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다[도 9의 (b) 참조]. 이 때 웨이퍼(200)에 대하여 열 또는 플라즈마로 활성화된 NH3가스가 공급된다. 이 때 동시에 밸브(243h)를 열고, 불활성 가스 공급관(232h) 내에 N2가스를 흘린다. N2가스는 NH3가스와 함께 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.After the step 3 ends and removal of the residual gas in the process chamber 201, a fourth, open the valve (243d) of the gas supply pipe (232d), it sheds the NH 3 gas in the fourth gas supply pipe (232d). The NH 3 gas flowing in the fourth gas supply pipe 232d is regulated in flow rate by the mass flow controller 241d. The NH 3 gas whose flow rate is adjusted is supplied into the buffer chamber 237 from the gas supply hole 250d of the fourth nozzle 249d. At this time, the NH 3 gas supplied into the buffer chamber 237 is activated (excited) by heat without applying high frequency power between the first rod-shaped electrode 269 and the second rod-shaped electrode 270, Is supplied from the exhaust pipe 250e into the process chamber 201 and exhausted from the exhaust pipe 231 (see Fig. 9A). At this time, high-frequency power is applied between the first rod-shaped electrode 269 and the second rod-shaped electrode 270 from the high-frequency power source 273 through the matching unit 272, The supplied NH 3 gas is activated (excited) by a plasma and supplied as active species from the gas supply hole 250e into the process chamber 201 and exhausted from the exhaust pipe 231 (see FIG. 9B). At this time, thermal or plasma-activated NH 3 gas is supplied to the wafer 200. Simultaneously, the valve 243h is opened and N 2 gas is flowed into the inert gas supply pipe 232h. The N 2 gas is supplied into the processing chamber 201 together with the NH 3 gas, and is exhausted from the exhaust pipe 231.

이 때 제1 노즐(249a), 제2 노즐(249b), 제3 노즐(249c) 내로의 O2가스의 침입을 방지하기 위해서 밸브(243e, 243f, 243g)를 열고, 제1 불활성 가스 공급관(232e), 제2 불활성 가스 공급관(232f), 제3 불활성 가스 공급관(232g) 내에 N2가스를 흘린다. N2가스는 제1 가스 공급관(232a), 제2 가스 공급관(232b), 제3 가스 공급관(232c), 제1 노즐(249a), 제2 노즐(249b), 제3 노즐(249c)을 개재하여 처리실(201) 내에 공급되고, 배기관(231)으로부터 배기된다.At this time, valves 243e, 243f and 243g are opened to prevent the inflow of O 2 gas into the first nozzle 249a, the second nozzle 249b and the third nozzle 249c, and the first inert gas supply pipe N 2 gas is passed through the second inert gas supply pipe 232f, the second inert gas supply pipe 232f and the third inert gas supply pipe 232g. The N 2 gas is supplied through the first gas supply pipe 232a, the second gas supply pipe 232b, the third gas supply pipe 232c, the first nozzle 249a, the second nozzle 249b and the third nozzle 249c And is then exhausted from the exhaust pipe 231. [

NH3가스를 플라즈마로 활성화하지 않고 열로 활성화시켜서 흘릴 때에는 APC밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 1Pa 내지 3,000Pa의 범위 내의 압력으로 한다. 처리실(201) 내의 압력을 이와 같은 비교적 높은 압력대로 하는 것에 의해 NH3가스를 제4 노즐(249d) 내나 버퍼실(237) 내나 처리실(201) 내에서 비(非)플라즈마로 열적으로 활성화시키는 것이 가능해진다. 또한 NH3가스는 열로 활성화시켜서 공급하는 것이 소프트한 반응을 발생시킬 수 있어 후술하는 질화를 소프트하게 수행할 수 있다. 또한 NH3가스를 플라즈마로 활성화하는 것에 의해 활성종(NH3*) 즉 플라즈마 여기종으로서 흘릴 때에는 APC밸브(244)를 적절히 조정하여 처리실(201) 내의 압력을 예컨대 1Pa 내지 100Pa의 범위 내의 압력으로 한다. 매스 플로우 컨트롤러(241d)로 제어하는 NH3가스의 공급 유량은 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. 매스 플로우 컨트롤러(241h, 241e, 241f, 241g)로 제어하는 N2가스의 공급 유량은 각각 예컨대 100sccm 내지 10,000sccm의 범위 내의 유량으로 한다. 이 때 NH3가스를 열로 활성화시켜서 흘릴 때에는 처리실(201) 내에서의 NH3가스의 분압을 예컨대 0.01Pa 내지 2,970Pa의 범위 내의 압력으로 한다. 또한 NH3가스를 플라즈마로 활성화시켜서 흘릴 때에는 처리실(201) 내에서의 NH3가스의 분압을 예컨대 0.01 내지 99Pa의 범위 내의 압력으로 한다. 열로 활성화시킨 NH3가스, 또는 NH3가스를 플라즈마로 활성화하는 것에 의해 얻어진 활성종에 웨이퍼(200)에 대하여 공급하는 시간, 즉 가스 공급 시간(조사 시간)은 예컨대 1초 내지 120초, 바람직하게는 1초 내지 60초의 범위 내의 시간으로 한다. 이 때의 히터(207)의 온도는 스텝1 내지 스텝3과 마찬가지로 웨이퍼(200)의 온도가 예컨대 250℃ 내지 700℃, 바람직하게는 300℃ 내지 650℃, 보다 바람직하게는 350℃ 내지 600℃의 범위 내의 온도가 될 수 있는 온도로 설정한다. NH3가스를 플라즈마로 활성화하는 경우에 고주파 전원(273)으로부터 제1 봉 형상 전극(269) 및 제2 봉 형상 전극(270) 사이에 인가하는 고주파 전력은 예컨대 50W 내지 1,000W의 범위 내의 전력이 되도록 설정한다.When the NH 3 gas is activated by heat without activation by plasma, the APC valve 244 is appropriately adjusted to set the pressure in the processing chamber 201 to a pressure within a range of, for example, 1 Pa to 3,000 Pa. The NH 3 gas is thermally activated in the fourth nozzle 249d or in the buffer chamber 237 or in the processing chamber 201 by the non-plasma by setting the pressure in the processing chamber 201 to a relatively high pressure as described above It becomes possible. In addition, NH 3 gas can be softly reacted by supplying heat by activating it, so that nitridation described later can be softly performed. When the NH 3 gas is flowed as an active species (NH 3 *), that is, a plasma excited species by activating the NH 3 gas by plasma, the APC valve 244 is suitably adjusted to set the pressure in the treatment chamber 201 to a pressure within a range of 1 Pa to 100 Pa do. The supply flow rate of the NH 3 gas controlled by the mass flow controller 241d is set to a flow rate within a range of, for example, 100 sccm to 10,000 sccm. The supply flow rates of the N 2 gas to be controlled by the mass flow controllers 241h, 241e, 241f, and 241g are each set to a flow rate within a range of, for example, 100 sccm to 10,000 sccm. At this time, when NH 3 gas is heated and activated, the partial pressure of the NH 3 gas in the processing chamber 201 is set to a pressure within a range of, for example, 0.01 Pa to 2,970 Pa. When the NH 3 gas is activated by plasma, the partial pressure of the NH 3 gas in the processing chamber 201 is set to a pressure within a range of, for example, 0.01 to 99 Pa. Heat activated which NH 3 gas, or the time of supplying to the wafer 200, the active species obtained by activating the NH 3 gas into a plasma, i.e., the gas supply time (irradiation time), for example from 1 second to 120 seconds, preferably Is a time within a range of 1 second to 60 seconds. The temperature of the heater 207 at this time is set such that the temperature of the wafer 200 is, for example, 250 DEG C to 700 DEG C, preferably 300 DEG C to 650 DEG C, more preferably 350 DEG C to 600 DEG C Set the temperature to the temperature within the range. When NH 3 gas is activated by plasma, the RF power applied between the first bar-shaped electrode 269 and the second bar-shaped electrode 270 from the RF power supply 273 is, for example, in the range of 50 W to 1,000 W .

이 때, 처리실(201) 내에 흘리는 가스는 처리실(201) 내의 압력을 높게 하는 것에 의해 열적으로 활성화된 NH3가스, 또는 NH3가스를 플라즈마로 활성화하는 것에 의해 얻어진 활성종이며, 처리실(201) 내에는 HCDS가스도 3DMAS가스도 TEA가스도 흘리지 않는다. 따라서 NH3가스는 기상 반응을 일으키지 않고 활성화된, 또는 활성종이 된 NH3가스는 스텝3에서 웨이퍼(200) 상에 형성된 제2층(SiCN층)의 적어도 일부와 반응한다. 이에 의해 제2층은 질화되어 제3층으로 개질된다. 제3층은 제2층보다 N리치의 불순물이 적은 실리콘 탄질화층(SiCN층)이 된다.At this time, the gas flowing into the processing chamber 201 is an active species obtained by activating thermally activated NH 3 gas or NH 3 gas by plasma by increasing the pressure in the processing chamber 201, Does not spill HCDS gas, 3DMAS gas or TEA gas. Therefore, the NH 3 gas reacts with at least a part of the second layer (SiCN layer) formed on the wafer 200 in Step 3, without activating the vapor phase reaction and activating or activating the NH 3 gas. Whereby the second layer is nitrided and reformed into a third layer. And the third layer becomes a silicon carbonitride layer (SiCN layer) having less N rich impurities than the second layer.

또한 도 9의 (a)에 도시하는 바와 같이 NH3가스를 열로 활성화시켜서 처리실(201) 내에 흘리는 것에 의해 제2층을 열 질화하여 불순물이 적은 SiCN층으로 개질(변화)시킬 수 있다. 이 때 제2층에서의 N성분의 비율을 증가시키면서 제2층을 불순물이 적은 SiCN층으로 개질시킨다. 또한 이 때 질화종의 에너지(NH3가스에 의한 열 질화의 작용)에 의해 제2층에서의 Si-N결합이 증가하는 한편, Si-C결합 및 Si-Si결합은 감소하고, 제2층에서의 C성분의 비율 및 Si성분의 비율이 감소한다. 또한 질화종의 에너지에 의해 제2층 중에 잔존하는 Cl 등의 불순물은 탈리되고, HCl또는 NH4Cl 등으로서 배제된다. 즉 질소 농도를 증가시키는 방향으로, 또한 탄소 농도 및 실리콘 농도를 감소시키는 방향으로 조성비를 변화시키면서, 제2층을 불순물이 적은 SiCN층으로 개질시킬 수 있다. 또한 이 때 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어하는 것에 의해, SiCN층에서의 N성분의 비율, 즉 질소 농도를 미조정할 수 있어, SiCN층의 조성비를 보다 엄밀하게 제어할 수 있다.Further, as shown in Fig. 9A, the second layer is thermally nitrided by flowing NH 3 gas into the processing chamber 201 with the activation of the heat, thereby modifying (changing) the SiCN layer with a small amount of impurities. At this time, the second layer is reformed into a SiCN layer having a low impurity while increasing the proportion of the N component in the second layer. At this time, the Si-N bonds in the second layer are increased by the energy of the nitriding species (the action of thermal nitrification by NH 3 gas), while the Si-C bonds and the Si-Si bonds are decreased, The proportion of the C component and the proportion of the Si component in the film are decreased. In addition, impurities such as Cl remaining in the second layer due to the energy of the nitrifying species are eliminated and excluded as HCl or NH 4 Cl or the like. That is, the second layer can be reformed into a SiCN layer having less impurities while changing the composition ratio in the direction of increasing the nitrogen concentration and decreasing the carbon concentration and the silicon concentration. At this time, the ratio of the N component in the SiCN layer, that is, the nitrogen concentration can be finely adjusted by controlling the processing conditions such as the pressure in the processing chamber 201 and the gas supply time, and the composition ratio of the SiCN layer can be more strictly controlled can do.

또한 도 9의 (b)에 도시하는 바와 같이 NH3가스를 플라즈마로 활성화하는 것에 의해 얻어진 활성종을 처리실(201) 내에 흘리는 것에 의해 제2층을 플라즈마 질화하여 불순물이 적은 SiCN층으로 개질(변화)시킬 수 있다. 이 때 제2층에서의 N성분의 비율을 증가시키면서 제2층을 불순물이 적은 SiCN층으로 개질시킨다. 또한 이 때 질화종, 즉 플라즈마 여기종의 에너지(NH3가스에 의한 플라즈마 질화의 작용)에 의해 제2층에서의 Si-N결합이 증가하는 한편, Si-C결합 및 Si-Si결합은 감소하고, 제2층에서의 C성분의 비율 및 Si성분의 비율이 감소한다. 또한 플라즈마 여기종의 에너지에 의해 제2층 중에 잔존하는 Cl 등의 불순물은 탈리되고, HCl또는 NH4Cl 등으로서 배제된다. 즉 질소 농도를 증가시키는 방향으로, 또한 탄소 농도 및 실리콘 농도를 감소시키는 방향으로 조성비를 변화시키면서, 제2층을 불순물이 적은 SiCN층으로 개질시킬 수 있다. 또한 이 때 처리실(201) 내의 압력이나 가스 공급 시간 등의 처리 조건을 제어하는 것에 의해, SiCN층에서의 N성분의 비율, 즉 질소 농도를 미조정할 수 있어, SiCN층의 조성비를 보다 엄밀하게 제어할 수 있다.Further, as shown in FIG. 9 (b), the active layer obtained by activating the NH 3 gas with plasma is caused to flow into the processing chamber 201, thereby plasma nitriding the second layer, thereby modifying the SiCN layer with a small amount of impurities ). At this time, the second layer is reformed into a SiCN layer having a low impurity while increasing the proportion of the N component in the second layer. At this time, the Si-N bonds in the second layer are increased by the nitriding species, that is, the energy of the plasma excitation species (action of plasma nitridation by NH 3 gas), while the Si-C bonds and the Si- And the ratio of the C component and the Si component in the second layer decreases. Further, the impurities such as Cl remaining in the second layer due to the energy of the plasma excitation species are desorbed and excluded as HCl or NH 4 Cl or the like. That is, the second layer can be reformed into a SiCN layer having less impurities while changing the composition ratio in the direction of increasing the nitrogen concentration and decreasing the carbon concentration and the silicon concentration. At this time, the ratio of the N component in the SiCN layer, that is, the nitrogen concentration can be finely adjusted by controlling the processing conditions such as the pressure in the processing chamber 201 and the gas supply time, and the composition ratio of the SiCN layer can be more strictly controlled can do.

또한 이 때 제2층의 질화 반응은 포화시키지 않는 것이 바람직하다. 예컨대 스텝1 내지 스텝3에서 수원자층의 두께의 제2층을 형성한 경우에는 그 제2층의 일부를 질화시키는 것이 바람직하다. 이 경우, 수원자층의 두께의 제2층 전체를 질화시키지 않도록 제2층의 질화 반응이 불포화가 되는 조건 하에서 질화를 수행한다.At this time, it is preferable that the nitridation reaction of the second layer is not saturated. For example, in the case where the second layer having a thickness of several atomic layers is formed in Step 1 to Step 3, it is preferable to nitride a part of the second layer. In this case, the nitriding is performed under the condition that the nitridation reaction of the second layer becomes unsaturated so as not to nitride the entire second layer of the thickness of the atomic atom layer.

또한 제2층의 질화 반응을 불포화로 하기 위해서는 스텝4에서의 처리 조건을 전술한 처리 조건으로 하면 좋지만, 또한 스텝4에서의 처리 조건을 다음 처리 조건으로 하는 것에 의해 제2층의 질화 반응을 불포화로 하는 것이 용이해진다.In order to make the nitridation reaction of the second layer unsaturated, the treatment conditions in Step 4 may be set to the above-described treatment conditions, and the nitridation reaction in the second layer may be unsaturated .

〔NH3가스를 열로 활성화시켜서 흘릴 때〕[When NH 3 gas is heated and activated]

웨이퍼 온도: 500℃ 내지 600℃Wafer temperature: 500 ° C to 600 ° C

처리실 내 압력: 133Pa 내지 2,666PaPressure in the treatment chamber: 133 Pa to 2,666 Pa

NH3가스 분압: 33Pa 내지 2,515PaNH 3 gas partial pressure: 33 Pa to 2,515 Pa

NH3가스 공급 유량: 1,000sccm 내지 5,000sccmNH 3 gas supply flow rate: 1,000 sccm to 5,000 sccm

N2가스 공급 유량: 300sccm 내지 3,000sccmN 2 gas supply flow rate: 300 sccm to 3,000 sccm

NH3가스 공급 시간: 6초 내지 60초NH 3 gas supply time: 6 to 60 seconds

〔NH3가스를 플라즈마로 활성화시켜서 흘릴 때〕[When NH 3 gas is activated by plasma activation]

웨이퍼 온도: 500℃ 내지 600℃Wafer temperature: 500 ° C to 600 ° C

처리실 내 압력: 33Pa 내지 80PaPressure in the treatment chamber: 33 Pa to 80 Pa

NH3가스 분압: 8Pa 내지 75PaNH 3 gas partial pressure: 8 Pa to 75 Pa

NH3가스 공급 유량: 1,000sccm 내지 5,000sccmNH 3 gas supply flow rate: 1,000 sccm to 5,000 sccm

N2가스 공급 유량: 300sccm 내지 3,000sccmN 2 gas supply flow rate: 300 sccm to 3,000 sccm

NH3가스 공급 시간: 6초 내지 60초NH 3 gas supply time: 6 to 60 seconds

(잔류 가스 제거)(Removal of residual gas)

웨이퍼(200) 상에 제3층이 형성된 후, 제4 가스 공급관(232d)의 밸브(243d)를 닫고, NH3가스의 공급을 정지한다. 이 때 배기관(231)의 APC밸브(244)는 연 상태로 하여 진공 펌프(246)에 의해 처리실(201) 내를 진공 배기하여 처리실(201) 내에 잔류하는 미반응 또는 제3층 형성에 기여한 후의 NH3가스나 반응 부생성물을 처리실(201) 내로부터 배제한다. 또한 밸브(243h, 243e, 243f, 243g)는 연 상태로 하여 불활성 가스로서의 N2가스의 처리실(201) 내로의 공급을 유지한다. N2가스는 퍼지 가스로서 작용하고, 이에 의해 처리실(201) 내에 잔류하는 미반응 또는 제3층 형성으로 기여한 후의 NH3가스나 반응 부생성물을 처리실(201) 내로부터 배제하는 효과를 높일 수 있다.After the third layer is formed on the wafer 200, the valve 243d of the fourth gas supply pipe 232d is closed and the supply of the NH 3 gas is stopped. At this time, the APC valve 244 of the exhaust pipe 231 is opened, and the inside of the processing chamber 201 is evacuated by the vacuum pump 246 so as to be unreacted remaining in the processing chamber 201, NH 3 gas or reaction by-products are excluded from the inside of the processing chamber 201. Further, the valves 243h, 243e, 243f, and 243g are kept in the open state to maintain the supply of the N 2 gas as the inert gas into the processing chamber 201. The N 2 gas acts as a purge gas, thereby enhancing the effect of eliminating NH 3 gas or reaction by-products remaining in the processing chamber 201 after the unreacted reaction or the third layer formation, from the inside of the processing chamber 201 .

또한 이 때 처리실(201) 내에 잔류하는 가스를 완전히 배제하지 않아도 좋고, 처리실(201) 내를 완전히 퍼지하지 않아도 좋다. 처리실(201) 내에 잔류하는 가스가 미량이면, 그 후에 수행되는 스텝1에서 악영향이 발생하지 않는다. 이 때 처리실(201) 내에 공급하는 N2가스의 유량도 대유량으로 할 필요는 없고, 예컨대 반응관(203)[처리실(201)]의 용적과 같은 정도의 양을 공급하는 것에 의해 스텝1에서 악영향이 발생하지 않을 정도의 퍼지를 수행할 수 있다. 이와 같이 처리실(201) 내를 완전히 퍼지하지 않는 것에 의해 퍼지 시간을 단축하여 스루풋을 향상시킬 수 있다. 또한 N2가스의 소비도 필요 최소한으로 억제하는 것이 가능해진다.At this time, the gas remaining in the processing chamber 201 may not be entirely excluded, or the processing chamber 201 may not be completely purged. If there is a small amount of gas remaining in the processing chamber 201, no adverse effect occurs in step 1 performed thereafter. At this time, the flow rate of the N 2 gas to be supplied into the processing chamber 201 is not limited to a large flow rate. For example, by supplying the same amount as the volume of the reaction tube 203 (processing chamber 201) It is possible to carry out purging to such an extent that adverse effects do not occur. By not completely purging the inside of the processing chamber 201, the purging time can be shortened and the throughput can be improved. In addition, it becomes possible to suppress the consumption of N 2 gas to the minimum necessary.

질소 함유 가스로서는 NH3가스를 열이나 플라즈마로 활성화한 가스 외에 디아젠(N2H2) 가스, 히드라진(N2H4) 가스, N3H8가스 등을 열이나 플라즈마로 활성화한 가스를 이용해도 좋고, 이들의 가스를 Ar가스, He가스, Ne가스, Xe가스 등의 희가스로 희석한 가스를 열이나 플라즈마로 활성화하여 이용해도 좋다.Nitrogen-containing gas as the dia Zen (N 2 H 2) in addition to the activate the NH 3 gas as a heat or plasma gas gas, hydrazine (N 2 H 4) gas, N 3 a H 8 gas, the activating gas with heat or plasma Or a gas obtained by diluting these gases with a rare gas such as Ar gas, He gas, Ne gas or Xe gas may be activated by heat or plasma.

(소정 횟수 실시)(A predetermined number of times)

전술한 스텝1 내지 스텝4를 1사이클로 하여 이 사이클을 1회 이상(소정 횟수) 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 실리콘 탄질화막(SiCN막)을 성막할 수 있다. 또한 전술한 사이클은 복수 회 반복하는 것이 바람직하다. 즉 1사이클당 형성하는 SiCN층의 두께를 원하는 막 두께보다 작게 하여 전술한 사이클을 원하는 막 두께가 될 때까지 복수 회 반복하는 것이 바람직하다. 또한 SiCN막은 실리콘(Si), 탄소(C) 및 질소(N)를 포함하는 박막이라고도 할 수 있다.By performing the above-described steps 1 to 4 in one cycle and performing this cycle one or more times (a predetermined number of times), a silicon nitride film (SiCN film) of a predetermined composition and a predetermined film thickness can be formed on the wafer 200 . It is also preferable that the above cycle is repeated a plurality of times. That is, the SiCN layer formed per cycle is made smaller than the desired film thickness, and the cycle is repeated a plurality of times until a desired film thickness is obtained. The SiCN film may also be referred to as a thin film containing silicon (Si), carbon (C) and nitrogen (N).

또한 전술한 스텝1과 스텝2를 교호적으로 소정 횟수 수행하는 공정과, 스텝3을 수행하는 공정과, 스텝4를 수행하는 공정을 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 SiCN막을 성막해도 좋다. 즉 전술한 스텝1 및 스텝2를 1세트로 하여 이 세트를 소정 횟수 수행하는 공정과, 스텝3을 수행하는 공정과, 스텝4를 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수 수행하는 것에 의해, 웨이퍼(200) 상에 소정 막 두께의 SiCN막을 성막해도 좋다.Further, by performing the cycle including the step of alternately performing Step 1 and Step 2 described above a predetermined number of times, the step of performing Step 3, and the step of performing Step 4, A SiCN film having a predetermined composition and a predetermined film thickness may be formed. That is, the steps of performing the set number of times, performing the step 3, and performing the step 4 in one set of the steps 1 and 2 described above are performed one cycle, and the cycle is performed a predetermined number of times , A SiCN film having a predetermined film thickness may be formed on the wafer 200.

전술한 스텝1 및 스텝2를 1세트로 하여 이 세트를 소정 횟수 수행하는 공정과, 스텝3을 수행하는 공정과, 스텝4를 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수 수행하는 것에 의해, SiCN막의 조성비의 제어성을 향상시킬 수 있다. 즉 스텝1 및 스텝2에서 구성되는 세트 수를 증감하는 것에 의해 제1층의 실리콘 성분, 질소 성분, 탄소 성분의 절대량을 증감시킬 수 있고, 이와 같이 하여 각 성분의 절대량을 증감시킨 제1층을 스텝3 및 스텝4에서 개질하는 것에 의해, SiCN층의 각 성분의 비율을 세트 수에 의해 조정할 수 있어, 최종적으로 형성하는 SiCN막의 각 성분의 비율을 세트 수에 의해 제어할 수 있다.By carrying out this cycle a predetermined number of times with one cycle of performing the set number of times, performing the step 3, and performing the step 4 with one set of the steps 1 and 2 described above, The controllability of the composition ratio of the SiCN film can be improved. The absolute amount of the silicon component, the nitrogen component and the carbon component of the first layer can be increased or decreased by increasing or decreasing the number of sets constituted in steps 1 and 2. In this way, By modifying in steps 3 and 4, the ratio of each component of the SiCN layer can be adjusted by the number of sets, and the ratio of each component of the finally formed SiCN film can be controlled by the number of sets.

또한 스텝1 및 스텝2에서 구성되는 세트 수를 늘리는 것에 의해 1사이클당 형성하는 제1층의 층수, 즉 1사이클당 형성하는 제1층의 두께를 세트 수만큼 증가시킬 수 있고, 사이클 레이트(단위 사이클당 형성되는 SiCN층의 두께)를 향상시키는 것이 가능해진다. 또한 이에 의해 성막 레이트(단위 시간당 형성되는 SiCN막의 막 두께)도 향상시킬 수 있다.Further, by increasing the number of sets constituted in steps 1 and 2, it is possible to increase the number of layers of the first layer formed per cycle, that is, the thickness of the first layer formed per cycle, by the number of sets, The thickness of the SiCN layer formed per cycle) can be improved. In this way, the film-forming rate (film thickness of the SiCN film formed per unit time) can be improved.

또한 전술한 스텝1 내지 스텝3을 1세트로 하여 이 세트를 소정 횟수 수행하는 공정과, 스텝4를 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수 수행하는 것에 의해, 웨이퍼(200) 상에 소정 막 두께의 SiCN막을 성막해도 좋다.In addition, by performing this cycle a predetermined number of times with one cycle of the step of performing this set a predetermined number of times and the step of performing the step 4 by using the above-described steps 1 to 3 as one set, A SiCN film having a film thickness may be formed.

전술한 스텝1 내지 스텝3을 1세트로 하여 이 세트를 소정 횟수 수행하는 공정과, 스텝4를 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수 수행하는 것에 의해, SiCN막의 조성비의 제어성을 향상시킬 수 있다. 즉 스텝1 내지 스텝3에서 구성되는 세트 수를 증감하는 것에 의해 제2층의 실리콘 성분, 질소 성분, 탄소 성분의 절대량을 증감시킬 수 있고, 이와 같이 하여 각 성분의 절대량을 증감시킨 제2층을 스텝4에서 개질하는 것에 의해 SiCN층의 각 성분의 비율을 세트 수에 의해 조정할 수 있어, 최종적으로 형성하는 SiCN막의 각 성분의 비율을 세트 수에 의해 제어할 수 있다.The control of the composition ratio of the SiCN film can be improved by performing this cycle a predetermined number of times with one cycle of the step of performing the set number of times and the step of performing step 4 with the steps 1 to 3 described above being one set, . The absolute amount of the silicon component, the nitrogen component and the carbon component of the second layer can be increased or decreased by increasing or decreasing the number of sets constituted by the steps 1 to 3. In this way, By modifying in step 4, the ratio of each component of the SiCN layer can be adjusted by the number of sets, and the ratio of each component of the finally formed SiCN film can be controlled by the number of sets.

또한 스텝1 내지 스텝3에서 구성되는 세트 수를 늘리는 것에 의해, 1사이클당 형성하는 제2층의 층수, 즉 1사이클당 형성하는 제2층의 두께를 세트 수만큼 증가시킬 수 있고, 사이클 레이트(단위 사이클당 형성되는 SiCN층의 두께)를 향상시키는 것이 가능해진다. 또한 이에 의해 성막 레이트(단위 시간당 형성되는 SiCN막의 막 두께)도 향상시킬 수 있다.Further, by increasing the number of sets constituted in steps 1 to 3, it is possible to increase the number of layers of the second layer formed per cycle, i.e., the thickness of the second layer formed per cycle, by the number of sets, The thickness of the SiCN layer formed per unit cycle) can be improved. In this way, the film-forming rate (film thickness of the SiCN film formed per unit time) can be improved.

소정 조성을 가지는 소정 막 두께의 SiCN막을 형성하는 성막 처리가 이루어지면, 가스 퍼지, 불활성 가스 치환, 대기압 복귀, 보트 언로드, 웨이퍼 디스차지가 제1 시퀀스와 마찬가지로 수행된다.When a film forming process for forming a SiCN film having a predetermined film thickness with a predetermined composition is performed, gas purging, inert gas substitution, atmospheric pressure return, boat unloading, and wafer discharge are performed in the same manner as the first sequence.

본 실시 형태에 의하면, 클로로실란계 원료나 아미노실란계 원료를 이용하여 절연막을 형성하는 경우에 저온 영역에서 실리콘 밀도가 높은 소망 조성의 실리콘 절연막을 형성할 수 있다. 또한 이상적 양론비의 실리콘 절연막도 형성할 수 있다. 또한 발명자들의 실험에 의하면, 클로로실란계 원료 단체를 이용하는 경우, 500℃ 이하의 온도대에서는 생산 효율을 만족시키는 성막 레이트로 웨이퍼 상에 실리콘을 퇴적시키는 것은 곤란하였다. 또한 아미노실란계 원료 단체를 이용하는 경우, 500℃ 이하의 온도대에서는 웨이퍼 상으로의 실리콘의 퇴적도 확인되지 않았다. 하지만 본 실시 형태의 기법에 의하면, 500℃ 이하의 저온 영역에서도 생산 효율을 만족시키는 성막 레이트로 양질의 실리콘 절연막을 형성하는 것이 가능해진다.According to this embodiment, when an insulating film is formed using a chlorosilane-based raw material or an aminosilane-based raw material, a silicon insulating film of a desired composition having a high silicon density in a low temperature region can be formed. In addition, a silicon insulating film having an ideal stoichiometric ratio can be formed. Further, according to an experiment conducted by the inventors, it has been difficult to deposit silicon on a wafer at a deposition rate that satisfies the production efficiency at a temperature range of 500 ° C or lower when a chlorosilane-based raw material is used. In the case of using an aminosilane-based raw material group, deposition of silicon on a wafer was not confirmed at a temperature range of 500 DEG C or lower. However, according to the technique of the present embodiment, it is possible to form a silicon insulating film of good quality at a deposition rate that satisfies the production efficiency even in a low temperature region of 500 DEG C or less.

또한 성막 온도를 저온화시키면, 통상적으로 분자의 운동 에너지가 저하하여, 클로로실란계 원료에 포함되는 염소나 아미노실란계 원료에 포함되는 아민의 반응·탈리가 발생하기 어려워져, 이들의 리간드가 웨이퍼 표면 상에 잔류한다. 그리고 이들의 잔류한 리간드가 입체 장해(障害)가 되는 것에 의해 웨이퍼 표면 상으로의 실리콘의 흡착이 저해되어, 실리콘 밀도가 저하하고 막의 열화가 야기된다. 하지만 그와 같은 반응·탈리가 진행되기 어려운 조건 하에서도 2개의 실란 소스, 즉 클로로실란계 원료와 아미노실란계 원료를 적절하게 반응시키는 것에 의해, 그들의 잔류 리간드를 탈리시키는 것이 가능해진다. 그리고 그들 잔류 리간드의 탈리에 의해 입체 장해가 해소되어, 이에 의해 개방된 사이트에 실리콘을 흡착시키는 것이 가능해지고 실리콘 밀도를 높이는 것이 가능해진다. 이와 같이 하여 500℃ 이하의 저온 영역에서도 실리콘 밀도가 높은 막을 형성할 수 있게 될 것으로 생각된다.When the film forming temperature is lowered, the kinetic energy of the molecules is usually lowered, so that the chlorine contained in the chlorosilane-based raw material or the amines contained in the aminosilane-based raw material are less likely to react and desorb, Remains on the surface. The residual ligands of these residual ligands cause steric hindrance (disorder), which inhibits the adsorption of silicon onto the surface of the wafer, resulting in a decrease in silicon density and deterioration of the film. However, by reacting the two silane sources, that is, the chlorosilane-based raw material and the aminosilane-based raw material appropriately under such a condition that such reaction and desorption can not proceed, it is possible to desorb the remaining ligand. The steric hindrance is eliminated by the desorption of the residual ligand, whereby the silicon can be adsorbed to the opened site, and the silicon density can be increased. Thus, it is considered that a film having a high silicon density can be formed even at a low temperature region of 500 DEG C or less.

또한 본 실시 형태에 의하면, 클로로실란계 원료를 공급하고, 그 후, 아미노실란계 원료를 공급하여 웨이퍼 상에 Si, N 및 C를 포함하는 제1층, 즉 실리콘 절연층을 형성한 후, 또한 아민계 원료를 공급하였기 때문에, 실리콘 절연층의 질소 농도 또는 탄소 농도를 적절히 조정할 수 있고, 조성비를 제어하면서 원하는 특성을 가지는 실리콘 절연막을 형성할 수 있다.According to this embodiment, after the first layer containing Si, N and C, that is, the silicon insulating layer is formed on the wafer by supplying the chlorosilane-based raw material and then supplying the aminosilane-based raw material, Since the amine base material is supplied, the nitrogen concentration or the carbon concentration of the silicon insulating layer can be appropriately adjusted, and the silicon insulating film having the desired characteristics can be formed while controlling the composition ratio.

또한 본 실시 형태에 의하면, 클로로실란계 원료를 공급하고, 그 후, 아미노실란계 원료를 공급하여 웨이퍼 상에 Si, N 및 C를 포함하는 제1층, 즉 실리콘 절연층을 형성한 후, 아민계 원료를 공급하고, 그 후, 또한 산화 가스, 또는 질화 가스를 공급하였기 때문에, 실리콘 절연층의 질소 농도, 탄소 농도, 또는 산소 농도를 적절히 조정할 수 있고, 조성비를 제어하면서 원하는 특성을 가지는 실리콘 절연막을 형성할 수 있다.According to this embodiment, a chlorosilane-based raw material is supplied, and thereafter, an aminosilane-based raw material is supplied to form a first layer containing Si, N and C, that is, a silicon insulating layer on the wafer, The nitrogen concentration, the carbon concentration, or the oxygen concentration of the silicon insulating layer can be appropriately adjusted because the base material is supplied and then the oxidizing gas or the nitriding gas is supplied. Can be formed.

또한 본 실시 형태에 의하면, 아민계 원료를 공급할 때의 처리실 내의 압력을 클로로실란계 원료, 아미노실란계 원료, 반응 가스를 공급할 때의 처리실 내의 압력보다 크게 할 수 있다. 또한 반응 가스를 공급할 때의 처리실 내의 압력을 클로로실란계 원료, 아미노실란계 원료를 공급할 때의 처리실 내의 압력보다 크게 하고, 아민계 원료를 공급할 때의 처리실 내의 압력을 반응 가스를 공급할 때의 처리실 내의 압력보다 크게 할 수 있다. 이와 같이 처리실 내의 압력을 조정하는 것에 의해 보다 C리치의 제2층(SiCN층)을 형성하는 것이 가능해진다. 이에 의해 제2층(SiCN층)을 산화 또는 질화한 후에도 C성분의 비율이 N성분의 비율보다 큰 상태를 유지하는 것이 가능해지고, C농도가 높은 SiOCN막, SiOC막 또는 SiCN막을 형성하는 것이 가능해진다.According to this embodiment, the pressure in the process chamber when supplying the amine-based raw material can be made larger than the pressure in the process chamber when supplying the chlorosilane-based raw material, the aminosilane-based raw material, and the reaction gas. The pressure in the process chamber when supplying the reaction gas is made larger than the pressure in the process chamber when the chlorosilane-based material and the aminosilane-based material are supplied, and the pressure in the process chamber when supplying the amine- Can be made larger than the pressure. By adjusting the pressure in the treatment chamber as described above, it becomes possible to form a C-rich second layer (SiCN layer). As a result, even after the second layer (SiCN layer) is oxidized or nitrided, it is possible to maintain a state where the ratio of the C component is larger than that of the N component, and it is possible to form a SiOCN film, SiOC film or SiCN film with a high C concentration It becomes.

또한 본 실시 형태에 의하면, 스텝1 및 스텝2를 1세트로 하여 이 세트를 소정 횟수 수행하는 공정과, 스텝3을 수행하는 공정을 1사이클로 하여, 이 사이클을 소정 횟수 수행하는 것도 가능하다(제1 시퀀스). 또한 스텝1 및 스텝2를 1세트로 하여 이 세트를 소정 횟수 수행하는 공정과, 스텝3을 수행하는 공정과, 스텝4를 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수 수행하는 것도 가능하다(제2, 3시퀀스). 또한 스텝1 내지 스텝3을 1세트로 하여 이 세트를 소정 횟수 수행하는 공정과, 스텝4를 수행하는 공정을 1사이클로 하여 이 사이클을 소정 횟수 수행하는 것도 가능하다(제2 및 제3 시퀀스). 이와 같은 시퀀스로 성막을 수행하는 것에 의해 실리콘 절연막(SiOCN막, SiOC막 또는 SiCN막)의 질소 농도, 탄소 농도, 또는 산소 농도를 적절하게 조정할 수 있고, 실리콘 절연막의 조성비의 제어성을 향상시킬 수 있다. 또한 사이클 레이트를 향상시킬 수 있고, 성막 레이트를 향상시키는 것도 가능해진다. 또한 사이클마다 세트 수를 변화시키는 것에 의해 막 두께 방향에서 조성비가 다른 실리콘 절연막을 형성하는 것도 가능해진다. 예컨대 막 두께 방향(깊이 방향)에서 질소 농도, 탄소 농도, 또는 산소 농도가 서서히 높아질 수 있는 조성을 가지는 실리콘 절연막이나, 막 두께 방향(깊이 방향)에서 질소 농도, 탄소 농도, 또는 산소 농도가 서서히 낮아질 수 있는 조성을 가지는 실리콘 절연막을 형성하는 것도 가능하다.According to the present embodiment, it is also possible to perform this cycle a predetermined number of times by setting the steps 1 and 2 as one set, performing this set a predetermined number of times, and performing the step 3 as one cycle 1 sequence). It is also possible to perform this cycle a predetermined number of times with one cycle of performing this set a predetermined number of times, performing the step 3, and performing the step 4 with one set of steps 1 and 2 as one set Second, and third sequences). Also, it is also possible to perform this cycle a predetermined number of times (steps 2 and 3), with the steps of performing this set a predetermined number of times in steps 1 to 3 and performing the step 4 in one cycle. The nitrogen concentration, the carbon concentration, or the oxygen concentration in the silicon insulating film (SiOCN film, SiOC film or SiCN film) can be appropriately adjusted by performing the film formation in this sequence, and the controllability of the composition ratio of the silicon insulating film can be improved have. Further, the cycle rate can be improved and the film formation rate can be improved. It is also possible to form a silicon insulating film having a different composition ratio in the film thickness direction by changing the number of sets per cycle. The carbon concentration or the oxygen concentration in the film thickness direction (depth direction) may be gradually lowered in the film thickness direction (depth direction) or the silicon insulating film having the composition such that the oxygen concentration can be gradually increased in the film thickness direction It is also possible to form a silicon insulating film having a composition as shown in Fig.

또한 본 실시 형태의 기법에 의해 형성한 실리콘 절연막을 사이드 월 스페이서로서 사용하는 것에 의해 리크 전류가 적고 가공성이 뛰어난 디바이스 형성 기술을 제공하는 것이 가능해진다.Further, by using the silicon insulating film formed by the technique of this embodiment as a sidewall spacer, it becomes possible to provide a device forming technique with a small leakage current and excellent processability.

또한 본 실시 형태의 기법에 의해 형성한 실리콘 절연막을 에칭 스토퍼로서 사용하는 것에 의해 가공성이 뛰어난 디바이스 형성 기술을 제공하는 것이 가능해진다.Further, by using the silicon insulating film formed by the technique of the present embodiment as an etching stopper, it becomes possible to provide a device forming technique with excellent processability.

본 실시 형태에 의하면, 저온 영역에서도 플라즈마를 이용하지 않고, 이상적 양론비의 실리콘 절연막을 형성할 수 있다. 또한 플라즈마를 이용하지 않고 실리콘 절연막을 형성할 수 있기 때문에, 예컨대 DPT의 SADP막 등, 플라즈마 데미지를 염려하는 공정에 대한 적응도 가능해진다.According to the present embodiment, a silicon insulating film having an ideal stoichiometric ratio can be formed without using plasma even at a low temperature region. Further, since a silicon insulating film can be formed without using a plasma, it is possible to adapt to a process of worrying about plasma damage, such as a SADP film of DPT.

또한 전술한 실시 형태에서는 각 시퀀스에서 Si, N 및 C를 포함하는 제1층을 형성할 때에 처리실(201) 내의 웨이퍼(200)에 대하여 클로로실란계 원료를 공급하고, 그 후, 아미노실란계 원료를 공급하는 예에 대하여 설명하였지만, 원료의 흘리는 방법은 반대이어도 좋다. 즉 아미노실란계 원료를 공급하고, 그 후, 클로로실란계 원료를 공급해도 좋다. 즉 클로로실란계 원료 및 아미노실란계 원료 중 일방의 원료를 공급하고, 그 후, 타방의 원료를 공급하면 좋다. 또한 클로로실란계 원료 및 아미노실란계 원료 중 일방의 원료를 공급하는 공정과, 그 후, 타방의 원료를 공급하는 공정을 교호적으로 소정 횟수 수행하면 좋다. 이와 같이 원료를 흘리는 순서를 바꾸는 것에 의해 각 시퀀스에서 형성되는 박막의 막질이나 조성비를 변화시키는 것도 가능하다.Further, in the above-described embodiment, when the first layer containing Si, N and C is formed in each sequence, the chlorosilane-based raw material is supplied to the wafer 200 in the processing chamber 201, The method of feeding the raw material may be reversed. That is, the aminosilane-based raw material may be supplied, and then the chlorosilane-based raw material may be supplied. That is, one of the chlorosilane-based raw material and the aminosilane-based raw material is supplied, and then the other raw material is supplied. Further, the step of supplying one of the raw materials of the chlorosilane-based raw material and the aminosilane-based raw material and the step of supplying the other raw material may be alternately performed a predetermined number of times. It is also possible to change the film quality and the composition ratio of the thin film formed in each sequence by changing the order of flowing the raw materials.

또한 클로로실란계 원료와 아미노실란계 원료를 흘리는 순서뿐만 아니라 클로로실란계 원료 및 아미노실란계 원료를 포함하는 모든 가스를 흘리는 순서를 바꾸는 것에 의해 각 시퀀스에서 형성되는 박막의 막질이나 조성비를 변화시키는 것도 가능하다.It is also possible to change the film quality and the composition ratio of the thin film formed in each sequence by changing the order of flowing the chlorosilane-based raw material and the aminosilane-based raw material, as well as the order of flowing all the gases including the chlorosilane- It is possible.

또한 전술한 실시 형태에서는 각 시퀀스에서 Si, N 및 C를 포함하는 제1층을 형성할 때에 클로로실란계 원료와 아미노실란계 원료를 이용하는 예에 대하여 설명하였지만, 클로로실란계 원료 대신에 클로로실란계 원료 이외의 할로겐계의 리간드를 가지는 실란계 원료를 이용해도 좋다. 예컨대 클로로실란계 원료 대신에 플루오로실란계 원료를 이용해도 좋다. 여기서 플루오로실란계 원료란 플루오로기를 포함하는 실란계 원료이며, 적어도 실리콘(Si) 및 불소(F)을 포함하는 원료다. 플루오로실란계 원료 가스로서는 예컨대 4불화규소(SiF4) 가스나 6불화2규소(Si2F6) 가스 등의 불화규소 가스를 이용할 수 있다. 이 경우, 각 시퀀스에서 Si, N 및 C를 포함하는 제1층을 형성할 때에 처리실(201) 내의 웨이퍼(200)에 대하여 플루오로실란계 원료를 공급하고, 그 후, 아미노실란계 원료를 공급하거나 아미노실란계 원료를 공급하고, 그 후, 플루오로실란계 원료를 공급하거나 이들을 교호적으로 소정 횟수 수행한다.In the above-described embodiments, examples in which chlorosilane-based raw materials and aminosilane-based raw materials are used in forming the first layer containing Si, N and C in each sequence have been described. However, instead of the chlorosilane- A silane-based raw material having a halogen-based ligand other than the raw material may be used. For example, instead of the chlorosilane-based raw material, a fluorosilane-based raw material may be used. Here, the fluorosilane-based raw material is a silane-based raw material containing a fluoro group and is a raw material containing at least silicon (Si) and fluorine (F). As the fluorosilane-based source gas, silicon fluoride gas such as silicon tetrafluoride (SiF 4 ) gas or silicon hexafluoride (Si 2 F 6 ) gas can be used. In this case, when the first layer containing Si, N and C is formed in each sequence, the fluorosilane-based raw material is supplied to the wafer 200 in the process chamber 201, and then the aminosilane-based raw material is supplied Or the aminosilane-based raw material is supplied, and then the fluorosilane-based raw material is supplied or alternatively, these are carried out alternately a predetermined number of times.

또한 전술한 실시 형태에서는 제3 시퀀스의 스텝4에서 플라즈마를 이용하는 예에 대하여 설명하였지만, 다른 시퀀스의 각 스텝에서 플라즈마를 이용해도 좋다. 예컨대 각 시퀀스의 각 스텝에서 각 가스를 플라즈마로 활성화하여 공급하는 것에 의해 플라즈마 질화(질소 도핑), 플라즈마 탄화(탄소 도핑), 플라즈마 산화(산소 도핑)에 의해 각 층의 개질을 수행해도 좋다. 단, 플라즈마의 사용은 플라즈마 데미지가 우려되는 공정에는 적합하지 않고, 그 외의 플라즈마 데미지의 우려가 없는 공정에 적용하는 것이 바람직하다.Further, in the above-described embodiment, an example of using plasma in step 4 of the third sequence has been described, but plasma may be used in each step of another sequence. For example, each layer may be reformed by plasma nitridation (nitrogen doping), plasma carbonization (carbon doping), or plasma oxidation (oxygen doping) by activating and supplying each gas with plasma in each step of each sequence. However, it is preferable that the use of the plasma is not suitable for the process in which the plasma damage is concerned, and is applied to the process in which there is no possibility of other plasma damage.

또한 산소 함유 가스를 공급하는 스텝에서는 산소 함유 가스와 함께 수소 함유 가스(환원성 가스)를 공급해도 좋다. 대기압 미만의 압력(감압) 분위기 하에 있는 처리실(201) 내에 산소 함유 가스와 수소 함유 가스를 공급하면, 처리실(201) 내에서 산소 함유 가스와 수소 함유 가스가 반응해 원자상(原子狀) 산소(atomicoxygen, O) 등의 H2O 비함유의 산소를 포함하는 산화종이 생성되고, 이 산화종에 의해 각 층을 산화할 수 있다. 이 경우, 산소 함유 가스 단체로 산화하는 것보다 높은 산화력으로 산화를 수행할 수 있다. 이 산화 처리는 비(非)플라즈마의 감압 분위기 하에서 수행된다. 수소 함유 가스(환원성 가스)로서는 예컨대 수소(H2) 가스나 중수소(D2) 가스를 이용할 수 있다.Further, in the step of supplying the oxygen-containing gas, a hydrogen-containing gas (reducing gas) may be supplied together with the oxygen-containing gas. Containing gas and a hydrogen-containing gas are supplied into the processing chamber 201 under an atmospheric pressure (reduced pressure) atmosphere, the oxygen-containing gas and the hydrogen-containing gas react with each other in the processing chamber 201 to form atomic oxygen atomicoxygen, O) oxide paper is produced containing oxygen of H 2 O-free, such as, it can be oxidized to each layer by the oxidizing species. In this case, oxidation can be performed at a higher oxidizing power than by oxidizing the oxygen-containing gas alone. This oxidation process is performed in a reduced-pressure atmosphere of a non-plasma. As the hydrogen-containing gas (reducing gas), for example, hydrogen (H 2 ) gas or deuterium (D 2 ) gas can be used.

또한 전술한 실시 형태에서는 각 시퀀스에서 Si, N 및 C를 포함하는 제1층을 형성할 때에 처리실(201) 내의 웨이퍼(200)에 대하여 클로로실란계 원료를 공급하고, 그 후, 아미노실란계 원료를 공급하는 예에 대하여 설명하였지만, 클로로실란계 원료와 아미노실란계 원료를 동시에 처리실(201) 내의 웨이퍼(200)에 대하여 공급하여 CVD반응을 발생시켜도 좋다. 이 경우에서의 처리 조건도 전술한 실시 형태의 각 시퀀스에서의 처리 조건과 마찬가지의 처리 조건으로 하면 좋다. 처리실(201) 내의 웨이퍼(200)에 대하여 클로로실란계 원료와 아미노실란계 원료를 순차 공급하지 않고 동시에 공급해도 전술한 실시 형태와 마찬가지의 작용 효과를 얻을 수 있다. 단, 전술한 실시 형태와 같이 각 원료를 순차 공급하는 것이, 즉 클로로실란계 원료와 아미노실란계 원료를 그들 사이에 처리실(201) 내의 퍼지를 개재하여 교호적으로 공급하는 것이 클로로실란계 원료와 아미노실란계 원료를 표면 반응이 지배적인 조건 하에서 적절하게 반응시킬 수 있고, 막 두께 제어의 제어성을 향상시킬 수 있다.Further, in the above-described embodiment, when the first layer containing Si, N and C is formed in each sequence, the chlorosilane-based raw material is supplied to the wafer 200 in the processing chamber 201, The CVD reaction may be generated by supplying the chlorosilane-based raw material and the aminosilane-based raw material simultaneously to the wafer 200 in the process chamber 201. The processing conditions in this case may be similar to the processing conditions in the respective sequences of the above-described embodiment. Similar effects to those of the above-described embodiment can be obtained even if the chlorosilane-based raw material and the aminosilane-based raw material are simultaneously supplied to the wafer 200 in the treatment chamber 201 without supplying them sequentially. However, it is preferable to sequentially supply the respective raw materials, that is, to supply the chlorosilane-based raw material and the aminosilane-based raw material alternately through the purge in the treatment chamber 201, as in the above- The aminosilane-based raw material can be appropriately reacted under the condition that the surface reaction is dominant and the controllability of the film thickness control can be improved.

또한 전술한 실시 형태에서는 각 시퀀스에서 처리실 내에서 웨이퍼 상에 한 번에 1종류의 박막(단막)을 형성하는 예에 대하여 설명하였지만, 전술한 각 시퀀스를 적절히 조합하는 것에 의해 처리실 내에서 웨이퍼 상에 한 번에 2종류 이상의 박막의 적층막도 형성할 수 있다. 예컨대 처리실 내에서 도 4의 시퀀스와 도 5의 시퀀스를 인시츄(in-situ)로 교호적으로 수행하는 것에 의해, SiCN막과, SiOCN막 또는 SiOC막이 교호적으로 적층된 적층막을 형성할 수 있다. 또한 예컨대 처리실 내에서 도 5의 시퀀스와 도 6의 시퀀스를 인시츄로 교호적으로 수행하는 것에 의해 SiOCN막 또는 SiOC막과 SiCN막이 교호적으로 적층된 적층막을 형성할 수 있다.In the embodiment described above, an example in which one type of thin film (short film) is formed on the wafer at one time in the processing chamber in each sequence has been described. However, by appropriately combining each of the sequences described above, A laminated film of two or more kinds of thin films can be formed at one time. For example, the sequence of FIG. 4 and the sequence of FIG. 5 may be alternately performed in-situ in the process chamber to form a laminated film in which SiCN films and SiOCN films or SiOC films are alternately laminated . In addition, for example, the SiOCN film or the laminated film in which the SiOC film and the SiCN film are alternately laminated can be formed by carrying out in-situ alternation between the sequence of FIG. 5 and the sequence of FIG. 6 in the treatment chamber.

이와 같이 본 발명은 단막뿐만 아니라 적층막을 형성하는 경우에도 바람직하게 적용할 수 있고, 이 경우에도 전술한 실시 형태와 마찬가지의 작용 효과를 얻을 수 있다.As described above, the present invention can be preferably applied not only to a monolayer but also to a laminated film. In this case, the same operational effects as those of the above-described embodiment can be obtained.

또한 전술한 실시 형태에서는 박막으로서 반도체 원소인 실리콘을 포함하는 실리콘계 절연막을 형성하는 예에 대하여 설명하였지만, 본 발명은 티타늄(Ti), 지르코늄(Zr), 하프늄(Hf), 탄탈(Ta), 알루미늄(Al), 몰리브덴(Mo) 등의 금속 원소를 포함하는 금속계 박막을 형성하는 경우에도 적용할 수 있다.The present invention is not limited to the case where a silicon-based insulating film containing silicon as a semiconductor element is formed as a thin film in the above-described embodiment. However, the present invention is not limited to this. (Al), molybdenum (Mo), and the like can be formed.

예컨대 본 발명은 티타늄 탄질화막(TiCN막), 티탄산탄질화막(TiOCN막), 티탄산탄화막(TiOC막)이나, 이들을 조합하거나 혼합시킨 Ti계 박막이나, 이들의 적층막을 형성하는 경우에도 적용할 수 있다.For example, the present invention can be applied to the case of forming a Ti-based thin film in which a titanium nitride film (TiCN film), a titanium oxynitride film (TiOCN film), a titanic acid film (TiOC film) have.

또한 예컨대 본 발명은 지르코늄 탄질화막(ZrCN막), 지르코늄 산탄질화막(ZrOCN막), 지르코늄 산탄화막(ZrOC막)이나, 이들을 조합하거나 혼합시킨 Zr계 박막이나, 이들의 적층막을 형성하는 경우에도 적용할 수 있다.For example, the present invention can also be applied to a case of forming a Zr-based thin film in which a zirconium carbonitride film (ZrCN film), a zirconium oxyanitride film (ZrOCN film), a zirconium oxalate film (ZrOC film) .

또한 예컨대 본 발명은 하프늄 탄질화막(HfCN막), 하프늄 산탄질화막(HfOCN막), 하프늄 산탄화막(HfOC막)이나, 이들을 조합하거나 혼합시킨 Hf계 박막이나, 이들의 적층막을 형성하는 경우에도 적용할 수 있다.For example, the present invention can also be applied to the case of forming a hafnium carbonitride film (HfCN film), a hafnium oxyanitride nitride film (HfOCN film), a hafnium oxalate film (HfOC film), a Hf system thin film obtained by combining or mixing them, .

또한 예컨대 본 발명은 탄탈 탄질화막(TaCN막), 탄탈 산탄질화막(TaOCN막), 탄탈 산탄화막(TaOC막)이나, 이들을 조합하거나 혼합시킨 Ta계 박막이나, 이들의 적층막을 형성하는 경우에도 적용할 수 있다.For example, the present invention can also be applied to the case of forming a Ta-based thin film in which a tantalum carbonitride film (TaCN film), a tantalum oxy-nitride film (TaOCN film), a tantalum oxalate film (TaOC film) .

또한 예컨대 본 발명은 알루미늄 탄질화막(AlCN막), 알루미늄 산탄질화막(AlOCN막), 알루미늄 산탄화막(AlOC막)이나, 이들을 조합하거나 혼합시킨 Al계 박막이나, 이들의 적층막을 형성하는 경우에도 적용할 수 있다.For example, the present invention can also be applied to the case of forming an aluminum-based thin film (AlCN film), an aluminum oxynitride film (AlOCN film), an aluminum oxynitride film (AlOC film) .

또한 예컨대 본 발명은 몰리브덴 탄질화막(MoCN막), 몰리브덴 산탄질화막(MoOCN막), 몰리브덴 산탄화막(MoOC막)이나, 이들을 조합하거나 혼합시킨 Mo계 박막이나, 이들의 적층막을 형성하는 경우에도 적용할 수 있다.For example, the present invention can also be applied to the case of forming a Mo-based thin film in which a molybdenum carbonitride film (MoCN film), a molybdenum oxynitride film (MoOCN film), a molybdenum oxynitride film (MoOC film) .

이 경우, 전술한 실시 형태에서의 클로로실란계 원료 대신에 금속 원소 및 클로로기를 포함하는 원료(제1 원료)를 이용하고, 아미노실란계 원료 대신에 금속 원소 및 아미노기를 포함하는 원료(제2 원료)를 이용하여, 전술한 실시 형태와 마찬가지의 시퀀스에 의해 성막을 수행할 수 있다.In this case, a raw material (first raw material) containing a metal element and a chloro group is used in place of the chlorosilane-based raw material in the above-described embodiment, and a raw material containing a metal element and an amino group ), The film formation can be performed by the same sequence as in the above-described embodiment.

예컨대 전술한 실시 형태의 제1 시퀀스를 금속계 박막의 성막에 적용하는 경우,For example, when the first sequence of the above-described embodiment is applied to the formation of the metal-based thin film,

처리실(201) 내의 웨이퍼(200)에 대하여 금속 원소 및 클로로기를 포함하는 제1 원료와, 금속 원소 및 아미노기를 포함하는 제2 원료를 교호적으로 공급하는 것에 의해, 웨이퍼(200) 상에 금속 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정; 및The first raw material including the metal element and the chloro group and the second raw material including the metal element and the amino group are alternately supplied to the wafer 200 in the treatment chamber 201, , A first layer comprising nitrogen and carbon; And

처리실(201) 내의 웨이퍼(200)에 대하여 아민계 원료를 공급하는 것에 의해 제1층을 개질하여 제2층으로서 금속 탄질화층을 형성하는 공정;A step of modifying the first layer by supplying an amine-based raw material to the wafer 200 in the treatment chamber 201 to form a metal carbonitride layer as a second layer;

을 교호적으로 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 금속 탄질화막을 형성한다.Is performed alternately a predetermined number of times to form a metal carbonitride film having a predetermined composition and a predetermined film thickness on the wafer 200.

또한 전술한 실시 형태의 제2 시퀀스를 금속계 박막의 성막에 적용하는 경우,Further, when the second sequence of the above-described embodiment is applied to the formation of the metal-based thin film,

처리실(201) 내의 웨이퍼(200)에 대하여 금속 원소 및 클로로기를 포함하는 제1 원료와, 금속 원소 및 아미노기를 포함하는 제2 원료를 교호적으로 공급하는 것에 의해, 웨이퍼(200) 상에 금속 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;The first raw material including the metal element and the chloro group and the second raw material including the metal element and the amino group are alternately supplied to the wafer 200 in the treatment chamber 201, , A first layer comprising nitrogen and carbon;

처리실(201) 내의 웨이퍼(200)에 대하여 아민계 원료를 공급하는 것에 의해 제1층을 개질하여 제2층으로서 금속 탄질화층을 형성하는 공정; 및A step of modifying the first layer by supplying an amine-based raw material to the wafer 200 in the treatment chamber 201 to form a metal carbonitride layer as a second layer; And

처리실(201) 내의 웨이퍼(200)에 대하여 열로 활성화시킨 산소 함유 가스(산화 가스)를 공급하는 것에 의해 제2층을 산화하여 제3층으로서 금속 산탄질화층 또는 금속 산탄화층을 형성하는 공정;Oxidizing the second layer by supplying heat-activated oxygen-containing gas (oxidizing gas) to the wafer 200 in the processing chamber 201 to form a metal oxynitride layer or metal oxynitride layer as a third layer;

을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 금속 산탄질화막 또는 금속 산탄화막을 형성한다.Is performed a predetermined number of times to form a metal oxynitride film or metal oxynitride film having a predetermined composition and a predetermined film thickness on the wafer 200. [

또한 전술한 실시 형태의 제3 시퀀스를 금속계 박막의 성막에 적용하는 경우,Further, when the third sequence of the above-described embodiment is applied to the formation of the metal-based thin film,

처리실(201) 내의 웨이퍼(200)에 대하여 금속 원소 및 클로로기를 포함하는 제1 원료와, 금속 원소 및 아미노기를 포함하는 제2 원료를 교호적으로 공급하는 것에 의해, 웨이퍼(200) 상에 금속 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;The first raw material including the metal element and the chloro group and the second raw material including the metal element and the amino group are alternately supplied to the wafer 200 in the treatment chamber 201, , A first layer comprising nitrogen and carbon;

처리실(201) 내의 웨이퍼(200)에 대하여 아민계 원료를 공급하는 것에 의해 제1층을 개질하여 제2층으로서 금속 탄질화층을 형성하는 공정; 및A step of modifying the first layer by supplying an amine-based raw material to the wafer 200 in the treatment chamber 201 to form a metal carbonitride layer as a second layer; And

처리실(201) 내의 웨이퍼(200)에 대하여 열 또는 플라즈마로 활성화시킨 질소 함유 가스(질화 가스)를 공급하는 것에 의해 제2층을 질화하여 제3층으로서 금속 탄질화층을 형성하는 공정;A step of nitriding the second layer by supplying a nitrogen-containing gas (nitriding gas) activated by heat or plasma to the wafer 200 in the treatment chamber 201 to form a metal carbonitride layer as a third layer;

을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 웨이퍼(200) 상에 소정 조성 및 소정 막 두께의 금속 탄질화막을 형성한다.To form a metal nitride film having a predetermined composition and a predetermined film thickness on the wafer 200 by performing a cycle a predetermined number of times.

또한 어떠한 경우에도 「금속 원소 및 클로로기를 포함하는 제1 원료와, 금속 원소 및 아미노기를 포함하는 제2 원료를 교호적으로 공급한다」란 금속 원소 및 클로로기를 포함하는 제1 원료 및 금속 원소 및 아미노기를 포함하는 제2 원료 중 일방의 원료를 처리실(201) 내의 웨이퍼(200)에 대하여 공급하고, 그 후, 제1 원료 및 제2 원료 중 일방의 원료와는 다른 타방의 원료를 처리실(201) 내의 웨이퍼(200)에 대하여 공급하고, 이를 1세트로 한 경우, 이 세트를 1회 수행하는 경우와, 이 세트를 복수 회 반복하는 경우의 양방을 포함한다. 즉 이 세트를 1회 이상(소정 횟수) 수행하는 것을 의미한다.In any case, " alternately feeding a first raw material containing a metal element and a chloro group and a second raw material containing a metal element and an amino group " means that the first raw material containing a metal element and a chloro group, Is supplied to the wafer 200 in the processing chamber 201. Thereafter, the other raw material, which is different from one of the raw materials of the first raw material and the second raw material, is supplied to the processing chamber 201, When the set is supplied to the wafer 200 within the set, the set includes both the case of performing the set once and the case of repeating the set a plurality of times. That is, to perform this set one or more times (a predetermined number of times).

예컨대 금속계 박막으로서 Ti계 박막을 형성하는 경우에는 제1 원료로서 티타늄테트라클로라이드(TiCl4) 등의 Ti 및 클로로기를 포함하는 원료를 이용하고, 제2 원료로서 테트라키스에틸메틸아미노티타늄(Ti[N(C2H5)(CH3)]4, 약칭: TEMAT), 테트라키스디메틸아미노티타늄(Ti[N(CH3)2]4, 약칭: TDMAT), 테트라키스디에틸아미노티타늄(Ti[N(C2H5)2]4, 약칭: TDEAT) 등의 Ti 및 아미노기를 포함하는 원료를 이용할 수 있다. 아민계 원료나 산소 함유 가스나 질소 함유 가스로서는 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 또한 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.For example, when a Ti-based thin film is formed as a metal thin film, a raw material containing Ti and a chloro group such as titanium tetrachloride (TiCl 4 ) is used as a first raw material and tetrakisethylmethylaminotitanium (Ti [N (C 2 H 5 ) (CH 3 )] 4 , abbreviated as TEMAT), tetrakis dimethylaminotitanium (Ti [N (CH 3 ) 2 ] 4 , abbreviated as TDMAT), tetrakis diethylaminotitanium (C 2 H 5 ) 2 ] 4 , abbreviation: TDEAT), and the like. As the amine-based raw material, the oxygen-containing gas, or the nitrogen-containing gas, the same gas as in the above-described embodiment can be used. The processing conditions at this time can be, for example, the same processing conditions as those of the above-described embodiment.

또한 예컨대 금속계 박막으로서 Zr계 박막을 형성하는 경우에는 제1 원료로서 지르코늄테트라클로라이드(ZrCl4) 등의 Zr 및 클로로기를 포함하는 원료를 이용하고, 제2 원료로서 테트라키스에틸메틸아미노지르코늄(Zr[N(C2H5)(CH3)]4, 약칭: TEMAZ), 테트라키스디메틸아미노지르코늄(Zr[N(CH3)2]4, 약칭: TDMAZ), 테트라키스디에틸아미노지르코늄(Zr[N(C2H5)2]4, 약칭: TDEAZ) 등의 Zr 및 아미노기를 포함하는 원료를 이용할 수 있다. 아민계 원료나 산소 함유 가스나 질소 함유 가스로서는 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 또한 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.When a Zr based thin film is formed, for example, as a metal thin film, a raw material containing Zr and a chloro group such as zirconium tetrachloride (ZrCl 4 ) is used as a first raw material, tetrakisethyl methylaminozirconium (Zr [ N (C 2 H 5 ) (CH 3 )] 4 , abbreviated as TEMAZ), tetrakis dimethylaminozirconium (Zr [N (CH 3 ) 2 ] 4 , abbreviation TDMAZ), tetrakis diethylaminozirconium N (C 2 H 5 ) 2 ] 4 , abbreviation: TDEAZ), and the like. As the amine-based raw material, the oxygen-containing gas, or the nitrogen-containing gas, the same gas as in the above-described embodiment can be used. The processing conditions at this time can be, for example, the same processing conditions as those of the above-described embodiment.

또한 예컨대 금속계 박막으로서 Hf계 박막을 형성하는 경우는 제1 원료로서 하프늄테트라클로라이드(HfCl4) 등의 Hf 및 클로로기를 포함하는 원료를 이용하고, 제2 원료로서 테트라키스에틸메틸아미노하프늄(Hf[N(C2H5)(CH3)]4, 약칭: TEMAH), 테트라키스디메틸아미노하프늄(Hf[N(CH3)2]4, 약칭: TDMAH), 테트라키스디에틸아미노하프늄(Hf[N(C2H5)2]4, 약칭: TDEAH) 등의 Hf 및 아미노기를 포함하는 원료를 이용할 수 있다. 아민계 원료나 산소 함유 가스나 질소 함유 가스로서는 전술한 실시 형태와 마찬가지의 가스를 이용할 수 있다. 또한 이 때의 처리 조건은 예컨대 전술한 실시 형태와 마찬가지의 처리 조건으로 할 수 있다.In the case of forming a Hf-based thin film as a metal thin film, for example, a raw material containing Hf and chloro groups such as hafnium tetrachloride (HfCl 4 ) is used as a first raw material and tetrakisethylmethylamino hafnium (Hf [ N (C 2 H 5 ) (CH 3 )] 4 , abbreviated as TEMAH), tetrakis dimethylamino hafnium (Hf [N (CH 3 ) 2 ] 4 , abbreviation: TDMAH), tetrakis diethylamino hafnium N (C 2 H 5 ) 2 ] 4 , abbreviation: TDEAH), and the like. As the amine-based raw material, the oxygen-containing gas, or the nitrogen-containing gas, the same gas as in the above-described embodiment can be used. The processing conditions at this time can be, for example, the same processing conditions as those of the above-described embodiment.

이와 같이 본 발명은 실리콘계 박막뿐만 아니라 금속계 박막의 성막에도 적용할 수 있고, 이 경우에도 전술한 실시 형태와 마찬가지의 작용 효과를 얻을 수 있다.As described above, the present invention can be applied not only to a silicon-based thin film but also to a metal-based thin film. In this case, the same effects as those of the above-described embodiment can be obtained.

즉 본 발명은 반도체 원소나 금속 원소 등의 소정 원소를 포함하는 박막을 형성하는 경우에 적용할 수 있다.That is, the present invention can be applied to a case where a thin film containing a predetermined element such as a semiconductor element or a metal element is formed.

또한 전술한 실시 형태에서는 한 번에 복수 매의 기판을 처리하는 뱃치(batch)식의 기판 처리 장치를 이용하여 성막하는 예에 대하여 설명하였지만, 본 발명은 이에 한정되지 않고, 한 번에 1매 또는 수 매의 기판을 처리하는 매엽식의 기판 처리 장치를 이용하여 성막하는 경우에도 바람직하게 적용할 수 있다.In the above-described embodiment, an example has been described in which a film is formed using a batch type substrate processing apparatus that processes a plurality of substrates at one time. However, the present invention is not limited to this, The present invention can be suitably applied to a case of forming a film by using a single wafer type substrate processing apparatus for processing several substrates.

또한 전술한 각 실시 형태, 각 성막 시퀀스, 각 변형예, 각 응용예 등은 적절히 조합하여 이용할 수 있다.In addition, each of the above-described embodiments, each film formation sequence, each modification example, each application example, and the like can be appropriately combined and used.

또한 본 발명은 예컨대 기존의 기판 처리 장치의 프로세스 레시피를 변경하는 것에 의해서도 실현할 수 있다. 프로세스 레시피를 변경하는 경우에는 본 발명에 따른 프로세스 레시피를 전기 통신 회선이나 상기 프로세스 레시피를 기록한 기록 매체를 개재하여 기존의 기판 처리 장치에 인스톨하거나, 또한 기존의 기판 처리 장치의 입출력 장치를 조작하여, 그 프로세스 레시피 자체를 본 발명에 따른 프로세스 레시피로 변경하는 것도 가능하다.The present invention can also be realized by, for example, changing the process recipe of an existing substrate processing apparatus. In the case of changing the process recipe, the process recipe according to the present invention may be installed in an existing substrate processing apparatus through an electric communication line or a recording medium on which the process recipe is recorded, or by operating an input / output apparatus of an existing substrate processing apparatus, It is also possible to change the process recipe itself to the process recipe according to the present invention.

이하, 본 발명의 바람직한 형태에 대하여 부기(附記)한다.Hereinafter, preferred embodiments of the present invention will be attached.

(부기1)(Annex 1)

본 발명의 일 형태에 의하면,According to one aspect of the present invention,

처리실 내의 기판에 소정 원소 및 할로겐기를 포함하는 제1 원료 가스를 공급하는 공정과, 상기 처리실 내의 상기 기판에 상기 소정 원소 및 아미노기를 포함하는 제2 원료 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;A step of supplying a first source gas containing a predetermined element and a halogen group to a substrate in a treatment chamber and a step of supplying a second source gas containing the predetermined element and an amino group to the substrate in the treatment chamber are alternately performed a predetermined number of times Thereby forming a first layer containing the predetermined element, nitrogen and carbon on the substrate;

상기 처리실 내의 상기 기판에 아민계 원료 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 공정; 및A step of modifying the first layer to form a second layer by supplying an amine-based source gas to the substrate in the treatment chamber; And

상기 처리실 내의 상기 기판에 상기 각 원료 가스와는 다른 반응 가스를 공급하는 것에 의해 상기 제2층을 개질하여 제3층을 형성하는 공정;A step of forming a third layer by modifying the second layer by supplying a reaction gas different from the respective raw material gases to the substrate in the processing chamber;

을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 소정 조성의 박막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.A step of forming a thin film of a predetermined composition including the predetermined element on the substrate by performing a cycle a predetermined number of times.

여기서 「제1 원료 가스를 공급하는 공정과, 제2 원료 가스를 공급하는 공정을 교호적으로 소정 횟수 수행한다」란 제1 원료 가스 및 제2 원료 가스 중 일방의 원료 가스를 공급하는 공정과, 그 후, 제1 원료 가스 및 제2 원료 가스 중의 상기 뿐의 원료 가스와는 다른 타방의 원료 가스를 공급하는 공정을 1세트로 한 경우, 이 세트를 1회 수행하는 경우와, 이 세트를 복수 회 반복하는 경우의 양방을 포함한다. 즉 이 세트를 1회 이상(소정 횟수) 수행하는 것을 의미한다.Here, " the step of supplying the first source gas and the step of supplying the second source gas are alternately performed a predetermined number of times " is a step of supplying one of the source gases out of the first source gas and the second source gas, Thereafter, in the case where one set of the steps of supplying the other raw material gas different from the above-mentioned raw material gas in the first raw material gas and the second raw material gas is set as one set, And repeat both times. That is, to perform this set one or more times (a predetermined number of times).

또한 「제1층을 형성하는 공정과, 제2층을 형성하는 공정과, 제3층을 형성하는 공정을 포함하는 사이클을 소정 횟수 수행한다」란 이 사이클을 1회 수행하는 경우와, 이 사이클을 복수 회 반복하는 경우의 양방을 포함한다. 즉 이 사이클을 1회 이상(소정 횟수) 수행하는 것을 의미한다.The phrase " a cycle including the step of forming the first layer, the step of forming the second layer, and the step of forming the third layer " is performed a predetermined number of times " And the case of repeating a plurality of times. That is, this cycle is performed at least once (a predetermined number of times).

또한 본 명세서에서 이와 마찬가지의 표현들은 이와 마찬가지의 의미로서 이용된다.In this specification, similar expressions are used in the same sense.

(부기2)(Annex 2)

부기1의 반도체 장치의 제조 방법으로서, 바람직하게는,The method for manufacturing a semiconductor device according to note 1,

상기 아민계 원료 가스를 공급할 때의 상기 처리실 내의 압력을 상기 제1 원료 가스, 상기 제2 원료 가스 및 상기 반응 가스를 공급할 때의 상기 처리실 내의 압력보다 크게 한다.The pressure in the processing chamber when supplying the amine-based source gas is made larger than the pressure in the processing chamber when the first source gas, the second source gas, and the reactive gas are supplied.

(부기3)(Annex 3)

부기2의 반도체 장치의 제조 방법으로서, 바람직하게는,A manufacturing method of a semiconductor device according to note 2,

상기 아민계 원료 가스를 공급할 때의 상기 처리실 내의 압력을 상기 반응 가스를 공급할 때의 상기 처리실 내의 압력보다 크게 하고, 상기 반응 가스를 공급할 때의 상기 처리실 내의 압력을 상기 제1 원료 가스 및 상기 제2 원료 가스를 공급할 때의 상기 처리실 내의 압력보다 크게 한다.The pressure in the processing chamber when supplying the amine-based source gas is made larger than the pressure in the processing chamber when supplying the reaction gas, and the pressure in the processing chamber when the reactive gas is supplied is set to be higher than the pressure in the processing chamber when supplying the amine- Is made larger than the pressure in the treatment chamber when supplying the raw material gas.

(부기4)(Note 4)

부기3의 반도체 장치의 제조 방법으로서, 바람직하게는,A manufacturing method of a semiconductor device according to note 3,

상기 제3층을 형성하는 공정에서는 상기 기판에 상기 반응 가스로서 산화 가스를 공급하는 것에 의해 상기 제3층으로서 산탄질화층 또는 산탄화층을 형성하고,Wherein in the step of forming the third layer, an oxynitride gas is supplied as the reactive gas to the substrate to form a third layer,

상기 박막을 형성하는 공정에서는 상기 박막으로서 산탄질화막 또는 산탄화막을 형성한다.In the step of forming the thin film, a silicon oxynitride film or a carbonized film is formed as the thin film.

(부기5)(Note 5)

부기3의 반도체 장치의 제조 방법으로서, 바람직하게는,A manufacturing method of a semiconductor device according to note 3,

상기 제3층을 형성하는 공정에서는 상기 기판에 상기 반응 가스로서 질화 가스를 공급하는 것에 의해 상기 제3층으로서 탄질화층을 형성하고,In the step of forming the third layer, the carbonitride layer is formed as the third layer by supplying a nitriding gas as the reactive gas to the substrate,

상기 박막을 형성하는 공정에서는 상기 박막으로서 탄질화막을 형성한다.In the step of forming the thin film, a carbon nitride film is formed as the thin film.

(부기6)(Note 6)

본 발명의 다른 형태에 의하면,According to another aspect of the present invention,

처리실 내의 기판에 소정 원소 및 할로겐기를 포함하는 제1 원료 가스를 공급하는 공정과, 상기 처리실 내의 상기 기판에 상기 소정 원소 및 아미노기를 포함하는 제2 원료 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;A step of supplying a first source gas containing a predetermined element and a halogen group to a substrate in a treatment chamber and a step of supplying a second source gas containing the predetermined element and an amino group to the substrate in the treatment chamber are alternately performed a predetermined number of times Thereby forming a first layer containing the predetermined element, nitrogen and carbon on the substrate;

상기 처리실 내의 상기 기판에 아민계 원료 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 공정; 및A step of modifying the first layer to form a second layer by supplying an amine-based source gas to the substrate in the treatment chamber; And

상기 처리실 내의 상기 기판에 상기 각 원료 가스와는 다른 반응 가스를 공급하는 것에 의해 상기 제2층을 개질하여 제3층을 형성하는 공정;A step of forming a third layer by modifying the second layer by supplying a reaction gas different from the respective raw material gases to the substrate in the processing chamber;

을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 소정 조성의 박막을 형성하는 공정을 포함하는 기판 처리 방법이 제공된다.A step of forming a thin film of a predetermined composition including the predetermined element on the substrate by performing a cycle a predetermined number of times.

(부기7)(Note 7)

본 발명의 또 다른 형태에 의하면,According to another aspect of the present invention,

기판을 수용하는 처리실;A processing chamber for accommodating a substrate;

상기 처리실 내의 기판에 소정 원소 및 할로겐기를 포함하는 제1 원료 가스를 공급하는 제1 원료 가스 공급계;A first raw material gas supply system for supplying a first raw material gas containing a predetermined element and a halogen group to the substrate in the treatment chamber;

상기 처리실 내의 기판에 상기 소정 원소 및 아미노기를 포함하는 제2 원료 가스를 공급하는 제2 원료 가스 공급계;A second source gas supply system for supplying a second source gas containing the predetermined element and the amino group to the substrate in the treatment chamber;

상기 처리실 내의 기판에 아민계 원료 가스를 공급하는 제3 원료 가스 공급계;A third source gas supply system for supplying an amine-based source gas to the substrate in the treatment chamber;

상기 처리실 내의 기판에 상기 각 원료 가스와는 다른 반응 가스를 공급하는 반응 가스 공급계; 및A reaction gas supply system for supplying a reaction gas different from the respective source gases to the substrate in the processing chamber; And

상기 처리실 내의 기판에 상기 제1 원료 가스를 공급하는 처리와, 상기 처리실 내의 상기 기판에 상기 제2 원료 가스를 공급하는 처리를 교호적으로 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 처리와, 상기 처리실 내의 상기 기판에 상기 아민계 원료 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 처리와, 상기 처리실 내의 상기 기판에 상기 반응 가스를 공급하는 것에 의해 상기 제2층을 개질하여 제3층을 형성하는 처리를 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소를 포함하는 소정 조성의 박막을 형성하도록 상기 제1 원료 가스 공급계, 상기 제2 원료 가스 공급계, 상기 제3 원료 가스 공급계 및 상기 반응 가스 공급계를 제어하는 제어부;A process for supplying the first source gas to the substrate in the treatment chamber and a process for supplying the second source gas to the substrate in the treatment chamber are alternately performed a predetermined number of times, A process of forming a first layer containing nitrogen and carbon, a process of forming the second layer by modifying the first layer by supplying the amine-based source gas to the substrate in the process chamber, And a process of reforming the second layer by supplying the reaction gas to the substrate to form a third layer, so that a predetermined number of cycles of the process are performed on the substrate, The first source gas supply system, the second source gas supply system, the third source gas supply system, and the reaction gas supply system are controlled so as to form a thin film A controller;

를 포함하는 기판 처리 장치가 제공된다.And a substrate processing apparatus.

(부기8)(Annex 8)

본 발명의 또 다른 형태에 의하면,According to another aspect of the present invention,

기판 처리 장치의 처리실 내의 기판에 소정 원소 및 할로겐기를 포함하는 제1 원료 가스를 공급하는 순서와, 상기 처리실 내의 상기 기판에 상기 소정 원소 및 아미노기를 포함하는 제2 원료 가스를 공급하는 순서를 교호적으로 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 순서;A step of supplying a first raw material gas containing a predetermined element and a halogen group to a substrate in a processing chamber of the substrate processing apparatus and a step of supplying a second raw material gas containing the predetermined element and an amino group to the substrate in the processing chamber, A step of forming a first layer containing the predetermined element, nitrogen and carbon on the substrate by performing a predetermined number of times;

상기 처리실 내의 상기 기판에 아민계 원료 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 순서; 및A step of forming the second layer by modifying the first layer by supplying an amine-based source gas to the substrate in the treatment chamber; And

상기 처리실 내의 상기 기판에 상기 각 원료 가스와는 다른 반응 가스를 공급하는 것에 의해 상기 제2층을 개질하여 제3층을 형성하는 순서;A step of forming a third layer by modifying the second layer by supplying a reaction gas different from the respective source gases to the substrate in the treatment chamber;

를 포함하는 사이클을 소정 횟수 수행하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 소정 조성의 박막을 형성하는 순서를 컴퓨터에 실행시키는 프로그램이 제공된다.A predetermined number of times to perform a cycle including the predetermined element to form a thin film having a predetermined composition including the predetermined element on the substrate.

(부기9)(Note 9)

본 발명의 또 다른 형태에 의하면,According to another aspect of the present invention,

기판 처리 장치의 처리실 내의 기판에 소정 원소 및 할로겐기를 포함하는 제1 원료 가스를 공급하는 순서와, 상기 처리실 내의 상기 기판에 상기 소정 원소 및 아미노기를 포함하는 제2 원료 가스를 공급하는 순서를 교호적으로 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 순서;A step of supplying a first raw material gas containing a predetermined element and a halogen group to a substrate in a processing chamber of the substrate processing apparatus and a step of supplying a second raw material gas containing the predetermined element and an amino group to the substrate in the processing chamber, A step of forming a first layer containing the predetermined element, nitrogen and carbon on the substrate by performing a predetermined number of times;

상기 처리실 내의 상기 기판에 아민계 원료 가스를 공급하는 것에 의해 상기 제1층을 개질하여 제2층을 형성하는 순서; 및A step of forming the second layer by modifying the first layer by supplying an amine-based source gas to the substrate in the treatment chamber; And

상기 처리실 내의 상기 기판에 상기 각 원료 가스와는 다른 반응 가스를 공급하는 것에 의해 상기 제2층을 개질하여 제3층을 형성하는 순서;A step of forming a third layer by modifying the second layer by supplying a reaction gas different from the respective source gases to the substrate in the treatment chamber;

를 포함하는 사이클을 소정 횟수 수행하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 소정 조성의 박막을 형성하는 순서를 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체가 제공된다.There is provided a computer-readable recording medium recording a program that causes a computer to execute a procedure of forming a thin film of a predetermined composition including the predetermined element on a substrate by performing a cycle a predetermined number of times.

121: 컨트롤러 200: 웨이퍼
201: 처리실 202: 처리로
203: 반응관 207: 히터
231: 배기관 232a: 제1 가스 공급관
232b: 제2 가스 공급관 232c: 제3 가스 공급관
232d: 제4 가스 공급관 232j: 제5 가스 공급관
121: controller 200: wafer
201: processing chamber 202: processing path
203: reaction tube 207: heater
231: exhaust pipe 232a: first gas supply pipe
232b: second gas supply pipe 232c: third gas supply pipe
232d: fourth gas supply pipe 232j: fifth gas supply pipe

Claims (9)

처리실 내의 기판에 소정 원소 및 할로겐기를 포함하는 제1 원료 가스를 공급하는 공정과, 상기 처리실 내의 상기 기판에 상기 소정 원소 및 아미노기를 포함하는 제2 원료 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;
상기 처리실 내의 압력을 상기 제1 원료 가스, 상기 제2 원료 가스 및 반응 가스를 공급할 때의 상기 처리실 내의 압력보다 크게 하고, 상기 처리실 내의 상기 기판에 탄소, 질소 및 수소를 포함하는 아민계 원료 가스를 공급하는 것에 의해 상기 제1층을 개질하여 상기 제1층에 포함되는 질소의 비율보다 높은 비율의 질소 및 상기 제1층에 포함되는 탄소의 비율보다 높은 비율의 탄소를 포함하는 제2층을 형성하는 공정; 및
상기 처리실 내의 상기 기판에 각각의 상기 원료 가스들과는 다른 상기 반응 가스를 공급하는 것에 의해 상기 제2층을 개질하여 제3층을 형성하는 공정;
을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 소정 조성의 박막을 형성하는 공정을 포함하는 반도체 장치의 제조 방법.
A step of supplying a first source gas containing a predetermined element and a halogen group to a substrate in a treatment chamber and a step of supplying a second source gas containing the predetermined element and an amino group to the substrate in the treatment chamber are alternately performed a predetermined number of times Thereby forming a first layer containing the predetermined element, nitrogen and carbon on the substrate;
The pressure in the processing chamber is made larger than the pressure in the processing chamber when the first source gas, the second source gas, and the reaction gas are supplied, and an amine source gas containing carbon, nitrogen, and hydrogen is introduced into the processing chamber The first layer is modified to form a second layer containing a higher proportion of nitrogen than the proportion of nitrogen contained in the first layer and a higher proportion of carbon than the proportion of carbon contained in the first layer ; And
Forming a third layer by modifying the second layer by supplying the reaction gas different from each of the source gases to the substrate in the processing chamber;
And forming a thin film having a predetermined composition including the predetermined element on the substrate by performing a cycle a predetermined number of times.
삭제delete 제1항에 있어서, 상기 아민계 원료 가스를 공급할 때의 상기 처리실 내의 압력이 상기 반응 가스를 공급할 때의 상기 처리실 내의 압력보다 크고, 상기 반응 가스를 공급할 때의 상기 처리실 내의 압력이 상기 제1 원료 가스 및 상기 제2 원료 가스를 공급할 때의 상기 처리실 내의 압력보다 큰 반도체 장치의 제조 방법.2. The method according to claim 1, wherein the pressure in the processing chamber when supplying the amine-based source gas is larger than the pressure in the processing chamber when supplying the reaction gas, and the pressure in the processing chamber when supplying the reaction gas, Gas and the pressure in the processing chamber when supplying the second raw material gas. 제3항에 있어서, 상기 제3층을 형성하는 공정에서는 상기 기판에 상기 반응 가스로서 산화 가스를 공급하는 것에 의해 상기 제3층으로서 산탄질화층 및 산탄화층 중 하나를 형성하고,
상기 박막을 형성하는 공정에서는 상기 박막으로서 산탄질화막 및 산탄화막 중 하나를 형성하는 반도체 장치의 제조 방법.
4. The method according to claim 3, wherein in the step of forming the third layer, one of the oxynitride layer and the oxynitride layer is formed as the third layer by supplying an oxidizing gas as the reactive gas to the substrate,
Wherein in the step of forming the thin film, one of the oxynitride film and the oxynitride film is formed as the thin film.
제3항에 있어서, 상기 제3층을 형성하는 공정에서는 상기 기판에 상기 반응 가스로서 질화 가스를 공급하는 것에 의해 상기 제3층으로서 탄질화층을 형성하고,
상기 박막을 형성하는 공정에서는 상기 박막으로서 탄질화막을 형성하는 반도체 장치의 제조 방법.
The method according to claim 3, wherein in the step of forming the third layer, a carbonitride layer is formed as the third layer by supplying a nitriding gas as the reactive gas to the substrate,
Wherein the step of forming the thin film forms a carbon nitride film as the thin film.
처리실 내의 기판에 소정 원소 및 할로겐기를 포함하는 제1 원료 가스를 공급하는 공정과, 상기 처리실 내의 상기 기판에 상기 소정 원소 및 아미노기를 포함하는 제2 원료 가스를 공급하는 공정을 교호적으로 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 공정;
상기 처리실 내의 압력을 상기 제1 원료 가스, 상기 제2 원료 가스 및 반응 가스를 공급할 때의 상기 처리실 내의 압력보다 크게 하고, 상기 처리실 내의 상기 기판에 탄소, 질소 및 수소를 포함하는 아민계 원료 가스를 공급하는 것에 의해 상기 제1층을 개질하여 상기 제1층에 포함되는 질소의 비율보다 높은 비율의 질소 및 상기 제1층에 포함되는 탄소의 비율보다 높은 비율의 탄소를 포함하는 제2층을 형성하는 공정; 및
상기 처리실 내의 상기 기판에 각각의 상기 원료 가스들과는 다른 상기 반응 가스를 공급하는 것에 의해 상기 제2층을 개질하여 제3층을 형성하는 공정;
을 포함하는 사이클을 소정 횟수 수행하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 소정 조성의 박막을 형성하는 공정을 포함하는 기판 처리 방법.
A step of supplying a first source gas containing a predetermined element and a halogen group to a substrate in a treatment chamber and a step of supplying a second source gas containing the predetermined element and an amino group to the substrate in the treatment chamber are alternately performed a predetermined number of times Thereby forming a first layer containing the predetermined element, nitrogen and carbon on the substrate;
The pressure in the processing chamber is made larger than the pressure in the processing chamber when the first source gas, the second source gas, and the reaction gas are supplied, and an amine source gas containing carbon, nitrogen, and hydrogen is introduced into the processing chamber The first layer is modified to form a second layer containing a higher proportion of nitrogen than the proportion of nitrogen contained in the first layer and a higher proportion of carbon than the proportion of carbon contained in the first layer ; And
Forming a third layer by modifying the second layer by supplying the reaction gas different from each of the source gases to the substrate in the processing chamber;
And forming a thin film having a predetermined composition including the predetermined element on the substrate by performing a cycle a predetermined number of times.
기판을 수용하는 처리실;
상기 처리실 내의 상기 기판에 소정 원소 및 할로겐기를 포함하는 제1 원료 가스를 공급하는 제1 원료 가스 공급계;
상기 처리실 내의 상기 기판에 상기 소정 원소 및 아미노기를 포함하는 제2 원료 가스를 공급하는 제2 원료 가스 공급계;
상기 처리실 내의 상기 기판에 탄소, 질소 및 수소를 포함하는 아민계 원료 가스를 공급하는 제3 원료 가스 공급계;
상기 처리실 내의 상기 기판에 각각의 상기 원료 가스들과는 다른 반응 가스를 공급하는 반응 가스 공급계; 및
상기 처리실 내의 상기 기판에 상기 제1 원료 가스를 공급하는 처리와 상기 처리실 내의 상기 기판에 상기 제2 원료 가스를 공급하는 처리를 교호적으로 소정 횟수 수행하는 것에 의해 상기 기판 상에 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 처리와, 상기 처리실 내의 압력을 상기 제1 원료 가스, 상기 제2 원료 가스 및 상기 반응 가스를 공급할 때의 상기 처리실 내의 압력보다 크게 하고 상기 처리실 내의 상기 기판에 상기 아민계 원료 가스를 공급하는 것에 의해 상기 제1층을 개질하여 상기 제1층에 포함되는 질소의 비율보다 높은 비율의 질소 및 상기 제1층에 포함되는 탄소의 비율보다 높은 비율의 탄소를 포함하는 제2층을 형성하는 처리와, 상기 처리실 내의 상기 기판에 상기 반응 가스를 공급하는 것에 의해 상기 제2층을 개질하여 제3층을 형성하는 처리를 포함하는 사이클을 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소를 포함하는 소정 조성의 박막을 형성하도록 상기 제1 원료 가스 공급계, 상기 제2 원료 가스 공급계, 상기 제3 원료 가스 공급계 및 상기 반응 가스 공급계를 제어하는 제어부;
를 포함하는 기판 처리 장치.
A processing chamber for accommodating a substrate;
A first source gas supply system for supplying a first source gas containing a predetermined element and a halogen group to the substrate in the treatment chamber;
A second source gas supply system for supplying a second source gas containing the predetermined element and the amino group to the substrate in the treatment chamber;
A third source gas supply system for supplying an amine-based source gas containing carbon, nitrogen and hydrogen to the substrate in the treatment chamber;
A reaction gas supply system for supplying a reaction gas different from each of the source gases to the substrate in the processing chamber; And
A process for supplying the first source gas to the substrate in the process chamber and a process for supplying the second source gas to the substrate in the process chamber are alternately performed a predetermined number of times to form the predetermined element, And forming a first layer containing carbon on the surface of the substrate in the process chamber; and a process of forming a first layer containing carbon and a pressure in the process chamber, wherein the pressure in the process chamber is larger than the pressure in the process chamber when supplying the first source gas, the second source gas, The first layer is modified to supply the amine-based source gas to a portion of the first layer in which the ratio of nitrogen to nitrogen contained in the first layer is higher than that of nitrogen contained in the first layer, Forming a second layer including the second layer on the substrate, and supplying the reaction gas to the substrate in the processing chamber to modify the second layer And forming a thin film of a predetermined composition including the predetermined element on the substrate by performing a cycle including a process of forming a third layer by a predetermined number of times, A control unit for controlling the supply system, the third source gas supply system, and the reaction gas supply system;
And the substrate processing apparatus.
기판 처리 장치의 처리실 내의 기판에 소정 원소 및 할로겐기를 포함하는 제1 원료 가스를 공급하는 순서와, 상기 처리실 내의 상기 기판에 상기 소정 원소 및 아미노기를 포함하는 제2 원료 가스를 공급하는 순서를 교호적으로 소정 횟수 수행하는 것에 의해, 상기 기판 상에 상기 소정 원소, 질소 및 탄소를 포함하는 제1층을 형성하는 순서;
상기 처리실 내의 압력을 상기 제1 원료 가스, 상기 제2 원료 가스 및 반응 가스를 공급할 때의 상기 처리실 내의 압력보다 크게 하고, 상기 처리실 내의 상기 기판에 탄소, 질소 및 수소를 포함하는 아민계 원료 가스를 공급하는 것에 의해 상기 제1층을 개질하여 상기 제1층에 포함되는 질소의 비율보다 높은 비율의 질소 및 상기 제1층에 포함되는 탄소의 비율보다 높은 비율의 탄소를 포함하는 제2층을 형성하는 순서; 및
상기 처리실 내의 상기 기판에 각각의 상기 원료 가스들과는 다른 상기 반응 가스를 공급하는 것에 의해 상기 제2층을 개질하여 제3층을 형성하는 순서;
를 포함하는 사이클을 소정 횟수 수행하는 것에 의해 상기 기판 상에 상기 소정 원소를 포함하는 소정 조성의 박막을 형성하는 순서를 컴퓨터에 실행시키는 프로그램을 기록한 컴퓨터 판독 가능한 기록 매체.
A step of supplying a first raw material gas containing a predetermined element and a halogen group to a substrate in a processing chamber of the substrate processing apparatus and a step of supplying a second raw material gas containing the predetermined element and an amino group to the substrate in the processing chamber, A step of forming a first layer containing the predetermined element, nitrogen and carbon on the substrate by performing a predetermined number of times;
The pressure in the processing chamber is made larger than the pressure in the processing chamber when the first source gas, the second source gas, and the reaction gas are supplied, and an amine source gas containing carbon, nitrogen, and hydrogen is introduced into the processing chamber The first layer is modified to form a second layer containing a higher proportion of nitrogen than the proportion of nitrogen contained in the first layer and a higher proportion of carbon than the proportion of carbon contained in the first layer Order; And
Forming a third layer by modifying the second layer by supplying the reaction gas different from each of the source gases to the substrate in the processing chamber;
Wherein the step of forming the thin film comprises the steps of: forming a thin film having a predetermined composition including the predetermined element on the substrate by performing a cycle a predetermined number of times.
제1항에 있어서, 상기 제2층은 상기 소정 원소, 상기 질소 및 상기 탄소를 포함하고, 상기 탄소의 비율이 상기 질소의 비율보다 큰 반도체 장치의 제조 방법.The manufacturing method of a semiconductor device according to claim 1, wherein the second layer includes the predetermined element, the nitrogen and the carbon, and the ratio of carbon is larger than the ratio of nitrogen.
KR1020147008979A 2011-10-14 2012-09-24 Method for manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium KR101628211B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011226852 2011-10-14
JPJP-P-2011-226852 2011-10-14
PCT/JP2012/074408 WO2013054655A1 (en) 2011-10-14 2012-09-24 Method for manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium

Publications (2)

Publication Number Publication Date
KR20140066216A KR20140066216A (en) 2014-05-30
KR101628211B1 true KR101628211B1 (en) 2016-06-08

Family

ID=48081712

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147008979A KR101628211B1 (en) 2011-10-14 2012-09-24 Method for manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium

Country Status (4)

Country Link
US (1) US9478413B2 (en)
JP (1) JPWO2013054655A1 (en)
KR (1) KR101628211B1 (en)
WO (1) WO2013054655A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160035991A (en) * 2014-09-24 2016-04-01 램 리써치 코포레이션 Methods and apparatuses for uniform reduction of in-feature wet etch rate of a silicon nitride film formed by ald

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6170754B2 (en) * 2013-06-18 2017-07-26 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6479560B2 (en) * 2015-05-01 2019-03-06 東京エレクトロン株式会社 Deposition equipment
JP6055879B1 (en) * 2015-08-05 2016-12-27 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9685533B1 (en) * 2016-02-21 2017-06-20 United Microelectronics Corp. Transistor with SiCN/SiOCN mulitlayer spacer
JP6318188B2 (en) * 2016-03-30 2018-04-25 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
KR102378021B1 (en) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. Formation of SiOC thin films
JP6814057B2 (en) * 2017-01-27 2021-01-13 株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing devices, and programs
JP6602332B2 (en) * 2017-03-28 2019-11-06 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
WO2018204709A1 (en) 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
KR20190005741A (en) * 2017-07-07 2019-01-16 도쿄엘렉트론가부시키가이샤 Method of manufacturing semiconductor device and method of forming metal oxide film
CN109585267B (en) 2017-09-29 2023-12-01 住友电气工业株式会社 Method for forming silicon nitride film
JP6946989B2 (en) * 2017-12-06 2021-10-13 住友電気工業株式会社 Method for forming a silicon nitride passivation film and method for manufacturing a semiconductor device
WO2021053756A1 (en) 2019-09-18 2021-03-25 株式会社Kokusai Electric Production method for semiconductor device, substrate-processing device, and program

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244490A (en) * 1999-01-08 2008-10-09 Toshiba Corp Method of manufacturing semiconductor device
JP2009065203A (en) 2005-03-09 2009-03-26 Tokyo Electron Ltd Film forming method, film forming device, and storage media
WO2011125395A1 (en) * 2010-04-09 2011-10-13 株式会社日立国際電気 Process for production of semiconductor device, method for treatment of substrate, and device for treatment of substrate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7078336B2 (en) * 2003-11-19 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabricating a copper barrier layer with low dielectric constant and leakage current
JP4924437B2 (en) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP2010183069A (en) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate processing apparatus
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
JP5467007B2 (en) * 2009-09-30 2014-04-09 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
JP2011192875A (en) 2010-03-16 2011-09-29 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor device, and substrate processing apparatus
CN102471885A (en) 2010-04-01 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 Metal nitride containing film deposition using combination of amino-metal and halogenated metal precursors

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244490A (en) * 1999-01-08 2008-10-09 Toshiba Corp Method of manufacturing semiconductor device
JP2009065203A (en) 2005-03-09 2009-03-26 Tokyo Electron Ltd Film forming method, film forming device, and storage media
WO2011125395A1 (en) * 2010-04-09 2011-10-13 株式会社日立国際電気 Process for production of semiconductor device, method for treatment of substrate, and device for treatment of substrate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160035991A (en) * 2014-09-24 2016-04-01 램 리써치 코포레이션 Methods and apparatuses for uniform reduction of in-feature wet etch rate of a silicon nitride film formed by ald
KR102538780B1 (en) 2014-09-24 2023-05-31 램 리써치 코포레이션 Methods and apparatuses for uniform reduction of in-feature wet etch rate of a silicon nitride film formed by ald

Also Published As

Publication number Publication date
US9478413B2 (en) 2016-10-25
WO2013054655A1 (en) 2013-04-18
KR20140066216A (en) 2014-05-30
JPWO2013054655A1 (en) 2015-03-30
US20140235067A1 (en) 2014-08-21

Similar Documents

Publication Publication Date Title
KR101628211B1 (en) Method for manufacturing semiconductor device, substrate processing method, substrate processing apparatus, and recording medium
KR101570318B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recoding medium
US9384961B2 (en) Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
KR101402644B1 (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and non-transitory computer readable recording medium
KR101469379B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR101378478B1 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing device
KR101497231B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR101304365B1 (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and non-transitory computer readable recording medium
KR101540534B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus and recording medium
KR101611679B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR101493389B1 (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and recording medium
JP6022276B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
KR101549778B1 (en) Method of semiconductor device, substrate processing apparatus, and recording medium
KR101476550B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recoding medium
KR101549777B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6151335B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190515

Year of fee payment: 4