KR101570227B1 - Apparatus and method for processing substrate - Google Patents

Apparatus and method for processing substrate Download PDF

Info

Publication number
KR101570227B1
KR101570227B1 KR1020140060415A KR20140060415A KR101570227B1 KR 101570227 B1 KR101570227 B1 KR 101570227B1 KR 1020140060415 A KR1020140060415 A KR 1020140060415A KR 20140060415 A KR20140060415 A KR 20140060415A KR 101570227 B1 KR101570227 B1 KR 101570227B1
Authority
KR
South Korea
Prior art keywords
gas
substrate
supply
chamber
susceptor
Prior art date
Application number
KR1020140060415A
Other languages
Korean (ko)
Inventor
현준진
김해원
신창훈
송병규
김경훈
김용기
신양식
김창돌
김은덕
Original Assignee
주식회사 유진테크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 유진테크 filed Critical 주식회사 유진테크
Priority to KR1020140060415A priority Critical patent/KR101570227B1/en
Priority to TW104114233A priority patent/TW201602397A/en
Priority to PCT/KR2015/005066 priority patent/WO2015178687A1/en
Application granted granted Critical
Publication of KR101570227B1 publication Critical patent/KR101570227B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Abstract

According to one embodiment of the present invention, a substrate treatment device comprises: a chamber, wherein a substrate is conveyed through a passage formed on one side and a supply port supplying a gas toward the substrate is formed on the opposite side of the passage, having an inner space where the substrate is processed; an auxiliary susceptor installed in the inner space to have a shape corresponding to the inner space and having an opening; and a main susceptor inserted into the opening to be rotated while the substrate is placed and heating the substrate.

Description

기판 처리장치 및 기판 처리방법{APPARATUS AND METHOD FOR PROCESSING SUBSTRATE}[0001] APPARATUS AND METHOD FOR PROCESSING SUBSTRATE [0002]

본 발명은 기판 처리장치 및 기판 처리방법에 관한 것으로, 더욱 상세하게는 챔버 내부에 형성된 직육면체 형상의 내부공간에 설치된 보조서셉터와 보조서셉터의 개구 상에 회전 가능하도록 삽입설치되는 메인서셉터를 구비하는 기판 처리장치 및 이를 이용한 기판 처리방법에 관한 것이다.The present invention relates to a substrate processing apparatus and a substrate processing method. More particularly, the present invention relates to an auxiliary susceptor provided in a rectangular parallelepiped internal space formed in a chamber and a main susceptor rotatably inserted into the opening of the auxiliary susceptor And a substrate processing method using the same.

일반적으로 반도체 소자의 제조에 있어서 반도체 기판 위에 고품질의 박막을 형성하고자 하는 장치나 공정에 대해 개선하는 노력이 계속되고 있으며, 반도체 기판의 표면 반응을 이용하여 박막을 형성하는데 몇 가지 방법이 이용되어 왔다.
In general, efforts have been made to improve devices and processes for forming high-quality thin films on semiconductor substrates in the manufacture of semiconductor devices, and several methods have been used to form thin films using surface reactions of semiconductor substrates .

이러한 방법에는 진공 증발 증착(Vacuum Evaporation Deposition), 분자 선 결정 성장(molecular Beam Epitaxy:MBE), 저압 화학 기상 증착(Low-pressure Chemical Vapor Deposition), 유기금속 화학 기상 증착(Organometallic Chemical Vapor Deposition), 플라즈마 강화 화학 기상 증착(Plasma-enhanced Chemical Vapor Deposition)을 포함하는 다양한 화학 기상 증착(Chemical Vapor Deposition:CVD), 그리고 원자층 결정 성장(Atomic Layer Epitaxy:ALE) 등이 있다.
Such methods include vacuum evaporation deposition, molecular beam epitaxy (MBE), low-pressure chemical vapor deposition, organometallic chemical vapor deposition, plasma Chemical vapor deposition (CVD), including plasma-enhanced chemical vapor deposition (CVD), and atomic layer epitaxy (ALE).

이 중 원자층 결정 성장(ALE)은 반도체 증착 및 무기물 전계발광 표시 소자(electroluminescent display device) 등에 폭넓게 연구되어 왔으며, 최근에는 다양한 물질 층을 증착하기 위해 원자층 증착(Atomic Layer Deposition:ALD)을 이용되고 있다.
Among them, atomic layer crystal growth (ALE) has been extensively studied in semiconductor deposition and inorganic electroluminescent display devices. In recent years, atomic layer deposition (ALD) has been used to deposit various material layers. .

원자층 증착법(ALD)은 두 가지 이상의 반응 원료 기체를 서로 순차적, 불연속적으로 반도체 기판 위에 공급하여 기판 표면에 박막을 증착하는 방법으로, 기판 표면에 흡착된 복수의 반응 기체들이 표면 반응을 통해 원자층 단위로 박막을 성장시키고, 이를 반복적으로 수행하여 원하는 두께의 박막을 형성한다.
Atomic layer deposition (ALD) is a method of depositing a thin film on the surface of a substrate by supplying two or more reactive gas sources sequentially and discontinuously to each other on a semiconductor substrate. A plurality of reactive gases adsorbed on the substrate surface react with atoms A thin film is grown on a layer-by-layer basis and repeatedly performed to form a thin film having a desired thickness.

기존의 기판 처리장치는 반응 기체들을 동시에 공급하여 박막을 형성하도록 설계되어 있어서 반응 기체를 불연속적으로 공급하여 박막을 형성하거나, 순차적으로 공급되는 반응 기체들을 반응기 내에서 기상 반응을 일으키지 않도록 퍼지를 통해 제거해 가며 반응시키는 방법에는 부적합하였다. 또한, 기체가 위에서 아래 방향으로 반도체 기판 위에 공급되는 증착 장치에서는 일반적으로 기판 위에 균일한 반응기체를 공급하기 위해 샤워헤드(shower head)를 이용한다. 그러나, 이러한 구조는 공정 기체의 흐름을 복잡하게 하고, 큰 크기의 반응기를 요구하므로 반응기체의 공급을 빠르게 전환하기 어려운 문제점들이 있다.Conventional substrate processing apparatuses are designed to simultaneously supply reactive gases to form a thin film, so that a thin film is formed by discontinuously supplying a reactive gas, or sequentially supplied reactive gases are purged to prevent a gas phase reaction in the reactor But it was not suitable for the method of removing and reacting. Further, in the vapor deposition apparatus in which the gas is supplied on the semiconductor substrate from the top to the bottom, generally, a shower head is used to supply a uniform reactive gas onto the substrate. However, such a structure complicates the flow of the process gas and requires a large-sized reactor, which makes it difficult to quickly switch the supply of the reactant gas.

한국공개특허공보 10-2010-0110822호. 2010. 10. 13.Korean Patent Publication No. 10-2010-0110822. October 13, 2010.

본 발명의 목적은 기판의 공정 균일도 및 생산성을 향상시키는 기판 처리장치 및 기판 처리방법을 제공하는 데 있다.It is an object of the present invention to provide a substrate processing apparatus and a substrate processing method for improving process uniformity and productivity of a substrate.

본 발명의 다른 목적은 회전 가능한 메인서셉터의 상부에 놓여진 기판상에 공급되는 가스의 유량을 조절하여 증착 두께를 제어하는 데 있다.Another object of the present invention is to control the deposition thickness by regulating the flow rate of the gas supplied onto the substrate placed on the rotatable main susceptor.

본 발명의 또 다른 목적들은 다음의 상세한 설명과 도면으로부터 보다 명확해질 것이다.Other objects of the present invention will become more apparent from the following detailed description and drawings.

본 발명의 일 실시예에 의하면, 기판 처리장치는, 일측에 형성된 통로를 통해 기판이 이송되며, 상기 기판에 대한 공정이 이루어지는 내부공간을 제공하는, 그리고 상기 기판을 향해 가스를 공급하는 공급포트가 상기 통로의 반대측에 형성된 챔버; 상기 내부공간에 설치되어 상기 내부공간과 대응되는 형상을 가지며, 개구가 형성되는 보조서셉터; 및 상기 개구에 삽입설치되어 상기 기판이 놓여진 상태에서 회전가능하며, 상기 기판을 가열하는 메인서셉터를 포함한다.According to an embodiment of the present invention, a substrate processing apparatus includes a supply port for supplying an internal space through which a substrate is transferred through a passage formed at one side and a process is performed on the substrate, and a supply port for supplying a gas toward the substrate A chamber formed on the opposite side of the passage; An auxiliary susceptor provided in the internal space and having a shape corresponding to the internal space and having an opening formed therein; And a main susceptor inserted into the opening and rotatable in a state where the substrate is placed, the main susceptor heating the substrate.

상기 기판 처리장치는, 상기 메인서셉터를 회전가능하도록 지지하는 회전축; 상기 회전축을 구동하는 구동모터; 상기 공급포트에 연결되어 상기 기판에 상기 가스를 공급하는 가스공급라인; 상기 가스공급라인 상에 설치되어 상기 가스를 개폐하는 개폐밸브; 및 상기 구동모터와 상기 개폐밸브에 각각 연결되며, 상기 구동모터 및 상기 개폐밸브를 각각 제어 가능한 제어기를 더 포함하되, 상기 제어기는 상기 개폐밸브를 개방하여 상기 가스를 공급하고, 상기 가스의 공급이 완료된 후 상기 개폐밸브가 폐쇄된 상태에서 상기 구동모터를 구동하여 상기 메인서셉터를 기설정된 각도만큼 회전할 수 있다.The substrate processing apparatus may further include: a rotating shaft for rotatably supporting the main susceptor; A driving motor for driving the rotating shaft; A gas supply line connected to the supply port to supply the gas to the substrate; An on-off valve installed on the gas supply line for opening and closing the gas; And a controller connected to the drive motor and the open / close valve, respectively, for controlling the drive motor and the open / close valve, wherein the controller opens the open / close valve to supply the gas, The main susceptor can be rotated by a predetermined angle by driving the driving motor in a state in which the opening / closing valve is closed after completion.

상기 가스공급라인은, 상기 내부공간에 공정가스를 공급하는 공정가스라인; 상기 내부공간에 퍼지가스를 공급하는 퍼지가스라인; 및 상기 내부공간에서 소스가스를 공급하는 소스가스라인을 구비하며, 상기 개폐밸브는, 상기 공정가스라인 상에 설치되어 상기 공정가스라인을 개폐하는 제1 개폐밸브; 상기 퍼지가스라인 상에 설치되어 상기 퍼지가스라인을 개폐하는 제2 개폐밸브; 및 상기 소스가스라인 상에 설치되어 상기 소스가스라인을 개폐하는 제3 개폐밸브를 구비하되, 상기 제어기는 상기 제2 및 제3 개폐밸브가 폐쇄된 상태에서 상기 제1 개폐밸브를 개방하여 상기 공정가스를 공급하고, 상기 공정가스의 공급이 완료된 후 상기 제1 및 제3 개폐밸브가 폐쇄된 상태에서 상기 제2 개폐밸브를 개방하여 상기 퍼지가스를 공급하고, 상기 퍼지가스의 공급이 완료된 후 상기 제1 및 제2 개폐밸브가 폐쇄된 상태에서 상기 제3 개폐밸브를 개방하여 상기 소스가스를 공급하고, 상기 소스가스의 공급이 완료된 후 상기 제1 내지 제3 개폐밸브가 폐쇄된 상태에서 상기 구동모터를 구동하여 상기 메인서셉터를 기설정된 각도만큼 회전할 수 있다.Wherein the gas supply line includes: a process gas line for supplying a process gas into the inner space; A purge gas line for supplying purge gas to the internal space; And a source gas line for supplying a source gas in the inner space, wherein the on / off valve includes: a first opening / closing valve installed on the process gas line to open / close the process gas line; A second on-off valve installed on the purge gas line for opening and closing the purge gas line; And a third on-off valve installed on the source gas line for opening and closing the source gas line, wherein the controller opens the first on-off valve in a state in which the second and third on- And after the supply of the process gas is completed, the first and third open / close valves are closed and the second open / close valve is opened to supply the purge gas. After completion of the supply of the purge gas, Closing the first and second open / close valves to open the third open / close valve to supply the source gas, and after the supply of the source gas is completed, the first to third open / The main susceptor can be rotated by a predetermined angle by driving the motor.

상기 기판 처리장치는, 상기 메인서셉터의 상부에 설치되어 상기 내부공간에 플라즈마 분위기를 생성하는 안테나; 및 상기 안테나에 고주파 전류를 공급하며, 상기 제어기에 연결되어 상기 제어기에 의해 제어되는 전원을 더 포함하며, 상기 제어기는 상기 소스가스가 공급되는 동안 상기 전원을 통해 상기 안테나에 전류를 공급할 수 있다.The substrate processing apparatus includes an antenna installed on the main susceptor to generate a plasma atmosphere in the internal space; And a power supply connected to the controller for supplying a high frequency current to the antenna and controlled by the controller, wherein the controller can supply current to the antenna through the power supply while the source gas is supplied.

상기 공급포트의 출구측에 위치하여 상기 공급포트와 상기 내부공간을 구획가능하며, 상기 공급포트와 상기 내부공간을 연통하여 상기 공급포트를 통해 공급된 상기 가스를 확산하는 복수의 확산홀들을 가지는 확산부재; 및 상기 확산부재를 승강 가능한 승강부재를 더 포함하되, 상기 확산부재는 상기 내부공간의 중앙부에 대응되는 중앙확산부재 및 상기 중앙확산부재의 양측에 설치되는 측면확산부재를 구비하며, 상기 승강부재는 상기 중앙확산부재를 승강가능한 중앙승강부재 및 상기 측면확산부재를 승강가능한 측면승강부재를 구비할 수 있다.A diffusion port having a plurality of diffusion holes which are located on an outlet side of the supply port and are capable of dividing the supply port and the inner space, and which communicate the supply port and the inner space to diffuse the gas supplied through the supply port; absence; And a lifting member capable of lifting the diffusion member, wherein the diffusion member includes a central diffusion member corresponding to a central portion of the inner space and a side diffusion member provided on both sides of the central diffusion member, A central elevating member capable of elevating and lowering the central diffusion member, and a side elevating member capable of elevating and lowering the side diffusion member.

상기 개구는 상기 서셉터를 중심으로 편심 배치될 수 있다.The opening may be eccentrically disposed about the susceptor.

본 발명의 일 실시예에 의하면, 기판 처리방법은, 직육면체 형상의 내부공간을 가지는 챔버의 내부에 하나 이상의 가스를 공급하여 상기 챔버 내부로 제공된 기판을 처리하는 방법에 있어서, 상기 내부공간에 설치된 보조서셉터의 개구 상에 상기 보조서셉터와 따로 회전가능한 메인서셉터를 설치하고 상기 메인서셉터의 상부에 상기 기판을 올려놓은 상태에서 상기 가스를 공급한 후, 상기 가스의 공급이 완료되면 상기 가스의 공급을 정지시킨 상태에서 상기 메인서셉터의 회전에 의해 상기 기판을 기설정된 각도만큼 회전한다.According to an embodiment of the present invention, there is provided a method for processing a substrate provided inside a chamber by supplying one or more gases into a chamber having a rectangular parallelepiped internal space, A main susceptor rotatable with the auxiliary susceptor is provided on the opening of the susceptor and the gas is supplied while the substrate is placed on the top of the main susceptor, The substrate is rotated by a predetermined angle by the rotation of the main susceptor.

상기 기판 처리방법은, 상기 공급포트와 상기 챔버의 내부를 구획가능한 확산부재를 상기 챔버의 일측에 형성된 공급포트의 출구측에 설치하되, 상기 확산부재 중 중앙에 위치하는 중앙확산부재를 하강하여 상기 중앙확산부재의 상부에 상기 챔버의 내부와 상기 공급포트를 연통하는 공간을 형성하고, 상기 확산부재 중 상기 중앙확산부재의 양측에 설치된 측면확산부재를 통해 상기 챔버의 내부와 상기 공급포트를 구획한 상태에서 상기 측면확산부재의 확산홀들을 통해 상기 챔버의 내부와 상기 공급포트를 연통하여 상기 공간 및 상기 확산홀들을 통해 상기 가스를 공급할 수 있다.The substrate processing method is characterized in that a diffusion member capable of dividing the inside of the supply port and the chamber is provided at an outlet side of a supply port formed at one side of the chamber, A space for communicating the inside of the chamber with the supply port is formed in the upper portion of the central diffusion member, and the inside of the chamber and the supply port are partitioned through a side diffusion member provided on both sides of the central diffusion member The gas can be supplied through the space and the diffusion holes through the diffusion holes of the side diffusion member with the interior of the chamber and the supply port.

상기 가스를 공급하는 방법은, 공정가스를 공급하는 단계; 상기 공정가스의 공급을 중단하고 퍼지가스를 공급하여 상기 챔버의 내부를 퍼지하는 단계; 상기 퍼지가스의 공급을 중단하고 소스가스를 공급하는 단계를 포함할 수 있다.The method of supplying the gas includes: supplying a process gas; Stopping the supply of the process gas and supplying a purge gas to purge the interior of the chamber; And stopping the supply of the purge gas and supplying the source gas.

상기 가스를 공급하는 방법은, 상기 소스가스가 공급되는 동안 상기 챔버의 내부에 플라즈마 분위기를 생성하는 단계를 더 포함할 수 있다.The method of supplying the gas may further include generating a plasma atmosphere inside the chamber while the source gas is supplied.

상기 가스를 공급하는 방법은, 상기 소스가스의 공급을 중단하고 상기 퍼지가스를 공급하여 상기 챔버의 내부를 퍼지하는 단계를 더 포함할 수 있다.The method of supplying the gas may further include stopping the supply of the source gas and supplying the purge gas to purge the inside of the chamber.

본 발명의 일 실시예에 의하면, 보조서셉터의 내면에 형성된 개구에 삽입설치되어 보조서셉터와 따로 회전가능한 메인서셉터를 이용하여 기판을 회전할 수 있다. 또한, 기판이 인입되는 통로의 반대측으로 공급되는 가스를 확산 가능하며, 길이방향을 따로 복수로 분할되어 각각 따로 승강 가능한 확산부재 또는 특정한 형상을 가지는 배기홀을 통해 기판상에 공급되는 가스의 유량을 조절할 수 있다. 따라서, 작업자는 기판에 증착 두께 및 두께 분포를 용이하게 조절 가능함으로써 기판의 품질 및 생산성을 향상시킬 수 있다.According to an embodiment of the present invention, the substrate can be rotated using a main susceptor inserted into an opening formed in the inner surface of the auxiliary susceptor and rotatable independently of the auxiliary susceptor. The flow rate of the gas supplied onto the substrate through the diffusion member capable of diffusing the gas supplied to the opposite side of the passage through which the substrate is introduced and divided into plural parts in the lengthwise direction, Can be adjusted. Thus, the operator can easily adjust the deposition thickness and the thickness distribution on the substrate, thereby improving the quality and productivity of the substrate.

도 1은 본 발명의 일 실시예에 따른 반도체 제조설비를 개략적으로 나타내는 도면이다.
도 2는 도 1에 도시한 기판 처리장치를 나타내는 도면이다.
도 3은 도 2에 도시한 기판 처리장치의 분리사시도이다.
도 4 및 도 5는 도 2에 도시한 배기부재의 대기위치 및 공정위치를 나타내는 도면이다.
도 6은 도 2에 도시한 서셉터의 가열영역과 예열영역을 나타내는 도면이다.
도 7은 도 6에 도시한 가열영역과 예열영역의 변형예이다.
도 8 및 도 9는 도 2에 도시한 기판 처리장치의 가스 유동상태를 나타내는 도면이다.
도 10 및 도 11은 도 2에 도시한 기판 처리장치의 공정 순서를 나타내는 예시도이다.
1 is a schematic view of a semiconductor manufacturing facility according to an embodiment of the present invention.
Fig. 2 is a view showing the substrate processing apparatus shown in Fig. 1. Fig.
3 is an exploded perspective view of the substrate processing apparatus shown in Fig.
Fig. 4 and Fig. 5 are views showing the waiting position and the process position of the exhaust member shown in Fig. 2;
6 is a view showing a heating region and a preheating region of the susceptor shown in Fig.
Fig. 7 is a modification of the heating area and the preheating area shown in Fig.
Figs. 8 and 9 are views showing gas flow states of the substrate processing apparatus shown in Fig. 2. Fig.
Figs. 10 and 11 are illustrations showing the process sequence of the substrate processing apparatus shown in Fig. 2. Fig.

본 발명에 대한 이해를 돕기 위하여 이하, 본 발명의 실시예들은 첨부된 도 1 내지 도 11을 참고하여 더욱 상세히 설명한다. 이하 설명되는 실시예들은 본 발명의 기술적인 특징을 이해하기에 가장 적합한 실시예들을 기초로 하여 설명될 것이며, 설명되는 실시예들에 의해 본 발명의 기술적인 특징이 제한되는 것이 아니라, 이하, 설명되는 실시예들과 같이 본 발명이 구현될 수 있다는 것을 예시한다.
In order to facilitate understanding of the present invention, embodiments of the present invention will be described in detail with reference to the accompanying drawings. The embodiments described below will be explained based on the embodiments best suited to understand the technical characteristics of the present invention, and the technical features of the present invention are not limited by the embodiments described, And that the present invention may be implemented with other embodiments.

따라서, 본 발명은 아래 설명된 실시예들을 통해 본 발명의 기술 범위 내에서 다양한 변형 실시가 가능하며, 이러한 변형 실시예는 본 발명의 기술 범위 내에 속한다 할 것이다. 그리고, 이하 설명되는 실시예의 이해를 돕기 위하여 첨부된 도면에 기재된 부호에 있어서, 각 실시예에서 동일한 작용을 하게 되는 구성요소 중 관련된 구성요소는 동일 또는 연장 선상의 숫자로 표기하였다. 한편, 이하에서는 기판(W)를 예로 들어 설명하나, 본 발명은 다양한 피처리체에 응용될 수 있다.
Therefore, it is intended that the present invention covers the modifications and variations of this invention provided they come within the scope of the appended claims and their equivalents. In order to facilitate an understanding of the embodiments described below, in the reference numerals shown in the accompanying drawings, among the constituent elements which perform the same function in the respective embodiments, the related constituent elements are indicated by the same or an extension line number. Although the substrate W will be described below as an example, the present invention can be applied to various objects to be processed.

도 1은 본 발명의 일 실시예에 따른 반도체 제조설비를 개략적으로 나타내는 도면이다. 도 1에 도시한 바와 같이, 일반적으로 반도체 제조설비(100)는 공정설비(120) 및 설비 전방 단부 모듈(110)(Equipment Front End Module : EFEM)을 포함한다. 선비 전방 단부 모듈(110)은 공정설비(120)의 전방에 장착되어 기판(W)들이 수용된 용기와 공정설비 간에 기판(W)을 이송한다.
1 is a schematic view of a semiconductor manufacturing facility according to an embodiment of the present invention. As shown in FIG. 1, the semiconductor manufacturing facility 100 generally includes a process facility 120 and an Equipment Front End Module (EFEM) 110. The front end front end module 110 is mounted in front of the process facility 120 to transfer the substrate W between the container containing the substrates W and the process facility.

기판(W)은 공정설비(120) 내에서 소정의 공정이 수행된다. 공정설비(120)는 이송챔버(130), 로드록 챔버(140) 및 공정을 수행하는 복수의 기판 처리장치(10)로 구성될 수 있다. 이송챔버(130)는 상부에서 바라볼 때 대체로 다각형상을 가지며, 로드록 챔버(140) 및 기판 처리장치(10)들은 이송챔버(130)의 측면에 각각 설치된다. 이송챔버(130)는 사각형상일 수 있으며, 이송챔버(130)의 측면에 각각 2개의 기판 처리장치(10)가 배치될 수 있다.
The substrate W is subjected to a predetermined process in the process facility 120. The process facility 120 may comprise a transfer chamber 130, a load lock chamber 140, and a plurality of substrate processing apparatuses 10 for performing the process. The transfer chamber 130 has a generally polygonal shape when viewed from the top and the load lock chamber 140 and the substrate processing apparatuses 10 are respectively installed on the sides of the transfer chamber 130. The transfer chamber 130 may have a rectangular shape, and two substrate processing apparatuses 10 may be disposed on the side of the transfer chamber 130, respectively.

로드록 챔버(140)와 이송 챔버(130), 그리고 로드록 챔버(140)와 설비 전방 단부 모듈(110) 사이에는 게이트 밸브(도시안함)가 설치될 수 있으며, 이송 챔버(130)는 기판 핸들러(135)(이송로봇)를 구비한다. 기판 핸들러(135)는 로드록 챔버(140)와 기판처리장치(10)들 사이에서 기판(W)을 이송한다. 예를 들어, 이송 챔버(130) 내에 구비된 기판 핸들러(135)는 제1 및 제2 블레이드를 통해 이송챔버(130)의 측면에 각각 배치된 기판 처리장치(10)에 동시에 기판(W)을 로딩할 수 있다.
A gate valve (not shown) may be installed between the load lock chamber 140 and the transfer chamber 130 and between the load lock chamber 140 and the apparatus front end module 110, (Transfer robot). The substrate handler 135 transfers the substrate W between the load lock chamber 140 and the substrate processing apparatuses 10. For example, the substrate handler 135 provided in the transfer chamber 130 simultaneously transfers the substrate W to the substrate processing apparatus 10 disposed on the side of the transfer chamber 130 through the first and second blades, respectively Can be loaded.

도 2는 도 1에 도시한 기판 처리장치를 나타내는 도면이며, 도 3은 도 2에 도시한 기판 처리장치의 분리사시도이다. 도 2 및 도 3에 도시한 바와 같이, 챔버(20)는 일측에 형성된 통로(22)를 통해 기판(W)이 이송되어 기판(W)에 대한 공정을 수행할 수 있다. 챔버(20)는 개방된 상부를 가지며, 챔버덮개(12)는 챔버(20)의 개방된 상부에 설치된다. 챔버덮개(12)는 내면에 제1 설치홈(13)이 형성되며, 인슐레이터(15)는 제1 설치홈(13)에 삽입 설치된다. 인슐레이터(15)는 내면에 제2 설치홈(16)이 형성되며, 제2 설치홈(16)에는 탑 일렉트로드(18)가 설치되어 챔버(20)의 내부공간(3)에 플라즈마를 발생할 수 있다. 탑 일렉트로드(18)는 챔버의 내부공간에 플라즈마 분위기를 형성하기 위하여 RF전원(19)과 연결될 수 있다.
Fig. 2 is a view showing the substrate processing apparatus shown in Fig. 1, and Fig. 3 is an exploded perspective view of the substrate processing apparatus shown in Fig. As shown in FIGS. 2 and 3, the chamber 20 can transfer the substrate W through the passage 22 formed at one side to perform the process on the substrate W. The chamber 20 has an open top and a chamber lid 12 is installed at the open top of the chamber 20. The chamber cover 12 has a first installation groove 13 formed on the inner surface thereof and an insulator 15 inserted into the first installation groove 13. The insulator 15 has a second mounting groove 16 formed on the inner surface thereof and a second mounting groove 16 provided with a top electrode 18 for generating plasma in the inner space 3 of the chamber 20 have. The topelectrode 18 may be connected to an RF power supply 19 to form a plasma atmosphere in the interior space of the chamber.

탑 일렉트로드(18)의 하부면은 보조서셉터(30)의 상부면과 나란하며, 내부에 안테나(17)가 설치되어 RF전원(19)로부터 고주파 전류가 공급된다. 챔버덮개(12), 인슐레이터(15) 및 탑 일렉트로드(18)에 의해 챔버(20)의 개방된 상부는 폐쇄하여 내부공간(3)을 형성할 수 있으며, 챔버덮개(12)는 챔버(20)와 힌지체결되어 챔버(20)의 보수 시, 챔버(20)의 상부를 개방할 수 있다.
The lower surface of the topelectrode 18 is aligned with the upper surface of the auxiliary susceptor 30 and an antenna 17 is provided therein to supply a high frequency current from the RF power supply 19. The open top of the chamber 20 can be closed by the chamber lid 12, the insulator 15 and the top electrode 18 to form the internal space 3 and the chamber lid 12 is closed by the chamber 20 And the upper portion of the chamber 20 can be opened when the chamber 20 is being repaired.

챔버(20)는 기판(W)에 대한 공정이 이루어지는 내부공간(3)을 가지며, 내부공간(3)은 직육면체 형상일 수 있다. 보조서셉터(30)는 내부공간(3)에 설치되며, 보조서셉터(30)는 내부공간(3)과 대응되는 직육면체 형상을 가질 수 있다. 보조서셉터(30)는 통로에 근접하도록 편심 배치되는 개구(36)가 형성되며, 개구(36) 상에는 메인서셉터(80)가 삽입 설치될 수 있다.
The chamber 20 has an internal space 3 for processing the substrate W, and the internal space 3 may have a rectangular parallelepiped shape. The auxiliary susceptor 30 may be provided in the inner space 3 and the auxiliary susceptor 30 may have a rectangular parallelepiped shape corresponding to the inner space 3. [ The auxiliary susceptor 30 is provided with an opening 36 arranged eccentrically close to the passage and the main susceptor 80 can be inserted into the opening 36. [

메인서셉터(80)는 기판(W)의 하부에 배치되어 상부에 로딩된 기판(W)을 가열하며, 기판(W)과 대응되는 형상을 가질 수 있다. 메인서셉터(30)의 상부에는 기판(W)이 로딩되는 안착홈(31)이 형성될 수 있으며, 기판(W)은 리프트핀(32)에 의해 기판은 로딩되어 안착홈(31)에 놓여질 수 있다. 메인서셉터(80)의 하부에는 메인서셉터(80)를 지지한 상태로 메인서셉터(80)를 회전하는 회전축(85)이 연결된다. 회전축(85)은 회전축(85)을 회전하는 구동모터(88)와 연결되어 구동모터(88)의 구동력에 의해 회전축(85) 및 메인서셉터(80)는 함께 회전할 수 있다. 메인서셉터(80)는 내부에 열선(84)이 구비되는 히팅플레이트(81)와 히팅플레이트(81)의 상부에 설치되어 히팅플레이트(81)로부터 발생하는 열을 균일하게 기판(W)에 전달하기 위해 확산플레이트(83)를 구비할 수 있다.
The main susceptor 80 is disposed below the substrate W to heat the substrate W loaded thereon and may have a shape corresponding to the substrate W. [ A mounting groove 31 in which the substrate W is loaded may be formed on the main susceptor 30 and the substrate W may be loaded on the mounting groove 31 by the lift pins 32 . A rotating shaft 85 for rotating the main susceptor 80 is connected to the lower portion of the main susceptor 80 while the main susceptor 80 is supported. The rotating shaft 85 is connected to a driving motor 88 that rotates the rotating shaft 85 so that the rotating shaft 85 and the main susceptor 80 can rotate together by the driving force of the driving motor 88. The main susceptor 80 includes a heating plate 81 having a heating wire 84 disposed therein and a heating plate 81 disposed above the heating plate 81 to uniformly transmit heat generated from the heating plate 81 to the substrate W. A diffusion plate 83 may be provided.

한편, 보조서셉터(30)는 메인서셉터(80)의 열팽창계수보다 작은 소재일 수 있다. 예를 들어, 보조서셉터(30)는 AlN(질화알루미늄: 열팽창계수 = 4.5-6/℃)일 수 있으며, 메인서셉터(80)는 Al(알루미늄: 열팽창계수 = 23.8-6/℃)일 수 있다. 따라서, 후술하는 보조서셉터(30)의 예열영역(39)은 메인서셉터(80)에 의해 형성되는 가열영역(38)보다 높은 온도로 기판(W)을 가열함에 따른 열팽창에 의한 파손 및 공정 진행중에 발생하는 불순물의 발생을 방지할 수 있다.
On the other hand, the auxiliary susceptor 30 may be made of a material smaller than the thermal expansion coefficient of the main susceptor 80. For example, the auxiliary susceptor 30 may be AlN (aluminum nitride: thermal expansion coefficient = 4.5 -6 / C) and the main susceptor 80 may be Al (aluminum: thermal expansion coefficient = 23.8 -6 / . Therefore, the preheating region 39 of the auxiliary susceptor 30, which will be described later, breaks down due to thermal expansion caused by heating the substrate W to a temperature higher than the heating region 38 formed by the main susceptor 80, It is possible to prevent the occurrence of impurities generated during the process.

통로(22)의 반대측에는 하나 이상의 공급포트(25)가 형성되며, 공급포트(25)를 통해 공정가스는 챔버(20)의 내부로 공급될 수 있다. 가스공급라인(70)은 공급포트(25)에 연결되어 기판(W)에 가스를 공급할 수 있다. 가스공급라인(70)은 분기된 복수의 가스공급라인과 연결될 수 있으며, 분기된 가스라인들을 통해 챔버(20)의 내부에 각각의 가스를 공급할 수 있다.
One or more supply ports 25 are formed on the opposite side of the passage 22 and the process gas can be supplied to the interior of the chamber 20 through the supply port 25. The gas supply line 70 may be connected to the supply port 25 to supply gas to the substrate W. [ The gas supply line 70 may be connected to a plurality of branched gas supply lines and may supply respective gases into the interior of the chamber 20 through branched gas lines.

예를 들어, 가스공급라인(70)은 공정가스를 공급하는 공정가스라인(71), 퍼지가스를 공급하는 퍼지가스라인(74) 및 플라즈마 소스가스를 공급하는 소스가스라인(77)을 구비할 수 있으며, 각각의 가스라인(71, 74, 77) 상에는 제1 내지 제3 개폐밸브(72, 75, 78)가 각각 설치되어 공정가스, 퍼지가스 및 소스가스의 유량을 조절 및 개폐할 수 있다.
For example, the gas supply line 70 may include a process gas line 71 for supplying a process gas, a purge gas line 74 for supplying purge gas, and a source gas line 77 for supplying a plasma source gas The first to third open / close valves 72, 75 and 78 are respectively installed on the respective gas lines 71, 74 and 77 to regulate and open / close the flow rates of the process gas, the purge gas and the source gas .

제어기(90)는 제1 내지 제3 개폐밸브(72, 75, 78) 및 회전축(85)을 구동하는 구동모터(88)와 연결될 수 있으며, 제어기(90)는 제1 내지 제3 개폐밸브(72, 75, 78)를 제어하여 챔버(20)의 내부공간(3)에 기설정된 공정주기에 따라 각각의 가스를 순차적 또는 불연속적으로 공급할 수 있으며, 구동모터(88)를 구동하여 메인서셉터(80)를 회전할 수 있다. 또한, 제어기(90)는 RF전원(19)과 연결될 수 있으며, 플라즈마 소스가스를 챔버(20)의 내부에 공급할 경우, RF전원(19)을 제어하여 플라즈마 분위기로 형성할 수 있다.
The controller 90 may be connected to the first to third open / close valves 72, 75, 78 and the drive motor 88 for driving the rotary shaft 85. The controller 90 may be connected to the first to third open / 72, 75 and 78 to sequentially or discontinuously supply the respective gases in accordance with a predetermined process cycle in the internal space 3 of the chamber 20, and drives the drive motor 88, (80). The controller 90 may be connected to the RF power source 19. When the plasma source gas is supplied into the chamber 20, the RF power source 19 may be controlled to form a plasma atmosphere.

확산부재(40)는 서셉터(30)와 챔버(20)의 내벽 사이에 설치되며, 공급포트(25)의 전방에 배치되어 공급포트(25)를 통해 공급된 공정가스를 확산하는 복수의 확산홀(45)들을 가진다. 확산부재(40)는 확산몸체(42)와 확산판(44)을 구비하며, 확산몸체(42)는 서셉터(30)와 챔버(20)의 내벽 사이의 이격된 공간에 채워져 서셉터(30)의 측면 및 챔버(20)의 내벽과 접한다. 확산판(44)은 확산몸체(42)의 상부면으로부터 돌출되며, 인슐레이터(15)의 하부면과 접한다. 확산홀(45)은 확산판(44)에 형성된다.
The diffusion member 40 is disposed between the susceptor 30 and the inner wall of the chamber 20 and is disposed in front of the supply port 25 to supply a plurality of diffusions for diffusing the process gas supplied through the supply port 25, Holes (45). The diffusion member 40 has a diffusion body 42 and a diffusion plate 44 and the diffusion body 42 is filled in the spaced space between the susceptor 30 and the inner wall of the chamber 20, ) And the inner wall of the chamber 20. The diffusion plate 44 protrudes from the upper surface of the diffusion body 42 and abuts the lower surface of the insulator 15. The diffusion holes 45 are formed in the diffusion plate 44.

확산부재(40)는 길이방향(또는 공정가스의 이동방향과 대체로 수직한 방향)을 따라 각각 복수로 분할 배치될 수 있다. 중앙부에 위치한 중앙확산몸체(42')의 하부에는 제1 실린더로드(47')가 연결될 수 있으며, 제1 실린더로드(47')는 제1 실린더(48')가 연결되어 제1 실린더(48')를 구동함으로써 중앙부에 위치한 중앙확산몸체(42')는 승강할 수 있다. 한편, 양 측면에 위치한 측면확산몸체(42") 또한 각각 실린더로드(47") 및 실린더(48")와 연결되어 각각 따로 승강할 수 있다.
The diffusion member 40 may be divided into a plurality of portions along the longitudinal direction (or a direction substantially perpendicular to the moving direction of the process gas). A first cylinder rod 47 'may be connected to a lower portion of the central diffusion body 42' located at the center and the first cylinder rod 47 'may be connected to the first cylinder 48'', The central diffusion body 42' located at the central portion can move up and down. On the other hand, the side diffusion bodies 42 "located on both sides are also connected to the cylinder rod 47" and the cylinder 48 "

또한, 배기포트(28)는 공급포트(25)의 반대측에 하나 이상 형성되며, 기판(W)을 통과한 미반응가스 및 반응부산물 등을 배기한다. 배기부재(50)는 서셉터(30)와 통로(22)가 형성된 챔버(20)의 내벽 사이에 설치되어 승강 가능하며, 기판(W)을 통과한 공정가스의 유동 흐름을 유지하여 배기가능하도록 복수의 배기홀(55)들이 형성된다. 확산부재(40)와 배기부재(50)는 서로 대칭형상을 가질 수 있으며, 확산홀(45)들과 배기홀(55)들은 서로 나란하게 형성될 수 있다.
At least one exhaust port 28 is formed on the opposite side of the supply port 25 to exhaust unreacted gas and reaction by-products that have passed through the substrate W. [ The exhaust member 50 is installed between the susceptor 30 and the inner wall of the chamber 20 in which the passage 22 is formed and is capable of ascending and descending so as to maintain the flow of the process gas passing through the substrate W A plurality of exhaust holes 55 are formed. The diffusion member 40 and the exhaust member 50 may have a symmetrical shape, and the diffusion holes 45 and the exhaust holes 55 may be formed in parallel with each other.

배기부재(50)는 배기몸체(52)와 배기판(54)을 구비하며, 배기몸체(52)는 서셉터(30)와 챔버(20)의 내벽 사이의 이격된 공간에 설치되며, 서셉터(30)의 측면과 접한 상태에서 챔버(20)의 내벽으로부터 이격된다. 배기포트(28)의 입구측(또는 상단)은 배기몸체(42)와 챔버(20)의 내벽 사이에 형성된 이격공간의 바닥면에 위치한다.
The exhaust member 50 includes an exhaust body 52 and an exhaust plate 54. The exhaust body 52 is installed in a spaced space between the susceptor 30 and the inner wall of the chamber 20, 30 from the inner wall of the chamber 20 in contact therewith. The inlet side (or top) of the exhaust port 28 is located on the bottom surface of the spacing space formed between the exhaust body 42 and the inner wall of the chamber 20.

예를 들어, 제2 실린더로드(57)는 배기부재(50)의 하부에 연결되며, 제2 실린더로드(57)는 제2 실린더(58)에 의해 승강하여 배기부재(50)와 함께 승강할 수 있다. 배기부재(50)와 확산부재(40)는 서로 대칭구조를 가지며, 배기홀(55) 및 확산홀(45)을 배기판(54) 및 확산판(44)의 상부에 기설정된 간격으로 복수로 형성된다. 배기홀(55)들 및 확산홀(45)들은 원형 또는 장공 형상을 가질 수 있다.
For example, the second cylinder rod 57 is connected to the lower portion of the exhaust member 50, and the second cylinder rod 57 is moved up and down by the second cylinder 58 to move up and down together with the exhaust member 50 . The exhaust member 50 and the diffusion member 40 are symmetrical to each other and a plurality of exhaust holes 55 and diffusion holes 45 are formed at predetermined intervals on the exhaust plate 54 and the diffusion plate 44 do. The exhaust holes 55 and the diffusion holes 45 may have a circular or elongated shape.

확산부재(40) 및 배기부재(50)는 각각 보조서셉터(30)와 챔버(20)의 내벽 사이의 이격공간에 채워지며, 상부에 설치된 챔버덮개(12), 인슐레이터(15) 및 탑 일렉트로드(18)에 의해 챔버(20)의 상부는 폐쇄함으로써 챔버(20)의 내부공간(3)을 구획하여 공정가스와 기판(W)이 반응하는 반응공간(5)을 형성한다.
The diffusion member 40 and the exhaust member 50 are respectively filled in the spacing spaces between the auxiliary susceptor 30 and the inner wall of the chamber 20 and the chamber lid 12, the insulator 15, The upper portion of the chamber 20 is closed by the chamber 18 to partition the inner space 3 of the chamber 20 to form a reaction space 5 in which the process gas and the substrate W react.

이때, 확산부재(40) 및 배기부재(50)는 인접한 챔버(20)의 내벽과 수직하게 배치되며, 챔버(20)의 내벽이 공정가스의 흐름과 대체로 나란하게 배치되므로 반응공간(5)은 직육면체 형상의 단면을 가진다. 특히, 배기부재(50)는 통로(22) 측에 배치되므로 통로(22)로 인한 반응공간(5)의 비대칭성을 제거할 수 있으며, 통로(22)에 의해 발생하는 공정불균일을 방지할 수 있다.
Since the diffusion member 40 and the exhaust member 50 are disposed perpendicular to the inner wall of the adjacent chamber 20 and the inner wall of the chamber 20 is disposed substantially in parallel with the flow of the process gas, And has a rectangular parallelepipedal cross-section. Particularly, since the exhaust member 50 is disposed on the side of the passage 22, it is possible to eliminate the asymmetry of the reaction space 5 due to the passage 22 and to prevent unevenness of the process caused by the passage 22 have.

다시 말해, 통로(22)는 챔버(20)의 일측에 형성되어 기판(W)이 통로(22)를 통해 챔버(20)의 내부를 출입할 수 있으나, 통로(22)로 인해 챔버(20) 내부의 공간은 비대칭이 불가피한 한계를 가진다. 그러나, 배기판(50)을 통해 통로(22)를 반응공간(5)으로부터 구획함으로써 반응공간(5)은 대칭성을 가질 수 있다.
In other words, the passageway 22 is formed at one side of the chamber 20 so that the substrate W can enter and exit the interior of the chamber 20 through the passageway 22, The inner space has an inevitable limit of asymmetry. However, by partitioning the passage 22 from the reaction space 5 through the exhaust plate 50, the reaction space 5 can have symmetry.

즉, 공정가스는 챔버(20)의 반응공간(5) 내에 공급포트(25)를 통해 챔버(20) 내부로 공급되며, 공급포트(25)를 통해 챔버(20) 내부로 공급된 공정가스는 확산판(44)에 형성된 확산홀(45)들을 통과함으로써 확산된다. 확산된 공정가스는 반응공간(5) 내의 기판(W)을 통과하며, 통과한 미반응가스 및 가스부산물은 배기판(54)에 형성된 배기홀(55)들 및 배기포트(28)를 통해 배기된다. 따라서, 배기판(54)과 확산판(44)에 각각 형성된 배기홀(55)들 및 확산홀(45)들을 통해 공정가스의 층류를 유지하여 기판(W) 전면에 균일한 공정가스를 공급할 수 있다.
That is, the process gas is supplied into the chamber 20 through the supply port 25 in the reaction space 5 of the chamber 20, and the process gas supplied into the chamber 20 through the supply port 25 And diffused by passing through the diffusion holes 45 formed in the diffusion plate 44. The diffused process gas passes through the substrate W in the reaction space 5 and the unreacted gas and the gas by-products are exhausted through the exhaust holes 55 formed in the exhaust plate 54 and the exhaust port 28 . Accordingly, it is possible to maintain a laminar flow of the process gas through the exhaust holes 55 and the diffusion holes 45 formed in the exhaust plate 54 and the diffusion plate 44, and to supply a uniform process gas to the entire surface of the substrate W .

이때, 확산몸체(42)의 상부면은 보조서셉터(30)의 상부면보다 낮게 배치되므로, 반응공간(5) 중 확산몸체(42)의 상부는 보조서셉터(30)의 상부보다 큰 높이를 가지며, 이로 인해 확산홀(45)을 통과한 공정가스는 확산몸체(42)의 상부에서 확산될 수 있는 공간을 가질 수 있다. 마찬가지로, 배기몸체(52)의 상부면은 보조서셉터(30)의 상부면보다 낮게 배치되므로, 반응공간(5) 중 배기몸체(52)의 상부는 서셉터(30)의 상부보다 큰 높이를 가지며, 이로 인해 보조서셉터(30)의 상부를 통과한 공정가스는 배기몸체(52)의 상부에서 유동할 수 있는 공간을 가질 수 있다. 따라서, 확산부재(40)를 통해 공급되어 배기부재(50)를 통해 배기되는 공정가스는 확산부재(40) 또는 배기부재(50)의 길이방향을 따라 위치에 관계없이 균일한 흐름을 나타낼 수 있다.
Since the upper surface of the diffusion body 42 is disposed lower than the upper surface of the auxiliary susceptor 30, the upper portion of the diffusion body 42 in the reaction space 5 has a height larger than that of the upper portion of the auxiliary susceptor 30 So that the process gas that has passed through the diffusion hole 45 can have a space that can be diffused at the upper portion of the diffusion body 42. Likewise, since the upper surface of the exhaust body 52 is disposed lower than the upper surface of the auxiliary susceptor 30, the upper portion of the exhaust body 52 in the reaction space 5 has a height larger than the upper portion of the susceptor 30 So that the process gas passing through the upper portion of the auxiliary susceptor 30 can have a space capable of flowing in the upper portion of the exhaust body 52. The process gas supplied through the diffusion member 40 and exhausted through the exhaust member 50 can exhibit a uniform flow regardless of the position along the longitudinal direction of the diffusion member 40 or the exhaust member 50 .

또한, 공급포트(25) 상에는 보조확산판(60)이 설치될 수 있다. 보조확산판(60)은 확산판(40)과 기설정된 간격으로 이격 배치되며, 확산판(44)과 마찬가지로 복수의 보조확산홀(65)들이 형성된다. 보조확산홀(65)과 확산홀(45)은 서로 어긋나게 형성되어 1차적으로 보조확산홀(65)을 통과한 공정가스는 확산홀(45)을 통해 재차 확산됨으로써 공정가스는 기판(W)상에 일정한 층류를 형성하여 유동함으로써 균일한 공정가스를 공급할 수 있다.
Further, an auxiliary diffusion plate 60 may be provided on the supply port 25. The auxiliary diffusion plate 60 is spaced apart from the diffusion plate 40 by a predetermined distance and a plurality of auxiliary diffusion holes 65 are formed in the same manner as the diffusion plate 44. The auxiliary diffusing hole 65 and the diffusing hole 45 are formed to be shifted from each other so that the process gas that has passed through the auxiliary diffusing hole 65 is diffused again through the diffusion hole 45, So that a uniform process gas can be supplied.

도 4 및 도 5는 도 2에 도시한 배기판의 대기위치 및 공정위치를 나타내는 도면이다. 배기판(50)은 하부에 제2 실린더로드(57)가 연결되며, 제2 실린더로드(57)는 제2 실린더(58)에 의해 승강할 수 있다. 도 4에 도시한 바와 같이, 배기판(50)은 통로(22)의 전방에 배치되므로 기판(W)이 챔버(20) 내로 로딩될 경우, 제2 실린더로드(57)를 하강하여 배기판(50)을 함께 하강('대기위치')함으로써 기판(W)의 이동경로를 제공할 수 있다.
Figs. 4 and 5 are views showing a standby position and a process position of the exhaust plate shown in Fig. 2. Fig. The exhaust plate 50 is connected to a second cylinder rod 57 at a lower portion thereof and the second cylinder rod 57 can be moved up and down by a second cylinder 58. The exhaust plate 50 is disposed in front of the passage 22 so that the second cylinder rod 57 is lowered to the exhaust plate 50 when the substrate W is loaded into the chamber 20, (The " standby position ") together.

또한, 도 5에 도시한 바와 같이, 기판(W)이 로딩된 이후, 기판(W)에 대한 공정을 수행할 경우에는 통로(22)의 외측에 구비된 게이트밸브를 폐쇄하며, 제2 실린더로드(57)를 상승하여 배기판(50)을 함께 상승('공정위치')할 수 있다. 따라서, 공정 진행시, 보조확산판(60)과 확산판(44) 및 배기판(54)은 대체로 동일한 높이에 배치되며, 보조확산판(60)과 확산판(44)을 통해 분산된 공정가스는 기판(W)을 통과하여 배기판(54)으로 층류를 유지할 수 있다.
5, when the substrate W is to be processed after the substrate W is loaded, the gate valve provided outside the passage 22 is closed, and the second cylinder rod (The " process position "). The auxiliary diffuser plate 60 and the diffuser plate 44 and the exhaust plate 54 are disposed at substantially the same height and the process gas dispersed through the auxiliary diffuser plate 60 and the diffuser plate 44 The laminar flow can be maintained by the exhaust plate 54 through the substrate W. [

도 6은 도 2에 도시한 서셉터의 가열영역과 예열영역을 나타내는 도면이며, 도 7은 도 6에 도시한 가열영역과 예열영역의 변형예이다. 도 6에 도시한 바와 같이, 메인서셉터(80) 내부에는 기판(W)을 가열하는 가열영역(38)을 가지며, 보조서셉터(30)는 공급포트(25)를 통해 유입된 가스를 예열하는 예열영역(39)을 가진다. 가열영역(38)은 기판(W)이 놓여지는 안착홈(31)과 대응될 수 있으며, 가열영역(38)은 공급포트(25)보다 통로(22)에 근접하여 배치된다.
Fig. 6 is a view showing a heating region and a preheating region of the susceptor shown in Fig. 2, and Fig. 7 is a modification of the heating region and the preheating region shown in Fig. 6, the main susceptor 80 has a heating region 38 for heating the substrate W, and the auxiliary susceptor 30 preheats the gas introduced through the supply port 25, The preheating region 39 has a preheating region 39 as shown in Fig. The heating zone 38 may correspond to the seating groove 31 in which the substrate W is placed and the heating zone 38 is disposed closer to the passageway 22 than the feed port 25.

다시 말해, 가열영역(38)의 중심(C)과 통로(22) 사이의 거리(d1)는 가열영역(38)의 중심(C)과 공급포트(25) 사이의 거리(d2)보다 크다. 가열영역(38)이 공급포트(25)보다 통로(22)에 근접 배치됨에 따라 공급포트(25)를 통해 공급된 공정가스가 보조확산홀(65) 및 확산홀(45)을 순차적으로 통과하여 기판(W)을 향해 층류를 형성하는 용이한 거리와 시간을 제공할 수 있다.
In other words, the distance d 1 between the center C of the heating zone 38 and the passage 22 is less than the distance d 2 between the center C of the heating zone 38 and the supply port 25 Big. The process gas supplied through the supply port 25 sequentially passes through the auxiliary diffusion hole 65 and the diffusion hole 45 as the heating region 38 is disposed closer to the passage 22 than the supply port 25 It is possible to provide an easy distance and time for forming a laminar flow toward the substrate W. [

반면, 도 7에 도시한 바와 같이, 예열영역(39')은 가열영역(38')을 제외한 보조서셉터(30) 전체에 걸쳐 형성될 수 있다. 즉, 보조서셉터(30)는 예열영역(39')을 가지며, 메인서셉터(80)는 가열영역(38')을 가질 수 있다. 보조서셉터(30) 및 메인서셉터(80)는 각각 히터(열선)(37')이 구비될 수 있으며, 보조서셉터(30)는 메인서셉터(80)보다 높은 온도를 가질 수 있다.
On the other hand, as shown in FIG. 7, the preheating region 39 'may be formed throughout the auxiliary susceptor 30 except for the heating region 38'. That is, the auxiliary susceptor 30 has the preheating region 39 'and the main susceptor 80 can have the heating region 38'. The auxiliary susceptor 30 and the main susceptor 80 may be provided with heaters 37 'and the auxiliary susceptor 30 may have a temperature higher than that of the main susceptor 80.

도 8 및 도 9는 도 2에 도시한 기판 처리장치의 가스 유동상태를 나타내는 도면이다. 도 8에 도시한 바와 같이, 공급포트(25)를 통해 공급된 공정가스는 보조확산홀(65)과 확산홀(45)은 서로 어긋나게 형성되며, 1차적으로 보조확산홀(65)을 통과한 공정가스는 확산홀(45)을 통해 추가적으로 확산한다. 즉, 공정가스는 기판(W)상에 층류를 형성하여 유동함으로써 균일한 공정가스를 공급할 수 있다. 또한, 배기판(50)에 형성된 배기홀(55)들을 통해 공정가스의 층류를 유지한 상태로 배기가능함으로써 기판(W)의 에지부와 중앙부의 균일성을 유지할 수 있다.
Figs. 8 and 9 are views showing gas flow states of the substrate processing apparatus shown in Fig. 2. Fig. 8, in the process gas supplied through the supply port 25, the auxiliary diffusion hole 65 and the diffusion hole 45 are formed to be shifted from each other, and the process gas, which is supplied through the auxiliary diffusion hole 65 The process gas further diffuses through the diffusion holes 45. That is, the process gas can form a laminar flow on the substrate W and flow to supply a uniform process gas. In addition, the exhaust gas can be exhausted while maintaining the laminar flow of the process gas through the exhaust holes 55 formed in the exhaust plate 50, so that the uniformity of the edge portion and the center portion of the substrate W can be maintained.

특히, 반응공간(5)은 직육면체 형상의 단면을 가지므로, 확산판(44)으로부터 배기판(54)에 이르기까지 동일한 거리를 유지할 수 있으며, 공정가스는 반응공간(5) 내에서 확산판(44)으로부터 배기판(54)에 이르기까지 균일한 흐름을 유지할 수 있다. 반면에, 반응공간(5)이 원형 단면일 경우, 확산판(44)으로부터 배기판(54)에 이르는 거리가 위치에 따라 달라지므로, 공정가스는 반응공간(5) 내에서 균일한 층류유동(laminar flow)을 유지하기 어렵다.
Particularly, since the reaction space 5 has a rectangular cross section, the same distance can be maintained from the diffusion plate 44 to the exhaust plate 54, and the process gas can be supplied to the diffusion plate 44 ) To the exhaust plate 54. [0051] As shown in Fig. On the other hand, when the reaction space 5 has a circular cross-section, the distance from the diffuser plate 44 to the exhaust plate 54 varies depending on the position, so that the process gas is uniformly laminar in the reaction space 5 flow.

또한, 예열영역(39)은 가열영역(38)과 공급포트(25) 사이에 배치되어 가열영역(38)과 마찬가지로 예열영역(39) 상에는 히터(37)가 구비될 수 있다. 가열영역(38)과 예열영역(39)은 각각 따로 제어가능하며, 예를 들어, 예열영역(39)은 가열영역(38) 이상의 온도를 가질 수 있다. 가열영역(38)의 중심(C)은 보조서셉터(30)의 중심을 기준으로 편심되어 공급포트(25)보다 통로(22)에 근접배치됨에 따라 예열영역(39)을 통과한 가스는 예열되어 기판(W)을 향해 유동된다.
The preheating region 39 may be disposed between the heating region 38 and the supply port 25 so that the heater 37 may be provided on the preheating region 39 as well as the heating region 38. The heating zone 38 and the preheating zone 39 are each independently controllable, for example, the preheating zone 39 may have a temperature above the heating zone 38. The center C of the heating zone 38 is eccentrically positioned with respect to the center of the auxiliary susceptor 30 and is disposed closer to the passage 22 than the supply port 25 so that the gas passing through the preheating zone 39 is preheated And flows toward the substrate W.

앞서 설명한 바와 같이, 확산부재(40)는 길이방향을 따라 각각 분할 형성될 수 있으며, 중앙부에 위치하는 중앙확산부재(42')는 측면확산부재(42")와 따로 승강 가능하다. 따라서, 도 9에 도시한 바와 같이, 중앙확산부재(42')를 하강 또는 형상이 다른 확산부재(40)를 사용하는 경우, 공정가스는 기판(W)의 중앙부를 향해 더 많은 유량이 공급될 수 있다.
As described above, the diffusion member 40 can be separately formed along the longitudinal direction, and the central diffusion member 42 'located at the central portion can be raised and lowered separately from the side diffusion member 42 ". 9, when the central diffusion member 42 'is descended or the diffusion member 40 having a different shape is used, the process gas can be supplied more toward the central portion of the substrate W. [

도 10 및 도 11은 도 2에 도시한 기판 처리장치의 공정 순서를 나타내는 예시도이다. 앞서 설명한 바와 같이, 통로(22)를 통해 이송된 기판(W)은 메인서셉터(30)의 내측에 관통 설치된 리프트핀(32)의 상부에 로딩되며, 로딩된 기판(W)은 리프트핀(32)이 하강함으로써 메인서셉터(80)에 형성된 안착홈(31)에 놓여진다. 리프트핀(32)은 메인서셉터(80)의 하부로 하강하여 챔버(20)의 바닥면 상에 위치하도록 조정한다. 앞서 설명한 바와 같이, 메인서셉터(80)의 하부에는 회전축(85)에 의해 지지되며, 구동모터(88)의 구동력에 의해 회전축(85)이 회전함에 따라 메인서셉터(80)는 보조서셉터(30)와 따로 회전할 수 있다.
Figs. 10 and 11 are illustrations showing the process sequence of the substrate processing apparatus shown in Fig. 2. Fig. As described above, the substrate W transferred through the passage 22 is loaded on the upper portion of the lift pin 32 penetrating the inside of the main susceptor 30, and the loaded substrate W is transferred to the lift pins 32 are lowered and placed in the seating groove 31 formed in the main susceptor 80. The lift pins 32 are lowered to the bottom of the main susceptor 80 and adjusted to be positioned on the bottom surface of the chamber 20. [ As described above, the main susceptor 80 is supported by the rotating shaft 85 at a lower portion of the main susceptor 80. As the rotating shaft 85 is rotated by the driving force of the driving motor 88, (30).

또한, 제어기(90)는 제1 내지 제3 개폐밸브(72, 75, 78) 및 회전축(85)을 회전 구동하는 구동모터(88)와 각각 연결된다. 도 10 및 도 11에 도시한 바와 같이, 제어기(90)를 통해 기판(W) 위에 공정가스라인(71)에 설치된 제1 개폐밸브(72)를 개방하여 제1 반응 기체를 기판(W)상에 공급하여 제1 반응 기체를 기판(W) 위에 증착시킨다. 제1 반응기체는 증착하고자 하는 막의 특성에 따라 달라질 수 있다. 산화막(silicon dioxide)인 경우, Bis 계열 또는 Tris-계열, Tetrakls과 같은 Alkyl-amine 계열의 모든 소스에 적용 가능하며, 질화막(silicon nitride)인 경우 DCS, TS 계열, Halide 계열 중 하나 이상일 수 있다. 또한, 폴리실리콘(polycrystalline silicon) 박막일 경우 SiH4, Si2H6, Si3H8, Si4H10, HCDS, OCTS, DCS 중 하나 이상일 수 있다.
The controller 90 is also connected to the first to third open / close valves 72, 75, 78 and the drive motor 88 for rotationally driving the rotary shaft 85, respectively. 10 and 11, the first on-off valve 72 provided on the process gas line 71 is opened on the substrate W through the controller 90 so that the first reaction gas is supplied to the substrate W And the first reaction gas is deposited on the substrate W. The first reactive gas may vary depending on the characteristics of the film to be deposited. In the case of silicon dioxide, it can be applied to all sources of Alkyl-amine series such as Bis series, Tris series, Tetrakls, and DCS, TS series and Halide series in the case of silicon nitride. In the case of a polycrystalline silicon thin film, it may be at least one of SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 10 , HCDS, OCTS, and DCS.

제1 반응 기체를 기판(W) 위에 증착시킨 후에는 제1 개폐밸브(72)를 폐쇄하고 제2 개폐밸브(75)를 개방하여 퍼지 가스(예를 들어, 아르곤(Ar)이나 헬륨(He), 제논(Xe),크립톤(Kr)과 같은 불활성가스)를 공급하거나 반응공간(5) 내의 기체를 강제로 제거하여 증착되고 남아 있는 제1 반응 기체나 부산물을 제거한다.
After depositing the first reactive gas on the substrate W, the first opening / closing valve 72 is closed and the second opening / closing valve 75 is opened to remove purge gas (for example, argon (Ar) or helium (He) , Xenon (Xe), krypton (Kr)) or forcibly removes the gas in the reaction space (5) to remove the deposited first reacted gas or byproduct.

그 후, 제2 개폐밸브(75)를 폐쇄하고 제3 개폐밸브(78)를 개방하여 소스 가스를 공급함과 동시에 RF전원(19)을 제어하여 반응공간(5)을 플라즈마 분위기로 형성하여 기판(W)을 플라즈마 처리한다. 플라즈마 처리할 경우, 낮은 온도에서 산화막이나 질화막, 폴리실리콘 박막을 형성할 수 있다. 소스 가스는 앞서 설명한 제1 반응 기체와 반응하는 가스이며, 산화막(silicin dioxide)인 경우 산소(O2), 산소(O2)와 아르곤(Ar), 산소(O2)와 헬륨(He), 산소(O2), 제논(Xe), 크립톤(Kr) 중 하나 또는 이상일 수 있으며, 질화막(silicon nitride)인 경우 N2, NH3 중 하나 또는 이상일 수 있다.
Thereafter, the second on-off valve 75 is closed, the third on-off valve 78 is opened to supply the source gas and the RF power source 19 is controlled to form the reaction space 5 in the plasma atmosphere, W) is subjected to plasma treatment. When the plasma treatment is performed, an oxide film, a nitride film, or a polysilicon thin film can be formed at a low temperature. The source gas is a gas that reacts with the first reaction gas described above. In the case of the silicide dioxide, oxygen (O 2 ), oxygen (O 2 ), argon (Ar), oxygen (O 2 ) May be one or more of oxygen (O 2 ), xenon (Xe) and krypton (Kr), and in the case of silicon nitride, it may be one or more of N 2 and NH 3 .

그 후, 다시 퍼지 기체를 공급하거나 반응공간(5) 내의 기체를 강제로 제거할 수 있으며, 다시 제1 반응 기체와 소스가스를 공급하여 기판(W) 위에 원자층을 증착하는 과정을 반복 수행할 수 있다.
Thereafter, the purge gas may be supplied again or the gas in the reaction space 5 may be forcibly removed, and the process of depositing the atomic layer on the substrate W by repeating the supply of the first reaction gas and the source gas may be repeated .

한편, 메인서셉터(80)는 필요에 따라 연속적으로 회전을 유지한 상태로 기판에 대한 증착 공정이 수행될 수 있으나, 바람직하게는, 제어기(90)는 기판(W)의 상부에 기설정된 특정 두께만큼 증착이 완료될 경우, 구동모터(88)를 제어하여 회전축(85)을 기설정된 각도로 회전시킨다.
On the other hand, the main susceptor 80 may be subjected to a deposition process with respect to the substrate while continuously maintaining the rotation as necessary. Preferably, When the deposition is completed by the thickness, the driving motor 88 is controlled to rotate the rotary shaft 85 at a predetermined angle.

예를 들어, 도 10에 도시한 바와 같이, 위와 같은 일련의 사이클이 1회 이상 완료된 후, 메인서셉터(80)를 90도 또는 조건에 따른 각도로 회전하여 앞서 설명한 일련의 사이클을 반복함으로써 기판(W)에 대한 공정을 수행할 수 있다. 예를 들어, 하나의 박막을 증착하기 위해 요구되는 사이클이 100인 경우, 기판을 90도로 등각분할한 후, 0도 위치에서 25 사이클, 90도 위치에서 25 사이클, 180도 위치에서 25 사이클, 270도 위치에서 25 사이클을 진행할 수 있으며, 각각 25 사이클이 완료된 후 메인서셉터(80) 및 기판은 회전할 수 있다. 필요에 따라 분할되는 각도는 달라질 수 있으며, 분할된 위치에서 사이클의 회수는 달라질 수 있다. 메인서셉터(80)를 고정한 상태 및 메인서셉터(80)를 회전하면서 중앙확산부재(42')의 승강위치에 따른 기판(W)에 형성된 두께의 측정값을 표 1에 나타내었다.
For example, as shown in Fig. 10, after the above-described series of cycles is completed one or more times, the main susceptor 80 is rotated at an angle of 90 degrees or a condition to repeat the above- (W) can be performed. For example, if the cycle required to deposit one thin film is 100, the substrate is equally divided at 90 degrees, then 25 cycles at 0 degree position, 25 cycles at 90 degree position, 25 cycles at 180 degree position, 270 25 cycles can be performed at the position of the main susceptor 80 and the substrate can be rotated after completing each 25 cycles. The angles to be divided may be varied as needed, and the number of cycles may be varied at the divided locations. Table 1 shows measured values of the thickness formed on the substrate W according to the state where the main susceptor 80 is fixed and the lifting position of the central diffusion member 42 'while the main susceptor 80 is rotated.

Figure 112014047328687-pat00001
Figure 112014047328687-pat00001

표 1과 같이, 메인서셉터(80)를 고정한 상태에서 기판(W)에 대한 증착을 수행할 경우, 기판(W)의 증착 두께는 불균일한 형상을 가진다. 기판(W)을 회전할 경우, 기판(W)의 중심을 기준으로 대체로 동일 반경에서 균일한 두께를 형성함을 알 수 있다. 또한, 중앙확산부재(42')를 하강 또는 특정 간격을 가지는 분사구를 사용하여 기판(W)의 중앙부의 유량을 증가시킬 경우, 기판(W)의 중앙부가 가장 높은 두께를 가진다. 반대로 중앙확산부재(42')를 상승하여 기판에 균일 또는 특정 간격을 가지는 분사구를 이용한 유량을 공급할 경우, 기판(W)의 중앙부가 가장 얇은 두께를 가질 수 있다.
As shown in Table 1, when deposition is performed on the substrate W with the main susceptor 80 fixed, the deposition thickness of the substrate W has a non-uniform shape. It can be seen that when the substrate W is rotated, a uniform thickness is formed at substantially the same radius based on the center of the substrate W. [ When the flow rate of the central portion of the substrate W is increased by using the jet port having the central diffusion member 42 'lowered or having a specific interval, the central portion of the substrate W has the highest thickness. On the contrary, when the central diffusion member 42 'rises to supply a flow rate using a nozzle having uniform or specific spacing to the substrate, the central portion of the substrate W may have the thinnest thickness.

즉, 본 발명인 기판 처리장치(10)는 보조서셉터(30)에 형성된 개구(36)에 삽입설치되어 보조서셉터(30)와 따로 회전가능한 메인서셉터(80)를 이용하여 기판(W)을 회전할 수 있다. 또한, 기판(W)이 인입되는 통로(22)의 반대측으로 공급되는 가스를 확산 가능하며, 길이방향을 따로 복수로 분할되어 각각 따로 승강 가능한 확산부재(42, 42')를 통해 기판(W)상에 공급되는 가스의 유량을 조절할 수 있다. 따라서, 작업자는 기판(W)에 증착 두께 및 두께 분포를 용이하게 조절 가능함으로써 기판의 품질 및 생산성을 향상시킬 수 있다.
That is, the substrate processing apparatus 10 of the present invention includes a substrate susceptor 30 which is inserted into an opening 36 formed in the auxiliary susceptor 30 and is mounted on the substrate W by using the main susceptor 80, . It is also possible to diffuse the gas supplied to the opposite side of the passage 22 into which the substrate W is drawn and to divide the substrate W in plural in the longitudinal direction through the diffusion members 42 and 42 ' It is possible to control the flow rate of the gas supplied to the reactor. Therefore, the operator can easily adjust the deposition thickness and the thickness distribution on the substrate W, thereby improving the quality and productivity of the substrate.

한편, 본 실시예에서는 1회 이상의 사이클이 완료된 후 기판(W)이 회전하는 것으로 설명하였으나, 기판(W)은 사이클 중 마지막 퍼지공정(퍼지가스 공급시)에서 회전할 수 있다. 퍼지공정은 기판(W)에 대한 증착공정에 영향을 미치지 않으며, 단지 챔버 내부의 반응부산물을 제거하는 공정이므로, 기판(W)의 회전은 퍼지공정과 함께 진행될 수 있다. 이 경우, 전체 공정시간을 단축함으로써 효율적인 공정을 구현할 수 있다.
In this embodiment, the substrate W is rotated after one or more cycles have been completed. However, the substrate W may be rotated in the final purging process (purge gas supply) during the cycle. The purging process does not affect the deposition process for the substrate W, and is only a process for removing reaction by-products inside the chamber, so that the rotation of the substrate W can proceed with the purging process. In this case, an efficient process can be realized by shortening the entire process time.

상기와 같이 설명된 기판 처리장치는 상기 설명된 실시예의 구성이 한정되게 적용될 수 있는 것이 아니라, 상기 실시예들은 다양한 변형이 이루어질 수 있도록 각 실시예들의 전부 또는 일부가 선택적으로 조합되어 구성될 수 있다.The substrate processing apparatus described above can be applied to a configuration of the embodiment described above in a limited manner, but the embodiments can be configured by selectively combining all or a part of each embodiment so that various modifications can be made .

3 : 내부공간 5 : 반응공간
10 : 기판 처리장치 20 : 챔버
22 : 통로 25 : 공급포트
28 : 배기포트 30 : 보조서셉터
38 : 가열영역 39 : 예열영역
40 : 확산부재 45 : 확산홀
50 : 배기부재 55 : 배기홀
60 : 보조확산판 65 : 보조확산홀
70 : 가스공급라인 80 : 메인서셉터
88 : 구동모터 90 : 제어기
100 : 반도체 제조설비 110 : EFEM
120 : 공정설비 130 : 이송챔버
140 : 로드록 챔버
3: inner space 5: reaction space
10: substrate processing apparatus 20: chamber
22: passage 25: supply port
28: exhaust port 30: auxiliary susceptor
38: heating zone 39: preheating zone
40: diffusion member 45: diffusion hole
50: exhaust member 55: exhaust hole
60: auxiliary diffusion plate 65: auxiliary diffusion hole
70: gas supply line 80: main susceptor
88: drive motor 90: controller
100: Semiconductor manufacturing facility 110: EFEM
120: Process equipment 130: Transfer chamber
140: load lock chamber

Claims (11)

일측에 형성된 통로를 통해 기판이 이송되며, 상기 기판에 대한 공정이 이루어지는 내부공간을 제공하는, 그리고 상기 기판을 향해 가스를 공급하는 공급포트가 상기 통로의 반대측에 형성된 챔버;
상기 내부공간에 설치되어 상기 내부공간과 대응되는 형상을 가지며, 개구가 형성되는 보조서셉터;
상기 개구에 삽입설치되어 상기 기판이 놓여진 상태에서 회전가능하며, 상기 기판을 가열하는 메인서셉터;
상기 공급포트의 출구측에 위치하여 상기 공급포트와 상기 내부공간을 구획가능하며, 상기 공급포트와 상기 내부공간을 연통하여 상기 공급포트를 통해 공급된 상기 가스를 확산하는 복수의 확산홀들을 가지는 확산부재; 및
상기 확산부재를 승강 가능한 승강부재를 포함하되,
상기 확산부재는 상기 내부공간의 중앙부에 대응되는 중앙확산부재 및 상기 중앙확산부재의 양측에 설치되는 측면확산부재를 구비하며,
상기 승강부재는 상기 중앙확산부재를 승강가능한 중앙승강부재 및 상기 측면확산부재를 승강가능한 측면승강부재를 구비하는, 기판 처리장치.
A chamber in which a substrate is transported through a passage formed on one side and which provides an internal space where processing for the substrate is performed and a supply port for supplying gas toward the substrate is formed on the opposite side of the passage;
An auxiliary susceptor provided in the internal space and having a shape corresponding to the internal space and having an opening formed therein;
A main susceptor inserted into the opening and rotatable in a state where the substrate is placed, the main susceptor heating the substrate;
A diffusion port having a plurality of diffusion holes which are located on an outlet side of the supply port and are capable of dividing the supply port and the inner space, and which communicate the supply port and the inner space to diffuse the gas supplied through the supply port; absence; And
And a lifting member capable of lifting and lowering the diffusion member,
Wherein the diffusion member includes a central diffusion member corresponding to a central portion of the internal space and a side diffusion member provided on both sides of the central diffusion member,
Wherein the elevating member includes a central elevating member capable of elevating and lowering the central diffusing member and a side elevating member capable of elevating and lowering the side diffusing member.
제1항에 있어서,
상기 기판 처리장치는,
상기 메인서셉터를 회전가능하도록 지지하는 회전축;
상기 회전축을 구동하는 구동모터;
상기 공급포트에 연결되어 상기 기판에 상기 가스를 공급하는 가스공급라인;
상기 가스공급라인 상에 설치되어 상기 가스를 개폐하는 개폐밸브; 및
상기 구동모터와 상기 개폐밸브에 각각 연결되며, 상기 구동모터 및 상기 개폐밸브를 각각 제어 가능한 제어기를 더 포함하되,
상기 제어기는 상기 개폐밸브를 개방하여 상기 가스를 공급하고, 상기 가스의 공급이 완료된 후 상기 개폐밸브가 폐쇄된 상태에서 상기 구동모터를 구동하여 상기 메인서셉터를 기설정된 각도만큼 회전하는, 기판 처리장치.
The method according to claim 1,
The substrate processing apparatus includes:
A rotating shaft for rotatably supporting the main susceptor;
A driving motor for driving the rotating shaft;
A gas supply line connected to the supply port to supply the gas to the substrate;
An on-off valve installed on the gas supply line for opening and closing the gas; And
And a controller connected to the drive motor and the on / off valve, respectively, and capable of controlling the drive motor and the on / off valve,
Wherein the controller is configured to open the on-off valve to supply the gas, and after the gas supply is completed, the main susceptor is rotated by a predetermined angle by driving the drive motor in a state in which the on- Device.
제2항에 있어서,
상기 가스공급라인은,
상기 내부공간에 공정가스를 공급하는 공정가스라인;
상기 내부공간에 퍼지가스를 공급하는 퍼지가스라인; 및
상기 내부공간에서 소스가스를 공급하는 소스가스라인을 구비하며,
상기 개폐밸브는,
상기 공정가스라인 상에 설치되어 상기 공정가스라인을 개폐하는 제1 개폐밸브;
상기 퍼지가스라인 상에 설치되어 상기 퍼지가스라인을 개폐하는 제2 개폐밸브; 및
상기 소스가스라인 상에 설치되어 상기 소스가스라인을 개폐하는 제3 개폐밸브를 구비하되,
상기 제어기는 상기 제2 및 제3 개폐밸브가 폐쇄된 상태에서 상기 제1 개폐밸브를 개방하여 상기 공정가스를 공급하고, 상기 공정가스의 공급이 완료된 후 상기 제1 및 제3 개폐밸브가 폐쇄된 상태에서 상기 제2 개폐밸브를 개방하여 상기 퍼지가스를 공급하고, 상기 퍼지가스의 공급이 완료된 후 상기 제1 및 제2 개폐밸브가 폐쇄된 상태에서 상기 제3 개폐밸브를 개방하여 상기 소스가스를 공급하고, 상기 소스가스의 공급이 완료된 후 상기 제1 내지 제3 개폐밸브가 폐쇄된 상태에서 상기 구동모터를 구동하여 상기 메인서셉터를 기설정된 각도만큼 회전하는, 기판 처리장치.
3. The method of claim 2,
Wherein the gas supply line includes:
A process gas line for supplying the process gas to the inner space;
A purge gas line for supplying purge gas to the internal space; And
And a source gas line for supplying a source gas in the inner space,
The on-
A first opening / closing valve installed on the process gas line for opening / closing the process gas line;
A second on-off valve installed on the purge gas line for opening and closing the purge gas line; And
And a third open / close valve provided on the source gas line for opening / closing the source gas line,
Wherein the controller opens the first open / close valve with the second and third open / close valves closed, supplies the process gas, and after the supply of the process gas is completed, the first and third open / close valves are closed Off valve is opened to supply the purge gas, and after the supply of the purge gas is completed, the third open / close valve is opened in a state in which the first and second open / close valves are closed, And after the supply of the source gas is completed, the main susceptor is rotated by a predetermined angle by driving the drive motor while the first to third open / close valves are closed.
제3항에 있어서,
상기 기판 처리장치는,
상기 메인서셉터의 상부에 설치되어 상기 내부공간에 플라즈마 분위기를 생성하는 안테나; 및
상기 안테나에 고주파 전류를 공급하며, 상기 제어기에 연결되어 상기 제어기에 의해 제어되는 전원을 더 포함하며,
상기 제어기는 상기 소스가스가 공급되는 동안 상기 전원을 통해 상기 안테나에 전류를 공급하는, 기판 처리장치.
The method of claim 3,
The substrate processing apparatus includes:
An antenna installed on the main susceptor to generate a plasma atmosphere in the inner space; And
Further comprising a power source supplying a high frequency current to the antenna and being connected to the controller and being controlled by the controller,
Wherein the controller supplies current to the antenna through the power source while the source gas is being supplied.
삭제delete 제1항에 있어서,
상기 개구는 상기 서셉터를 중심으로 편심 배치되는, 기판 처리장치.
The method according to claim 1,
Wherein the opening is eccentrically disposed about the susceptor.
직육면체 형상의 내부공간을 가지는 챔버의 내부에 하나 이상의 가스를 공급하여 상기 챔버 내부로 제공된 기판을 처리하는 방법에 있어서,
상기 내부공간에 설치된 보조서셉터의 개구 상에 상기 보조서셉터와 따로 회전가능한 메인서셉터를 설치하고 상기 메인서셉터의 상부에 상기 기판을 올려놓은 상태에서 상기 가스를 공급한 후, 상기 가스의 공급이 완료되면 상기 가스의 공급을 정지시킨 상태에서 상기 메인서셉터의 회전에 의해 상기 기판을 기설정된 각도만큼 회전하며,
상기 챔버의 내부를 구획가능한 확산부재를 상기 챔버의 일측에 형성된 공급포트의 출구측에 설치하되,
상기 확산부재 중 중앙에 위치하는 중앙확산부재를 하강하여 상기 중앙확산부재의 상부에 상기 챔버의 내부와 상기 공급포트를 연통하는 공간을 형성하고, 상기 확산부재 중 상기 중앙확산부재의 양측에 설치된 측면확산부재를 통해 상기 챔버의 내부와 상기 공급포트를 구획한 상태에서 상기 측면확산부재의 확산홀들을 통해 상기 챔버의 내부와 상기 공급포트를 연통하여 상기 공간 및 상기 확산홀들을 통해 상기 가스를 공급하는, 기판 처리방법.
There is provided a method of processing a substrate provided inside the chamber by supplying at least one gas into a chamber having a rectangular parallelepiped inner space,
A main susceptor rotatable independently from the auxiliary susceptor is provided on an opening of the auxiliary susceptor provided in the internal space and the gas is supplied while the substrate is placed on the top of the main susceptor, When the supply of the gas is stopped, the substrate is rotated by a predetermined angle by the rotation of the main susceptor while the supply of the gas is stopped,
A diffusion member capable of dividing the inside of the chamber is installed at an outlet side of a supply port formed at one side of the chamber,
A center diffusion member located at the center of the diffusion member is lowered to form a space communicating the inside of the chamber with the supply port on the central diffusion member, And supplying the gas through the space and the diffusion holes by communicating the inside of the chamber with the supply port through the diffusion holes of the side diffusion member while partitioning the inside of the chamber and the supply port through a diffusion member , ≪ / RTI >
삭제delete 제7항에 있어서,
상기 가스를 공급하는 방법은,
공정가스를 공급하는 단계;
상기 공정가스의 공급을 중단하고 퍼지가스를 공급하여 상기 챔버의 내부를 퍼지하는 단계;
상기 퍼지가스의 공급을 중단하고 소스가스를 공급하는 단계를 포함하는, 기판 처리방법.
8. The method of claim 7,
The method for supplying the gas includes:
Supplying a process gas;
Stopping the supply of the process gas and supplying a purge gas to purge the interior of the chamber;
And stopping supply of the purge gas and supplying a source gas.
제9항에 있어서,
상기 가스를 공급하는 방법은,
상기 소스가스가 공급되는 동안 상기 챔버의 내부에 플라즈마 분위기를 생성하는 단계를 더 포함하는, 기판 처리방법.
10. The method of claim 9,
The method for supplying the gas includes:
Further comprising generating a plasma atmosphere within the chamber while the source gas is being supplied.
제10항에 있어서,
상기 가스를 공급하는 방법은,
상기 소스가스의 공급을 중단하고 상기 퍼지가스를 공급하여 상기 챔버의 내부를 퍼지하는 단계를 더 포함하는, 기판 처리방법.
11. The method of claim 10,
The method for supplying the gas includes:
And stopping the supply of the source gas and supplying the purge gas to purge the interior of the chamber.
KR1020140060415A 2014-05-20 2014-05-20 Apparatus and method for processing substrate KR101570227B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020140060415A KR101570227B1 (en) 2014-05-20 2014-05-20 Apparatus and method for processing substrate
TW104114233A TW201602397A (en) 2014-05-20 2015-05-05 Apparatus and method for processing substrate
PCT/KR2015/005066 WO2015178687A1 (en) 2014-05-20 2015-05-20 Substrate processing device and substrate processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140060415A KR101570227B1 (en) 2014-05-20 2014-05-20 Apparatus and method for processing substrate

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020150127733A Division KR101628786B1 (en) 2015-09-09 2015-09-09 Apparatus and method for processing substrate

Publications (1)

Publication Number Publication Date
KR101570227B1 true KR101570227B1 (en) 2015-11-18

Family

ID=54554283

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140060415A KR101570227B1 (en) 2014-05-20 2014-05-20 Apparatus and method for processing substrate

Country Status (3)

Country Link
KR (1) KR101570227B1 (en)
TW (1) TW201602397A (en)
WO (1) WO2015178687A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115537777A (en) * 2022-08-16 2022-12-30 湖南顶立科技有限公司 Vapor deposition equipment

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003124287A (en) * 2001-10-19 2003-04-25 Komatsu Electronic Metals Co Ltd Epitaxial wafer manufacturing equipment and method for manufacturing wafer
JP4540742B2 (en) * 2008-01-25 2010-09-08 三井造船株式会社 Atomic layer growth apparatus and thin film forming method
JP2012167317A (en) * 2011-02-14 2012-09-06 Mitsui Eng & Shipbuild Co Ltd Atomic layer deposition apparatus

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100636036B1 (en) * 2004-11-19 2006-10-18 삼성전자주식회사 Method of forming a titanium nitride layer and apparatus for performing the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003124287A (en) * 2001-10-19 2003-04-25 Komatsu Electronic Metals Co Ltd Epitaxial wafer manufacturing equipment and method for manufacturing wafer
JP4540742B2 (en) * 2008-01-25 2010-09-08 三井造船株式会社 Atomic layer growth apparatus and thin film forming method
JP2012167317A (en) * 2011-02-14 2012-09-06 Mitsui Eng & Shipbuild Co Ltd Atomic layer deposition apparatus

Also Published As

Publication number Publication date
WO2015178687A1 (en) 2015-11-26
TW201602397A (en) 2016-01-16

Similar Documents

Publication Publication Date Title
US11821083B2 (en) Gas separation control in spatial atomic layer deposition
US11742189B2 (en) Multi-zone reactor, system including the reactor, and method of using the same
US11887855B2 (en) Methods for depositing fluorine/carbon-free conformal tungsten
KR101764048B1 (en) Film formation device
TWI737868B (en) Film formation device and film formation method
US20090181548A1 (en) Vertical plasma processing apparatus and method for semiconductor process
US20120222615A1 (en) Film deposition apparatus
KR101525210B1 (en) Apparatus for processing substrate
EP1535314A2 (en) High rate deposition at low pressures in a small batch reactor
KR20180121828A (en) Film forming method and film forming apparatus
US10472719B2 (en) Nozzle and substrate processing apparatus using same
US11581201B2 (en) Heat treatment apparatus and film deposition method
KR101628786B1 (en) Apparatus and method for processing substrate
KR101570227B1 (en) Apparatus and method for processing substrate
KR102349330B1 (en) Thin film encapsulation processing system and process kit
KR101513504B1 (en) Substrate processing apparatus
US20220081772A1 (en) Substrate processing apparatus and method for manufacturing semiconductor device
KR20230085166A (en) Substrate processing method and substrate processing apparatus

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20181029

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20191030

Year of fee payment: 5