KR101229793B1 - Apparatus for processing substrate - Google Patents

Apparatus for processing substrate Download PDF

Info

Publication number
KR101229793B1
KR101229793B1 KR1020110067481A KR20110067481A KR101229793B1 KR 101229793 B1 KR101229793 B1 KR 101229793B1 KR 1020110067481 A KR1020110067481 A KR 1020110067481A KR 20110067481 A KR20110067481 A KR 20110067481A KR 101229793 B1 KR101229793 B1 KR 101229793B1
Authority
KR
South Korea
Prior art keywords
plasma
shower head
substrate
chamber
magnetic field
Prior art date
Application number
KR1020110067481A
Other languages
Korean (ko)
Other versions
KR20130005841A (en
Inventor
이기수
한영기
최재철
Original Assignee
참엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 참엔지니어링(주) filed Critical 참엔지니어링(주)
Priority to KR1020110067481A priority Critical patent/KR101229793B1/en
Publication of KR20130005841A publication Critical patent/KR20130005841A/en
Application granted granted Critical
Publication of KR101229793B1 publication Critical patent/KR101229793B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명에 따른 기판 처리 장치는 내부 공간을 가지는 챔버, 챔버 내에 배치되며, 플라즈마 발생을 위한 전원이 인가되되어, 내측 혹은 외측에 제 1 플라즈마 영역이 형성되도록 하는 샤워헤드, 샤워헤드를 관통하도록 설치되고, 내부에 제 2 플라즈마 영역이 형성되도록 하는 플라즈마 발생관 및 플라즈마 발생관의 외주면을 둘러 싸도록 설치되며, 플라즈마 발생을 위한 전원이 인가되는 안테나를 포함한다.
따라서, 본 발명의 실시예들에 의하면, 이온 에너지 및 플라즈마 밀도가 높은 공진 플라즈마를 이용함으로써, 종래에 비해 기판 처리 공정 속도를 향상시킬 수 있다. 한편, 공진 플라즈마가 기판으로 이동하던 중에 그 밀도가 감소할 수 있는데, 공진 플라즈마에 비해 이온 에너지 및 플라즈마 밀도가 낮은 용량성 플라즈마를 함께 형성함으로써, 상기 공진 플라즈마 밀도의 감소를 보상한다. 또한, 공진 플라즈마와 용량성 플라즈마를 함께 형성하여, 기판으로 입사 또는 충돌하는 이온 에너지를 조절함으로써, 기판 또는 박막이 손상되는 것을 방지할 수 있다.
The substrate processing apparatus according to the present invention includes a chamber having an internal space, a shower head disposed in the chamber, and having a power applied to generate plasma to penetrate a shower head and a shower head to form a first plasma region inside or outside. And an antenna provided to surround the outer circumferential surface of the plasma generating tube and the plasma generating tube so as to form the second plasma region therein, and to which power for plasma generation is applied.
Therefore, according to embodiments of the present invention, by using a resonant plasma having high ion energy and plasma density, the substrate processing process speed may be improved as compared with the conventional art. On the other hand, while the resonant plasma moves to the substrate, the density thereof may be reduced. The reduction of the resonant plasma density is compensated by forming a capacitive plasma having ion energy and plasma density lower than that of the resonant plasma. In addition, by forming the resonance plasma and the capacitive plasma together, and controlling the ion energy incident or impinged on the substrate, it is possible to prevent the substrate or the thin film from being damaged.

Description

기판 처리 장치{Apparatus for processing substrate}[0001] Apparatus for processing substrate [0002]

본 발명은 기판 처리 공정이 용이한 기판 처리 장치에 관한 것이다.The present invention relates to a substrate processing apparatus in which a substrate processing step is easy.

최근, 반도체 소자가 소형화됨에 따라, 패턴을 미세화 및 고집적화시키는 기술이 연구 개발되고 있다. 고집적화 및 소형화된 반도체 소자를 제조하기 위해 일반적으로 반응 가스를 활성화시켜 플라즈마화하는 플라즈마 장치가 이용된다. 한편, 플라즈마 장치는 플라즈마화하는 방법에 따라 통상적으로 용량성 플라즈마(CCP: capacitive coupled plasma) 타입과, 유도성 플라즈마(inductive coupled plasma) 타입으로 나눌 수 있다.In recent years, as semiconductor devices have been miniaturized, technologies for miniaturizing and integrating patterns have been researched and developed. In order to manufacture highly integrated and miniaturized semiconductor devices, a plasma apparatus for activating and plasmalizing a reaction gas is generally used. On the other hand, the plasma apparatus can be generally divided into a capacitive coupled plasma (CCP) type and an inductive coupled plasma (CCP) type according to a plasma-forming method.

용량성 플라즈마 장치는 예컨데, 챔버, 적어도 일부가 챔버 내에 배치되며 접지되는 상부 전극, 챔버 내에서 상부 전극의 하측에 배치되어 원료 가스를 분사하는 가스 분사부, 가스 분사부의 하측에 대향 배치되어 기판을 지지하는 정전척, 상부 전극에 전원을 인가하는 상부 전원 공급부, 하부 전극에 전원을 인가하는 하부 전원 공급부를 포함한다. 이러한 용량성 플라즈마 장치에서 상부 전극 및 하부 전극에 전원을 인가하면, 하부 전극과 상부 전극 사이에 전기장 및 플라즈마가 형성된다. 용량성 플라즈마 장치에서 생성된 플라즈마는 전기장에 의해 이온 에너지가 높은 장점이 있으나, 상기 고 에너지의 이온에 의해 기판 또는 기판 상에 형성된 박막이 손상되는 문제가 발생된다. 그리고 패턴이 미세화 됨에 따라 고 에너지의 이온에 의한 손상의 정도가 크다.The capacitive plasma apparatus includes, for example, a chamber, an upper electrode at least partially disposed within the chamber and grounded, a gas injector disposed below the upper electrode in the chamber to inject raw material gas, and disposed below the gas injector so as to face the substrate. And an electrostatic chuck for supporting, an upper power supply for applying power to the upper electrode, and a lower power supply for applying power to the lower electrode. When power is applied to the upper electrode and the lower electrode in the capacitive plasma device, an electric field and a plasma are formed between the lower electrode and the upper electrode. Plasma generated in the capacitive plasma device has an advantage of high ion energy due to an electric field, but a problem occurs in that a substrate or a thin film formed on the substrate is damaged by the high energy ions. And as the pattern becomes finer, the degree of damage by ions of high energy is great.

유도성 플라즈마 장치는 예컨데, 챔버, 챔버 내에 배치되어 원료 가스를 분사하는 가스 분사부, 챔버 내에서 가스 분사부와 대향 배치되어 기판을 지지하는 정전척, 챔버 외측에 배치되어 소스 전원이 인가되는 안테나, 안테나에 소스 전원을 인가하는 안테나 소스 전원 공급부 및 정전척에 고주파 바이어스 전원을 인가하는 바이어스 전원 공급부를 포함한다. 이러한 유도성 플라즈마 장치에서 정전척에 바이어스 전원을 인가하고, 안테나에 소스 전원이 인가되면, 챔버 내에 플라즈마가 형성된다. 생성된 플라즈마 중 양이온은 기판의 표면에 입사 또는 충돌함으로써, 기판 상에 박막을 형성하거나, 상기 기판 또는 기판 상에 형성된 박막을 식각한다. 유도성 플라즈마 장치에서 형성된 플라즈마는 높은 밀도를 가지고, 낮은 이온 에너지 분포를 형성하여, 기판 또는 박막에 대한 손상이 적은 장점이 있다. 하지만, 챔버 내에 형성되는 플라즈마의 이온 밀도가 챔버의 중앙 영역에서는 일정하나, 가장 자리 영역으로 갈 수록 이온 밀도의 균일도가 떨어지는 단점이 있다. 이와 같은 이온 밀도의 차이는 기판 및 챔버가 대형화 됨에 따라 더욱 두드러지게 나타나고 있다.The inductive plasma apparatus is, for example, a chamber, a gas injector disposed in the chamber to inject a source gas, an electrostatic chuck disposed opposite to the gas injector in the chamber to support the substrate, and an antenna disposed outside the chamber to which source power is applied. An antenna source power supply for applying a source power to the antenna and a bias power supply for applying a high frequency bias power to the electrostatic chuck. In such an inductive plasma apparatus, when a bias power is applied to the electrostatic chuck and a source power is applied to the antenna, plasma is formed in the chamber. The cations in the generated plasma are incident or collided with the surface of the substrate, thereby forming a thin film on the substrate or etching the thin film formed on the substrate or the substrate. Plasma formed in the inductive plasma apparatus has an advantage of having a high density and forming a low ion energy distribution, thereby reducing damage to the substrate or the thin film. However, although the ion density of the plasma formed in the chamber is constant in the central region of the chamber, the uniformity of the ion density decreases toward the edge region. This difference in ion density is more pronounced as the substrate and the chamber are enlarged.

한편, 한국공개특허 제1997-0003557호 에는 상부 리엑터 전극, 상부 리엑터 전극 하측에 위치하는 하부 리엑터 전극을 포함하여, 용량성 플라즈마를 발생시키는 용량성 결합 플라즈마 장치가 개시되어 있고, 한국등록특허 제10-0963519호'에는 챔버 상부에 위치하며 상기 챔버에 소스 가스를 유입시키는 가스 분사부와, 소스 전원이 인가되는 안테나, 그리고 기판을 고정하며 바이어스 전원이 인가되는 정전척을 포함하는 유도성 결합 플라즈마발생장치가 제시되어 있다.On the other hand, Korean Patent Laid-Open No. 1997-0003557 discloses a capacitively coupled plasma apparatus for generating a capacitive plasma, including an upper reactor electrode and a lower reactor electrode positioned below the upper reactor electrode. -0963519 'is an inductively coupled plasma generation including a gas injector for injecting a source gas into the chamber, an antenna to which source power is applied, and an electrostatic chuck to which a substrate is fixed and a bias power is applied. The device is presented.

본 발명의 일 기술적 과제는 기판 처리 공정을 용이하게 실시할 수 있는 기판 처리 장치를 제공하는 데 있다.One technical problem of the present invention is to provide a substrate processing apparatus that can easily perform a substrate processing step.

본 발명의 다른 일 기술적 과제는 기판 또는 기판 상에 증착된 박막의 손상을 방지할 수 있는 기판 처리 장치를 제공하는 데 있다.Another technical problem of the present invention is to provide a substrate processing apparatus capable of preventing damage to a substrate or a thin film deposited on the substrate.

본 발명의 또 다른 일 기술적 과제는 플라즈마를 균일하게 확산시킬 수 있는 기판 처리 장치를 제공하는 데 있다.Another technical problem of the present invention is to provide a substrate processing apparatus capable of uniformly diffusing a plasma.

본 발명에 따른 기판 처리 장치는 내부 공간을 가지는 챔버, 상기 챔버 내에 배치되며, 플라즈마 발생을 위한 전원이 인가되어, 내측 혹은 외측에 제 1 플라즈마 영역이 형성되도록 하는 샤워헤드, 상기 샤워헤드를 관통하도록 설치되고, 내부에 제 2 플라즈마 영역이 형성되도록 하는 플라즈마 발생관 및 상기 플라즈마 발생관의 외주면을 둘러 싸도록 설치되며, 플라즈마 발생을 위한 전원이 인가되는 안테나를 포함한다.The substrate processing apparatus according to the present invention includes a chamber having an inner space, a shower head disposed in the chamber, and a power supply for generating plasma, through which the first plasma region is formed, to pass through the shower head. And an antenna provided to surround the outer circumferential surface of the plasma generating tube and a plasma generating tube for forming a second plasma region therein, and to receive power for plasma generation.

상기 제 1 플라즈마 영역에서 용량성 플라즈마(CCP PLASMA)가 발생되고, 상기 제 2 플라즈마 영역에서 공진 플라즈마가 발생된다.Capacitive plasma (CCP PLASMA) is generated in the first plasma region, and resonant plasma is generated in the second plasma region.

상기 샤워헤드는 상측에 위치하며 RF 전원이 인가되는 제 1 샤워헤드, 상기 제 1 샤워헤드의 하측으로 이격 배치되며 접지되는 제 2 샤워헤드를 포함하고, 상기 제 1 플라즈마 영역은 상기 제 1 샤워헤드와 제 2 샤워헤드 사이의 영역이다.The showerhead may include a first showerhead positioned at an upper side and a second showerhead spaced below and grounded below the first showerhead, and the first plasma region may be the first showerhead. And the area between the second showerhead.

상기 플라즈마 발생관은 상기 제 1 및 제 2 샤워헤드를 상하 방향으로 관통하여, 상기 제 1 샤워헤드의 상측에서부터 상기 제 2 샤워헤드의 하부까지 연장 설치된다.The plasma generating tube penetrates the first and second shower heads in a vertical direction and extends from an upper side of the first shower head to a lower portion of the second shower head.

상기 플라즈마 발생관은 상기 제 1 샤워헤드를 상하 방향으로 관통하여, 상기 제 1 샤워헤드의 상측에서부터 상기 제 1 샤워헤드의 하부까지 연장 설치된다.The plasma generating tube penetrates the first shower head in a vertical direction and extends from an upper side of the first shower head to a lower portion of the first shower head.

상기 챔버의 내부 및 외부 중 적어도 어느 하나의 영역에 설치되어, 자기장을 발생시키는 자기장 발생부를 포함한다.And a magnetic field generating unit installed in at least one of the inside and the outside of the chamber to generate a magnetic field.

상기 챔버 내부에 배치되는 자기장 발생부는 상기 샤워헤드의 상측에 위치한다.The magnetic field generating unit disposed inside the chamber is located above the shower head.

상기 플라즈마 발생관이 복수개로 마련되어 상호 이격 배치되고, 상기 플라즈마 발생관 사이에 자기장 발생부가 배치된다.A plurality of plasma generating tubes are provided to be spaced apart from each other, and a magnetic field generating unit is disposed between the plasma generating tubes.

상기 챔버 내벽 중 상기 샤워헤드, 플라즈마 발생관 및 자기장 발생부의 주위 영역에 절연 부재가 배치되고, 상기 샤워헤드 상부에 절연 부재가 배치되며, 상기 샤워헤드 상부에 장착된 절연 부재 상측에 자기장 발생부가 배치된다.An insulating member is disposed in the surrounding areas of the shower head, the plasma generating tube, and the magnetic field generating part of the chamber inner wall, the insulating member is disposed above the shower head, and the magnetic field generating part is disposed above the insulating member mounted on the shower head. do.

상기 자기장 발생부로 전자석 코일을 이용한다.An electromagnet coil is used as the magnetic field generator.

상기 플라즈마 발생관은 절연 물질을 이용하여 제작된다.The plasma generating tube is manufactured using an insulating material.

상기 챔버 내부에서 상기 샤워헤드의 하측에 배치되어 기판을 지지하며, 바이어스 전원이 인가된다.The chamber is disposed under the shower head in the chamber to support a substrate, and a bias power is applied.

상기 샤워헤드로 원료 가스를 공급하는 제 1 원료 공급 라인 및 상기 플라즈마 발생관 내부로 원료 가스를 공급하는 제 2 원료 공급 라인을 포함한다.And a first raw material supply line for supplying the raw material gas to the shower head, and a second raw material supply line for supplying the raw material gas into the plasma generation tube.

상술한 바와 같이 본 발명의 실시형태들에 의하면, 이온 에너지 및 플라즈마 밀도가 높은 공진 플라즈마를 이용함으로써, 종래에 비해 기판 처리 공정 속도를 향상시킬 수 있다. 한편, 공진 플라즈마가 기판으로 이동하던 중에 그 밀도가 감소할 수 있는데, 공진 플라즈마에 비해 이온 에너지 및 플라즈마 밀도가 낮은 용량성 플라즈마를 함께 형성함으로써, 상기 공진 플라즈마 밀도의 감소를 보상한다. 또한, 공진 플라즈마와 용량성 플라즈마를 함께 형성하여, 기판으로 입사 또는 충돌하는 이온 에너지를 조절함으로써, 기판 또는 박막이 손상되는 것을 방지할 수 있다.As described above, according to the embodiments of the present invention, by using a resonant plasma having high ion energy and plasma density, the substrate processing process speed can be improved as compared with the related art. On the other hand, while the resonant plasma moves to the substrate, the density thereof may be reduced. The reduction of the resonant plasma density is compensated by forming a capacitive plasma having ion energy and plasma density lower than that of the resonant plasma. In addition, by forming the resonance plasma and the capacitive plasma together, and controlling the ion energy incident or impinged on the substrate, it is possible to prevent the substrate or the thin film from being damaged.

자기장 발생부는 챔버의 외부 및 내부 중 적어도 어느 한 영역에 설치되어 자기장을 형성함으로써, 공진 플라즈마를 자기장의 자속을 따라 이동시킨다. 이에, 반응 영역 전체에 걸쳐 공진 플라즈마가 균일하게 확산된다. 따라서, 기판 전체에 대해 균일한 공정 조건을 유지할 수 있다.The magnetic field generating unit is installed in at least one of the outside and the inside of the chamber to form a magnetic field, thereby moving the resonant plasma along the magnetic flux of the magnetic field. As a result, the resonant plasma is uniformly spread over the entire reaction region. Therefore, uniform process conditions can be maintained with respect to the whole board | substrate.

도 1은 본 발명의 제 1 실시예에 따른 기판 처리 장치를 도시한 단면도
도 2는 제 2 실시예에 따른 기판 처리 장치를 도시한 단면도
도 3은 제 3 실시예에 따른 기판 처리 장치를 도시한 단면도
1 is a cross-sectional view showing a substrate processing apparatus according to a first embodiment of the present invention.
2 is a cross-sectional view illustrating a substrate processing apparatus according to a second embodiment.
3 is a cross-sectional view illustrating a substrate processing apparatus according to a third embodiment.

이하, 첨부된 도면을 참조하여 본 발명의 실시예를 상세히 설명하기로 한다. 그러나, 본 발명은 이하에서 개시되는 실시 예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시 예들은 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, the present invention is not limited to the embodiments disclosed below, but may be implemented in various forms, and only the embodiments are intended to complete the disclosure of the present invention and to those skilled in the art. It is provided for complete information.

도 1은 본 발명의 제 1 실시예에 따른 기판 처리 장치를 도시한 단면도이다. 도 2는 제 2 실시예에 따른 기판 처리 장치를 도시한 단면도이다. 도 3은 제 3 실시예에 따른 기판 처리 장치를 도시한 단면도이다.1 is a cross-sectional view showing a substrate processing apparatus according to a first embodiment of the present invention. 2 is a cross-sectional view showing a substrate processing apparatus according to a second embodiment. 3 is a cross-sectional view illustrating a substrate processing apparatus according to a third embodiment.

도 1을 참조하면, 실시예에 따른 기판 처리 장치는 기판(S)을 처리하는 내부 공간을 가지는 챔버(100), 챔버(100) 내측에 배치되어 그 상부에 기판(S)이 지지 고정되는 기판 지지 유닛(200), 챔버(100) 내에서 기판 지지 유닛(200)의 상측에 배치되어 원료 가스를 분사하며, 상하 방향으로 이격 배치된 제 1 및 제 2 샤워헤드(310, 320), 상하 방향으로 배치된 제 1 및 제 2 샤워헤드(310, 320)를 관통하도록 설치되며, 내부에서 플라즈마가 발생되는 플라즈마 발생관(510), 플라즈마 발생관(510)의 외주면에 권취되는 안테나(520) 및 챔버(100)의 내부 및 외부 중 적어도 어느 하나의 영역에 설치된 복수의 자기장 발생부(600)를 포함한다. 또한, 일단이 제 1 샤워헤드(310)와 연결되어 상기 제 1 샤워헤드(310)로 원료 가스를 공급하는 제 1 원료 공급 라인(330), 일단이 플라즈마 발생관(510)과 연결되어 상기 플라즈마 발생관(510)으로 원료 가스를 공급하는 제 2 원료 공급 라인(530), 제 1 샤워헤드(310)의 전원을 인가하는 제 1 전원 공급부(340), 안테나(520)에 전원을 인가하는 제 2 전원 공급부(540) 및 기판 지지 유닛(200)에 바이어스(bias) 전원을 공급하는 제 3 전원 공급부(230)를 포함한다. 여기서 제 1 샤워헤드(310)와 플라즈마 발생관(510) 내로 공급되는 원료 가스는 기판(S)에 형성되는 막의 종류 및 식각 종류에 따라 이종 또는 동일의 가스를 사용한다. 예컨데 기판(S)에 옥사이드(SiO2) 막을 형성하기 위해 제 1 샤워헤드에는 O2 또는 N20 가스를 공급하여 플라즈마를 형성하며, 플라즈마 발생관(510)은 SiH4 또는 TEOS 가스를 주입하여 플라즈마를 형성 한다. 식각의 경우 제 1 샤워헤드(310)와 플라즈마 발생관(510) 내로 XF계열( NF3, F2, C3F8, SF6 등)과 O2 등 동일 가스를 사용한다. 또한 불활성 가스인 He, Ar, N2 등도 제 1 샤워헤드(310)와 플라즈마 발생관(510) 내로 동일한 가스를 공급하여 사용한다. 식각 가스로는 NF3, F2, BCl3, CH4, Cl2, CF4, CHF3, CH2F2, C2F6, C3F8, C4F8, C5F8, C4F6 등을 사용할 수 있다, 물론 이에 한정되지 않고 SiH4, TEOS, O2, NH4, N2O, CaHb(탄화수소화합물) 등을 사용하여 박막을 형성 할 수 있으며, 상기 연료의 수송 및 플라즈마 발생의 보조 가스로 He, Ar, N2 등 불활성 가스를 이용 할 수 있다.Referring to FIG. 1, a substrate processing apparatus according to an embodiment may include a chamber 100 having an internal space for processing a substrate S and a substrate disposed inside the chamber 100 to support and fix the substrate S thereon. The first and second shower heads 310 and 320 disposed in the support unit 200 and the chamber 100 in an upper side of the substrate support unit 200 to inject the raw gas, and are spaced apart in the vertical direction, and the vertical direction. It is installed to penetrate the first and second shower head (310, 320) disposed in the plasma generator, the plasma generating tube 510, the antenna 520 wound around the outer peripheral surface of the plasma generating tube 510 and It includes a plurality of magnetic field generating unit 600 installed in at least one of the interior and exterior of the chamber 100. In addition, one end is connected to the first shower head 310, the first raw material supply line 330 for supplying the raw material gas to the first shower head 310, one end is connected to the plasma generating tube 510, the plasma A second source supply line 530 for supplying source gas to the generator tube 510, a first power supply 340 for applying power to the first shower head 310, and an agent for applying power to the antenna 520. And a third power supply 230 for supplying bias power to the second power supply 540 and the substrate support unit 200. Here, the source gas supplied into the first shower head 310 and the plasma generating tube 510 uses heterogeneous or the same gas according to the type and etching type of the film formed on the substrate S. For example, in order to form an oxide (SiO 2 ) film on the substrate S, the first showerhead is supplied with O 2 or N 2 0 gas to form a plasma, and the plasma generating tube 510 injects SiH 4 or TEOS gas. Form a plasma. In the case of etching, the same gas as the XF series (NF 3 , F 2 , C 3 F 8 , SF 6, etc.) and O 2 is used into the first shower head 310 and the plasma generating tube 510. In addition, He, Ar, N 2, and the like, which are inert gases, are also supplied to the first shower head 310 and the plasma generating tube 510 to supply the same gas. Etch gases include NF 3 , F 2 , BCl 3 , CH 4 , Cl 2 , CF 4 , CHF 3 , CH 2 F 2 , C 2 F 6 , C 3 F 8 , C 4 F 8 , C 5 F 8 , C 4 F 6 may be used. Of course, the present invention is not limited thereto, and a thin film may be formed using SiH 4 , TEOS, O 2 , NH 4 , N 2 O, CaHb (hydrocarbon compound), and the like. Inert gases such as He, Ar, and N 2 may be used as auxiliary gases for plasma generation.

챔버(100)는 내부가 비어있는 사각통 형상으로 제작되나, 내부에는 소정의 내부 공간이 마련된다. 챔버(100)의 형상은 이에 한정되지 않고, 기판(S)의 형상에 대응하는 다양한 형상으로 제조될 수 있음은 무론이다. 도시되지는 않았지만, 챔버(100)의 일측에는 기판(S)이 출입하는 출입구(미도시)가 마련되며, 챔버(100) 내부의 압력을 조절하는 압력 조절 수단(미도시) 및 챔버(100)의 내부를 배기하는 배기 수단(미도시)을 구비할 수도 있다. 이러한 챔버는 접지(ground) 되어 있는 것이 바람직하다. 실시예에서는 챔버(100) 내부의 상측 영역에 제 1 및 제 2 샤워헤드(310, 320), 플라즈마 발생관(510), 복수의 자기장 발생부(600)가 설치되므로, 제 1 및 제 2 샤워헤드(310, 320), 플라즈마 발생관(510), 복수의 자기장 발생부(600) 간을 절연시킬 필요가 있다. 이에, 챔버(100) 내 측벽 중 제 1 및 제 2 샤워헤드(310, 320), 플라즈마 발생관(510), 복수의 자기장 발생부(600)가 설치되는 영역의 챔버(100) 내 측벽에 제 1 절연 부재(110a)가 장착되고, 챔버(100) 내 상부벽에 제 2 절연 부재(110b)가 장착되며, 제 1 샤워헤드(310)의 상부면에 제 3 절연 부재(110c)가 장착된다. 여기서 제 1 내지 제 3 절연 부재(110c)는 절연 물질 예컨데, 세라믹 또는 파이렉스로 이루어진 플레이트를 이용하거나, 세라믹 또는 파이렉스로 이루어진 물질을 토포하여 코팅막 형태로 제조할수 있다.The chamber 100 is manufactured in a rectangular cylinder shape with an empty inside, but a predetermined internal space is provided therein. The shape of the chamber 100 is not limited thereto, and may be manufactured in various shapes corresponding to the shape of the substrate S. Although not shown, a chamber 100 is provided at one side thereof with an entrance (not shown) through which the substrate S enters and exits, a pressure regulating means (not shown) for regulating the pressure inside the chamber 100, And exhaust means (not shown) for exhausting the inside of the exhaust pipe. Such chambers are preferably grounded. In the embodiment, since the first and second shower heads 310 and 320, the plasma generating tube 510, and the plurality of magnetic field generating units 600 are installed in the upper region of the chamber 100, the first and second showers are provided. It is necessary to insulate between the heads 310 and 320, the plasma generating tube 510, and the plurality of magnetic field generating units 600. Accordingly, the first and second showerheads 310 and 320, the plasma generating tube 510, and the plurality of magnetic field generators 600 are installed on the sidewalls of the chamber 100 in the sidewalls of the chamber 100. The first insulating member 110a is mounted, the second insulating member 110b is mounted on the upper wall of the chamber 100, and the third insulating member 110c is mounted on the upper surface of the first shower head 310. . Here, the first to third insulating members 110c may be manufactured in the form of a coating film by using an insulating material, for example, a plate made of ceramic or pyrex or by covering a material made of ceramic or pyrex.

기판 지지 유닛(200)은 챔버(100) 내에서 제 2 샤워헤드(320)의 하측에 배치되며, 그 상부에 기판(S)이 안치되는 기판 지지 부재(210), 일단이 기판 지지 부재(210)와 연결되고 타단이 챔버(100) 하부의 외측으로 돌출되어 제 3 전원 공급부(230)와 연결되는 샤프트(220)를 포함한다. 기판 지지 부재(210)는 예컨데 정전기력을 이용하여 기판(S)을 지지 고정하는 정전척 또는 진공 흡착력을 이용하여 기판(S)을 지지 고정하는 수단일 수 있다. 물론 이에 한정되지 않고 기판(S)을 지지할 수 있는 다양한 수단을 기판 지지 부재(210)로 이용할 수 있다. 또한, 도시되지는 않았지만, 기판 지지 부재(210) 내부에는 기판(S)을 가열하는 히터(미도시), 상기 기판 지지 부재(210) 또는 기판(S)을 쿨링 시키는 쿨링 라인(미도시)이 장착될 수 있다. 샤프트(220)의 타단은 도시되지는 않았지만, 상기 샤프트(220) 또는 기판 지지 부재(210)를 승하강 또는 회전시키는 구동부(미도시)와 연결될 수 있다.The substrate supporting unit 200 is disposed below the second shower head 320 in the chamber 100, and has a substrate supporting member 210 having one end of the substrate S disposed thereon, and one end of the substrate supporting member 210. ) And the other end protrudes outward from the bottom of the chamber 100 to include a shaft 220 connected to the third power supply 230. The substrate support member 210 may be, for example, an electrostatic chuck for supporting and fixing the substrate S by using electrostatic force or a means for supporting and fixing the substrate S by using vacuum suction force. Of course, the present invention is not limited thereto, and various means capable of supporting the substrate S may be used as the substrate support member 210. Although not shown, a heater (not shown) for heating the substrate S, a cooling line (not shown) for cooling the substrate support member 210, or the substrate S may be provided inside the substrate support member 210. Can be mounted. Although not shown, the other end of the shaft 220 may be connected to a driving unit (not shown) that raises or lowers the shaft 220 or the substrate support member 210.

제 1 샤워헤드(310)는 기판 지지 유닛(200)의 상측에서, 챔버(100)의 폭 방향으로 연장되도록 설치되며, 복수의 제 1 분사홀(310a)을 통해 원료 가스를 분사한다. 또한 제 1 샤워헤드(310)는 원료 가스를 공급하는 제 1 원료 공급 라인(330) 및 플라즈마 발생을 위한 전원을 인가하는 제 1 전원 공급부(340)와 연결된다. 제 2 샤워헤드(320)는 챔버(100) 내에서 제 1 샤워헤드(310)와 기판 지지 부재(210) 사이에서 위치하며, 상기 제 1 샤워헤드(310)의 연장 방향을 따라 설치되고, 접지된다. 또한, 제 2 샤워헤드(320)에는 복수의 제 2 분사홀(310b)이 마련되는데, 상기 제 2 분사홀(310b)은 제 1 샤워헤드(310)의 마련된 제 1 분사홀(310a)의 직하에 위치하여, 상기 제 1 분사홀(310a)을 통과한 원료 가스가 제 2 분사홀(310b)로 유입될 수 있도록 상호 연통된다. 물론 이에 한정되지 않고 제 1 분사홀(310a)과 제 2 분사홀(310b)이 서로 엇갈리도록 배치될 수도 있다. 여기서 제 1 분사홀(310a) 및 제 2 분사홀(310b) 각각의 크기는 0.01인치 이상이 되는 것이 바람직하다. 이는, 제 1 샤워헤드(310)에 전원 인가 시에, 상기 제 1 샤워헤드(310)와 제 2 샤워헤드(320)에서 아킹(arcking )이 발생되는 것을 억제하고, 플라즈마 발생 시에 기생 플라즈마 생성을 억제하기 위함이다.The first shower head 310 is installed to extend in the width direction of the chamber 100 at the upper side of the substrate support unit 200 and injects the raw material gas through the plurality of first injection holes 310a. In addition, the first shower head 310 is connected to the first source supply line 330 for supplying the source gas and the first power supply unit 340 for applying power for plasma generation. The second shower head 320 is positioned between the first shower head 310 and the substrate support member 210 in the chamber 100, and is installed along the extending direction of the first shower head 310 and is grounded. do. In addition, a plurality of second injection holes 310b are provided in the second shower head 320, and the second injection holes 310b are directly under the first injection holes 310a provided in the first shower head 310. Located in, the source gas passing through the first injection hole 310a is in communication with each other so that the second injection hole (310b) can be introduced. Of course, the present invention is not limited thereto, and the first injection hole 310a and the second injection hole 310b may be alternately disposed. The size of each of the first and second injection holes 310a and 310b may be 0.01 inch or more. This suppresses arcing in the first shower head 310 and the second shower head 320 when power is applied to the first shower head 310, and generates parasitic plasma when the plasma is generated. This is to suppress the.

하기에서는 제 1 샤워헤드(310)와 제 2 샤워헤드(320) 사이의 이격 공간에 플라즈마를 생성하는 과정을 설명한다.Hereinafter, a process of generating plasma in a space between the first shower head 310 and the second shower head 320 will be described.

제 1 원료 공급 라인(330)으로부터 제 1 샤워헤드(310)로 원료 가스가 공급되면, 상기 원료 가스는 복수의 제 1 분사홀(310a)을 통해 제 1 샤워헤드(310)와 제 2 샤워헤드(320)의 이격 공간으로 분사된다. 이때, 제 1 전원 공급부(340)는 제 1 샤워헤드(310)에 RF 전원을 공급하고, 제 2 샤워헤드(320)를 접지시키면, 제 1 샤워헤드(310)와 제 2 샤워헤드(320) 사이의 이격 공간에서 원료 가스가 방전되어, 플라즈마 바람직하게는 용량성 플라즈마(CCP PLASMA)가 발생된다. 하기에서는 제 1 샤워헤드(310)와 제 2 샤워헤드(320) 사이의 이격 공간을 '제 1 플라즈마 영역(P1)'이라 명명한다. 제 1 플라즈마 영역(P1)에서 플라즈마화된 가스는 제 2 샤워헤드(320)의 복수의 제 2 분사홀(310b)을 통해 제 2 샤워헤드(320)의 하측으로 이동한다. 이때, 상부에 기판(S)이 안착된 기판 지지 부재(210)에는 바이어스 전원이 인가되므로, 제 2 샤워헤드(320)와 기판(S) 사이 영역의 플라즈마 중 양이온이 기판(S)의 표면에 입사 또는 충돌함으로써, 기판(S) 상에 박막을 형성하거나, 상기 기판(S) 또는 기판(S) 상에 형성된 박막을 식각한다. 여기서, 기판 지지 부재(210)에는 소정의 낮은 DC 파워가 인가되기 때문에, 제 2 샤워헤드(320)와 기판 지지부(210)로 인한 별도의 플라즈마는 생성되지 않는다. 하기에서는 제 2 샤워헤드(320)와 기판(S) 사이의 영역을 '반응 영역(R)'이라 명명한다. 이와 같이 제 1 플라즈마 영역(P1)에서 발생된 용량성 플라즈마(CCP PLASMA)는 후술되는 플라즈마 발생관(510)으로부터 발생된 공명 플라즈마가 기판(S)까지 도달하는 과정에서 그 밀도가 감소하는 것을 보상하기 위한 것이다. 즉, 플라즈마 발생관(510) 내에서 발생된 공명 플라즈마는 안테나(520)로부터 멀어질 수록 그 밀도가 감소하는 경향을 보인다. 따라서, 플라즈마 발생관(510)으로부터 발생된 공명 플라즈마가 기판까지 도달하는 과정에서 그 밀도가 감소할 수 있다. 이에, 실시예에서 추가로 용량성 플라즈마(CCP PLASMA)를 발생시켜, 공명 플라즈마의 물리적인 밀도 감소를 보상한다. 또한, 플라즈마 발생관(510)에서 생성되는 공진 플라즈마의 경우 이온 에너지 및 이동 속도가 높아, 상기 공진 플라즈마 만을 단독으로 사용할 경우 기판(S) 또는 기판(S) 상에 형성된 박막이 손상될 수 있다. 하지만, 실시예에서와 같이 제 1 플라즈마 영역(P1)에서 공진 플라즈마에 비해 이온 에너지 및 플라즈마 밀도가 낮은 용량성 플라즈마를 함께 생성하여, 상기 공진 플라즈마와 용량성 플라즈마의 상호 작용에 의해 기판(S) 또는 박막이 손상되는 것을 방지한다.When the raw material gas is supplied from the first raw material supply line 330 to the first shower head 310, the raw material gas is supplied to the first shower head 310 and the second shower head through the plurality of first injection holes 310a. It is injected into the space of 320. In this case, when the first power supply unit 340 supplies RF power to the first shower head 310 and grounds the second shower head 320, the first shower head 310 and the second shower head 320 are disposed. The source gas is discharged in the space between them to generate a plasma, preferably a capacitive plasma (CCP PLASMA). In the following description, a space between the first showerhead 310 and the second showerhead 320 is referred to as a 'first plasma region P1'. Gas plasmad in the first plasma region P1 moves downward of the second shower head 320 through the plurality of second injection holes 310b of the second shower head 320. At this time, since the bias power is applied to the substrate support member 210 on which the substrate S is seated thereon, cations in the plasma in the region between the second showerhead 320 and the substrate S are applied to the surface of the substrate S. By entering or colliding, a thin film is formed on the substrate S, or the thin film formed on the substrate S or the substrate S is etched. Here, since a predetermined low DC power is applied to the substrate support member 210, a separate plasma due to the second showerhead 320 and the substrate support 210 is not generated. Hereinafter, the region between the second showerhead 320 and the substrate S will be referred to as a 'reaction region R'. As described above, the capacitive plasma CCP PLASMA generated in the first plasma region P1 compensates for the decrease in density of the resonance plasma generated from the plasma generating tube 510, which will be described later, to reach the substrate S. It is to. That is, the resonant plasma generated in the plasma generating tube 510 tends to decrease in density as it moves away from the antenna 520. Therefore, the density of the resonance plasma generated from the plasma generating tube 510 may reach the substrate. Thus, the embodiment further generates a capacitive plasma (CCP PLASMA) to compensate for the physical density reduction of the resonant plasma. In addition, the resonant plasma generated in the plasma generating tube 510 has high ion energy and a moving speed, and when only the resonant plasma is used alone, the substrate S or the thin film formed on the substrate S may be damaged. However, as in the embodiment, in the first plasma region P1, a capacitive plasma having a lower ion energy and a lower plasma density than the resonant plasma is generated together, and the substrate S is formed by the interaction of the resonant plasma and the capacitive plasma. Or damage the thin film.

플라즈마 발생관(510)은 내부공간을 가지는 파이프 형상으로 제작되며, 그 외주면에는 안테나(520)가 권취된다. 이러한 플라즈마 발생관(510)은 챔버(100)의 길이 방향으로 연장되며, 제 1 및 제 2 샤워헤드(310, 320)를 상하 방향으로 관통하도록 장착된다. 즉, 플라즈마 발생관(510)은 제 1 샤워헤드(310)의 상측에서 제 2 샤워헤드(320)의 하부까지 연장되며, 상기 플라즈마 발생관(510)의 하부는 제 2 샤워헤드(320)의 하부로 돌출되지 않도록 하는 것이 바람직하다. 실시예에서는 플라즈마 발생관(510)을 복수개로 마련하고, 상호 이격되도록 배치한다. 이러한 플라즈마 발생관(510)은 파이렉스 및 세라믹과 같은 절연 물질을 이용하여 제작된다. 절연 용기(미도시)로 이루어진다. 예를 들어, 절연 용기(미도시)로 파이렉스 또는 세라믹을 이용할 수 있다. 안테나(520)는 플라즈마 발생관(510) 즉, 절연 용기(미도시)의 외주면을 권취하고, 일단이 제 2 전원 공급부(540)와 연결 된다. 실시예에 따른 안테나(520)는 구리(Cu)로 이루어지며, 플라즈마 발생관(510)의 외주면을 나선형(helix)으로 권취한다. 하지만 안테나(520)의 형상은 상기에서 설명한 나선형(helica)에 한정되지 않고, 다양한 형상 예컨데, Nagoya 타입, half-nagoya 타입, double-leg 타입, double hafr-turn 타입, boswell(double-saddle) 타입, shoji 타입, phased 타입 등의 다양한 형상으로 제조될 수 있다. 이러한 안테나(520)는 여기 주파수 파장을 λ라 할때, λ/2의 정수배가 되는 길이를 가지는 것이 바람직하다. 이는 복수의 플라즈마 발생관(510) 각각에 안테나(520)를 권취하도록 설치함에 따라, 복수의 안테나(520)의 임피던스를 빠르게 매칭시켜, RF 전원 인가시 불안전한 플라즈마의 발생을 줄이기 위함입니다.The plasma generating tube 510 is manufactured in a pipe shape having an inner space, and an antenna 520 is wound around the outer circumferential surface thereof. The plasma generating tube 510 extends in the longitudinal direction of the chamber 100 and is mounted to penetrate the first and second shower heads 310 and 320 in the vertical direction. That is, the plasma generating tube 510 extends from the upper side of the first shower head 310 to the lower portion of the second shower head 320, and the lower portion of the plasma generating tube 510 is formed of the second shower head 320. It is preferable not to protrude downward. In the embodiment, a plurality of plasma generating tubes 510 are provided and arranged to be spaced apart from each other. The plasma generating tube 510 is manufactured using an insulating material such as pyrex and ceramic. It consists of an insulated container (not shown). For example, pyrex or ceramics can be used as the insulating container (not shown). The antenna 520 winds up the outer circumferential surface of the plasma generating tube 510, that is, the insulating container (not shown), and one end thereof is connected to the second power supply 540. The antenna 520 according to the embodiment is made of copper (Cu), and wound around the outer circumferential surface of the plasma generating tube 510 in a helix. However, the shape of the antenna 520 is not limited to the above-described helica, and various shapes, for example, Nagoya type, half-nagoya type, double-leg type, double hafr-turn type, and boswell (double-saddle) type. It can be manufactured in various shapes such as shoji type and phased type. Such an antenna 520 preferably has a length that is an integer multiple of λ / 2 when the excitation frequency wavelength is λ. This is because the antenna 520 is wound around each of the plurality of plasma generating tubes 510 so as to quickly match impedances of the plurality of antennas 520 to reduce generation of an unstable plasma when RF power is applied.

하기에서는 플라즈마 발생관 내부에서 플라즈마를 생성하는 과정을 설명한다.Hereinafter, a process of generating plasma in the plasma generation tube will be described.

제 2 원료 공급 라인(530)으로부터 플라즈마 발생관(510)으로 원료 가스를 공급하고, 제 2 전원 공급부(540)를 이용하여 안테나에 RF 전원을 인가하면, 플라즈마 발생관 내부에서 원료 가스가 방전되어 플라즈마가 생성된다. 하기에서는 플라즈마 발생관 내부를 '제 2 플라즈마 영역(P2)'으로 명명한다. 이때, 안테나(520)가 플라즈마 발생관(510)을 나선형으로 권취하고, 상기 안테나(520)의 길이가 전술한 바와 같이 λ/2의 정수배가 되며, 플라즈마 발생관(510) 내부의 좁은 공간에서 반응이 이루어지므로, 제 2 플라즈마 영역(P2)에서는 고밀도의 공진 플라즈마가 발생된다. 제 2 플라즈마 영역(P2)에서 발생된 공진 플라즈마 중 양이온은 기판 지지 부재(210)에 인가된 바이어스 전원에 의해, 상기 기판 지지 부재(210) 상에 안착된 기판의 표면에 입사 또는 충돌한다. 이로 인해, 기판(S) 상에 박막을 형성하거나, 상기 기판(S) 또는 기판(S) 상에 형성된 박막을 식각한다.ㄹWhen the raw material gas is supplied from the second raw material supply line 530 to the plasma generating tube 510, and RF power is applied to the antenna using the second power supply 540, the raw material gas is discharged in the plasma generating tube. Plasma is generated. Hereinafter, the inside of the plasma generation tube is referred to as a 'second plasma region P2'. At this time, the antenna 520 is wound around the plasma generating tube 510 in a spiral, the length of the antenna 520 is an integer multiple of λ / 2 as described above, in a narrow space inside the plasma generating tube 510 Since the reaction is performed, high density resonant plasma is generated in the second plasma region P2. The cations in the resonant plasma generated in the second plasma region P2 are incident or collided with the surface of the substrate seated on the substrate support member 210 by a bias power applied to the substrate support member 210. Therefore, a thin film is formed on the substrate S or the thin film formed on the substrate S or the substrate S is etched.

이와 같이 제 2 플라즈마 영역(P2)에서 발생된 공진 플라즈마는 고밀도의 특성을 가지고 있고, 기판(S)을 향해 이동하는 이온 에너지 및 플라즈마 밀도가 높아 공정 속도를 향상시키는 효과가 있다. 하지만, 공명 플라즈마가 기판까지 도달하는 과정에서 그 밀도가 감소할 수 있는데, 이를 제 1 플라즈마 영역(P1)에서 발생된 용량성 플라즈마(CCP PLASMA)가 보상해 준다. 따라서, 기판(S)과 반응하는 플라즈마의 전체 밀도가 감소하는 것을 방지할 수 있다. 또한, 플라즈마 발생관(510)에서 생성되는 공진 플라즈마의 경우 이온 에너지 및 이동 속도가 높아, 상기 공진 플라즈마 만을 단독으로 사용할 경우 기판(S) 또는 기판(S) 상에 형성된 박막이 손상될 수 있다. 하지만, 실시예에서와 같이 제 1 플라즈마 영역(P1)에서 공진 플라즈마에 비해 이온 에너지 및 플라즈마 밀도가 낮은 용량성 플라즈마를 함께 생성하여, 상기 공진 플라즈마와 용량성 플라즈마의 상호 작용에 의해 기판(S) 또는 박막이 손상되는 것을 방지한다.As described above, the resonant plasma generated in the second plasma region P2 has a high density and has high ion energy and plasma density moving toward the substrate S, thereby improving the process speed. However, as the resonance plasma reaches the substrate, its density may decrease, which is compensated by the capacitive plasma (CCP PLASMA) generated in the first plasma region P1. Therefore, it is possible to prevent the overall density of the plasma reacting with the substrate S from decreasing. In addition, the resonant plasma generated in the plasma generating tube 510 has high ion energy and a moving speed, and when only the resonant plasma is used alone, the substrate S or the thin film formed on the substrate S may be damaged. However, as in the embodiment, in the first plasma region P1, a capacitive plasma having a lower ion energy and a lower plasma density than the resonant plasma is generated together, and the substrate S is formed by the interaction of the resonant plasma and the capacitive plasma. Or damage the thin film.

자기장 발생부(600)는 챔버(100)의 내부 및 외부에 설치되어, 제 1 플라즈마 영역(P1)에서 발생된 플라즈마와 제 2 플라즈마 영역(P2)에서 발생된 플라즈마가 균일하게 확산될 수 있도록 자기장을 발생시키는 역할을 한다. 이러한 자기장 발생부(600)는 챔버(100)의 내부 및 챔버 외부 중 적어도 어느 하나의 영역에 설치된다. 챔버(100) 내부에 설치되는 자기장 발생부(600)는 제 1 샤워헤드(310)의 상부에 장착된 제 3 절연 부재(110c)의 상측에 위치하는 것이 바람직하다. 즉, 챔버(100) 내부에 설치되는 자기장 발생부(600)는 챔버(100) 내의 상부벽에 장착된 제 2 절연 부재(110b)와 제 1 샤워헤드(310)의 상부에 장착된 제 3 절연 부재(110c) 사이에 장착된다. 또한, 복수의 플라즈마 발생관(510) 사이에서 상호 이격 배치된다. 챔버(100) 외부에 설치되는 자기장 발생부(600)는 상기 챔버(100)의 주위를 둘러 싸도록 설치되며, 상기 챔버(100)의 상측 및 하측에 설치되는 것이 바람직하다. 물론 챔버(100) 외부에 설치되는 자기장 발생부(600)의 위치는 다양하게 변경될 수 있다. 실시예에 따른 자기장 발생부(600)는 전자석 코일로 이루어지 진다. 여기서, 자기장 발생부(600)는 코일의 형태로 제작되어, 챔버(100) 내에 배치된 자기장 발생부(600)는 플라즈마 발생관(510) 주위를 감싸도록 설치되며, 외부에 설치된 자기장 발생부(600)는 챔버(100) 주위를 둘러싸도록 설치된다. 이러한 자기장 발생부(600)에 전원을 인가하면, 챔버(100) 외부 및 내부에 자기장이 발생되는데, 상기 자기장은 제 1 플라즈마 영역(P1)에서 발생된 용량성 플라즈마 및 제 2 플라즈마 영역에서 발생된 공진 플라즈마가 균일하게 확산되도록 하는 역할을 한다. 예를 들어, 자기장 발생부(600)가 장착되지 않을 경우, 제 2 플라즈마 발생관(510)의 내부는 플라즈마 밀도가 높으나, 제 2 샤워헤드(320) 하측에 해당하는 반응 영역(R)의 플라즈마 밀도가 낮다. 따라서, 챔버(100)의 외부 및 내부에 자기장 발생부(600)를 장착하여, 플라즈마 발생관(510)의 주위에 자기장을 걸어줌으로써, 공진 플라즈마가 자기장의 자속을 따라 선형운동을 하도록 유도한다. 이에, 플라즈마 발생관(510) 내부의 공진 플라즈마가 외부로 이동하여 반응 영역 전체에 균일하게 확산된다.The magnetic field generator 600 is installed inside and outside the chamber 100 so that the plasma generated in the first plasma region P1 and the plasma generated in the second plasma region P2 can be uniformly diffused. It serves to generate. The magnetic field generator 600 is installed in at least one of the inside of the chamber 100 and the outside of the chamber. The magnetic field generating unit 600 installed in the chamber 100 may be located above the third insulating member 110c mounted on the first shower head 310. That is, the magnetic field generator 600 installed inside the chamber 100 may include the second insulation member 110b mounted on the upper wall of the chamber 100 and the third insulation mounted on the first shower head 310. It is mounted between the members 110c. In addition, the plasma generating tubes 510 are spaced apart from each other. The magnetic field generating unit 600 installed outside the chamber 100 is installed to surround the chamber 100, and is preferably installed above and below the chamber 100. Of course, the position of the magnetic field generator 600 installed outside the chamber 100 may be variously changed. Magnetic field generating unit 600 according to the embodiment is made of an electromagnetic coil. Here, the magnetic field generating unit 600 is manufactured in the form of a coil, the magnetic field generating unit 600 disposed in the chamber 100 is installed to surround the plasma generating tube 510, the magnetic field generating unit ( 600 is installed to surround the chamber 100. When power is applied to the magnetic field generator 600, a magnetic field is generated outside and inside the chamber 100, and the magnetic field is generated in the capacitive plasma and the second plasma region generated in the first plasma region P1. It serves to spread the resonant plasma uniformly. For example, when the magnetic field generating unit 600 is not mounted, the inside of the second plasma generating tube 510 has a high plasma density, but the plasma of the reaction region R corresponding to the lower side of the second shower head 320 is lower. Low density Therefore, the magnetic field generating unit 600 is mounted to the outside and the inside of the chamber 100, and by applying a magnetic field around the plasma generating tube 510, the resonant plasma is induced to linearly move along the magnetic flux of the magnetic field. As a result, the resonant plasma inside the plasma generating tube 510 moves to the outside and is uniformly dispersed in the entire reaction region.

상기에서는 플라즈마 발생관(510)이 제 1 샤워헤드(310)의 상측에서 제 2 샤워헤드(320)의 하부까지 연장 설치되는 것으로 설명하였다. 하지만, 이에 한정되지 않고 도 2에 도시된 제 2 실시예에서와 같이, 플라즈마 발생관(510)이 제 1 샤워헤드(310)의 상측에서 상기 제 1 샤워헤드(310)의 하부까지 연장되도록 설치될 수 있다. 즉, 플라즈마 발생관(510)이 제 1 샤워헤드(310) 하측으로 돌출되지 않도록 설치된다. 또한, 도 3에 도시된 제 3 실시예에서와 같이 제 1 샤워헤드(310)의 하측에 제 2 샤워헤드(320)가 설치되지 않고, 플라즈마 발생관(510)이 제 1 샤워헤드(310)의 상측에서 상기 제 1 샤워헤드(310)의 하부까지 연장되도록 설치될 수 있다.In the above, it has been described that the plasma generating tube 510 extends from the upper side of the first shower head 310 to the lower side of the second shower head 320. However, the present invention is not limited thereto, and the plasma generating tube 510 is installed to extend from the upper side of the first shower head 310 to the lower side of the first shower head 310 as in the second embodiment shown in FIG. 2. Can be. That is, the plasma generating tube 510 is installed so as not to protrude below the first shower head 310. In addition, as in the third exemplary embodiment illustrated in FIG. 3, the second shower head 320 is not installed below the first shower head 310, and the plasma generating tube 510 is disposed in the first shower head 310. It may be installed to extend from the upper side to the lower portion of the first shower head 310.

또한, 도 1 내지 도 3에서는 자기장 발생부(600)가 챔버(100)의 내부 및 외부 모두에 설치되는 것을 설명하였다. 하지만 이에 한정되지 않고, 도 1 내지 도 3에 도시된 제 1 내지 제 3 실시예 각각의 경우에서, 챔버(100)의 내부 및 외부 중 어느 하나의 영역에 자기장 발생부(600)가 설치될 수도 있다.In addition, in FIGS. 1 to 3, the magnetic field generator 600 is installed in both the inside and the outside of the chamber 100. However, the present invention is not limited thereto, and in each case of the first to third embodiments illustrated in FIGS. 1 to 3, the magnetic field generating unit 600 may be installed in any one of the inside and the outside of the chamber 100. have.

하기에서는 도 1을 참조하여, 제 1 실시예에 따른 기판 처리 장치의 동작 및 기판 처리 방법을 설명한다.Hereinafter, an operation and a substrate processing method of the substrate processing apparatus according to the first embodiment will be described with reference to FIG. 1.

먼저, 챔버(100) 내로 기판을 인입시켜, 상기 챔버(100) 내에 배치된 기판 지지 부재(210) 상에 기판(S)을 안착시킨다. 실시예에서는 기판(S)으로 웨이퍼를 이용하나 이에 한정되지 않고, 유리 기판, 고분자 기판, 플라스틱 기판, 금속 기판 등 다양한 기판을 이용할 수 있다.First, the substrate is introduced into the chamber 100 and the substrate S is seated on the substrate supporting member 210 disposed in the chamber 100. In the embodiment, the wafer is used as the substrate S, but is not limited thereto. Various substrates such as a glass substrate, a polymer substrate, a plastic substrate, and a metal substrate may be used.

기판 지지 부재(210) 상에 기판(S)이 안치되면, 제 1 원료 공급 라인(330)을 통해 제 1 샤워헤드(310)로 원료 가스를 공급하고, 제 1 전원 공급부(340)를 이용하여 제 1 샤워헤드(310)에 RF 전원을 인가하며, 제 2 샤워헤드(320)를 접치시킨다. 또한, 기판 지지 부재(210)에 바이어스 전원을 인가하고, 챔버(100) 내부 및 외부에 설치된 복수의 자기장 발생부(600)에 전원을 인가하여, 자기장을 발생시킨다. 이에, 제 1 샤워헤드(310)의 복수의 제 1 분사홀(310a)을 통해 상기 제 1 샤워헤드(310)와 제 2 샤워헤드(320) 사이의 이격 공간 즉, 제 1 플라즈마 영역(P1)으로 원료 가스가 분사된다. 제 1 샤워헤드(310)에는 RF 전원이 인가 되고, 제 2 샤워헤드(320)는 접지(ground) 되어 있으므로, 제 1 플라즈마 영역(P1)에 용량성 플라즈마(CCCP Plasma)가 발생된다. 이후, 제 1 플라즈마 영역(P1)에서 발생된 용량성 플라즈마는 제 2 샤워헤드(320)의 복수의 제 2 분사홀(310b)을 통해 상기 제 2 샤워헤드(320)의 하측 즉, 반응 영역(R)으로 이동한다.When the substrate S is placed on the substrate supporting member 210, the raw material gas is supplied to the first shower head 310 through the first raw material supply line 330, and the first power supply unit 340 is used. RF power is applied to the first shower head 310 and the second shower head 320 is contacted. In addition, a bias power is applied to the substrate support member 210, and power is applied to the plurality of magnetic field generators 600 installed inside and outside the chamber 100 to generate a magnetic field. Accordingly, the space between the first shower head 310 and the second shower head 320, that is, the first plasma region P1, is formed through the plurality of first injection holes 310a of the first shower head 310. The raw material gas is injected. Since RF power is applied to the first shower head 310 and the second shower head 320 is grounded, a capacitive plasma CCCP plasma is generated in the first plasma region P1. Subsequently, the capacitive plasma generated in the first plasma region P1 is lower than the second shower head 320, that is, the reaction region through the plurality of second injection holes 310b of the second shower head 320. Go to R).

제 1 원료 공급 라인(330)을 통해 제 1 샤워헤드(310)로 원료 가스를 공급하고, 제 1 샤워헤드(310)에 RF 전원을 인가하는 작업과 함께, 제 2 원료 공급 라인(530)을 통해 플라즈마 발생관(510) 내로 원료 가스를 공급하고, 제 2 전원 공급부(540)를 이용하여 플라즈마 발생관(510)을 권취하는 안테나에 RF 전원을 인가한다. 이에, 플라즈마 발생관(510)의 내부 즉, 제 2 플라즈마 영역(P2)에서 공진 플라즈마가 발생된다. 이때, 플라즈마 발생관(510)의 내부 즉, 제 2 플라즈마 영역(P2)에서 생성된 공진 플라즈마는 자기장 발생부(600)에 의해 생성된 자기장의 자속에 의해 선형 운동을 하면서 반응 영역으로 이동한다. 따라서, 제 2 플라즈마 영역(P2)에서 생성된 공진 플라즈마가 반응 영역 전체에 균일하게 확산된다. The raw material gas is supplied to the first shower head 310 through the first raw material supply line 330, and RF power is applied to the first shower head 310. The source gas is supplied into the plasma generating tube 510 and RF power is applied to the antenna around the plasma generating tube 510 using the second power supply 540. Thus, a resonant plasma is generated in the plasma generating tube 510, that is, in the second plasma region P2. At this time, the resonant plasma generated in the plasma generating tube 510, that is, in the second plasma region P2, moves to the reaction region while performing linear motion by the magnetic flux of the magnetic field generated by the magnetic field generator 600. Therefore, the resonant plasma generated in the second plasma region P2 is uniformly diffused throughout the reaction region.

이와 같이 제 1 플라즈마 영역(P1)에서 발생된 플라즈마 및 제 2 플라즈마 영역(P2)에서 발생된 플라즈마는 기판(S) 상에 박막을 형성하거나, 기판(S) 또는 박막을 식각한다. 즉, 제 1 플라즈마 영역(P1)에서 발생된 플라즈마 및 제 2 플라즈마 영역(P2)에서 발생된 플라즈마의 양이온이 바이어스 전원이 인가된 기판(S)에 입사 또는 충돌함으로써, 기판(S) 상에 박막을 형성하거나, 기판(S) 또는 박막을 식각한다.As described above, the plasma generated in the first plasma region P1 and the plasma generated in the second plasma region P2 form a thin film on the substrate S or etch the substrate S or the thin film. That is, the cations of the plasma generated in the first plasma region P1 and the plasma generated in the second plasma region P2 are incident or collided with the substrate S to which the bias power is applied, thereby forming a thin film on the substrate S. Or etching the substrate (S) or thin film.

한편, 제 2 플라즈마 영역(P2)에서 생성된 공진 플라즈마는 반응 영역(R)으로 이동하던 중에 그 밀도가 감소할 수 있는데, 이를 제 1 플라즈마 영역(P1)에서 생성된 용량성 플라즈마가 보상해 준다. 따라서, 공진 플라즈마의 밀도가 감소되어 공정 속도가 감소하는 것을 방지할 수 있으며, 종래에 비해 기판(S) 처리 공정 시간을 단축할 수 있는 효과가 있다. 또한, 또한, 플라즈마 발생관(510)에서 생성되는 공진 플라즈마의 경우 이온 에너지 및 플라즈마 밀도가 높아, 상기 공진 플라즈마 만을 단독으로 사용할 경우 기판(S) 또는 기판(S) 상에 형성된 박막이 손상될 수 있다. 하지만, 실시예에서와 같이 제 1 플라즈마 영역(P1)에서 공진 플라즈마에 비해 이온 에너지 및 플라즈마 밀도가 낮은 용량성 플라즈마를 함께 생성하여, 상기 공진 플라즈마와 용량성 플라즈마의 상호 작용에 의해 기판(S) 또는 박막이 손상되는 것을 방지한다. 따라서, 막질이 우수한 박막을 형성할 수 있다.Meanwhile, the resonant plasma generated in the second plasma region P2 may decrease in density while moving to the reaction region R, which is compensated by the capacitive plasma generated in the first plasma region P1. . Therefore, the density of the resonant plasma can be reduced to prevent the process speed from being reduced, and the process time for processing the substrate S can be shortened as compared with the conventional art. In addition, the resonant plasma generated in the plasma generating tube 510 has high ion energy and plasma density, and when only the resonant plasma is used alone, the substrate S or the thin film formed on the substrate S may be damaged. have. However, as in the embodiment, in the first plasma region P1, a capacitive plasma having a lower ion energy and a lower plasma density than the resonant plasma is generated together, and the substrate S is formed by the interaction of the resonant plasma and the capacitive plasma. Or damage the thin film. Therefore, a thin film excellent in film quality can be formed.

100: 챔버 200: 기판 지지 유닛
110a 내지 110c: 제 1 내지 제 3 절연 부재 310: 제 1 샤워헤드
320: 제 2 샤워헤드 510: 플라즈마 발생관
520: 안테나 600: 자기장 발생부
100: chamber 200: substrate support unit
110a to 110c: first to third insulating members 310: first showerhead
320: second showerhead 510: plasma generating tube
520: antenna 600: magnetic field generating unit

Claims (13)

내부 공간을 가지는 챔버;
상기 챔버 내에 배치되며, 플라즈마 발생을 위한 전원이 인가되어, 내측 혹은 외측에 제 1 플라즈마 영역이 형성되도록 하는 샤워헤드;
상기 챔버의 내부에서 상기 챔버의 길이 방향으로 연장되고, 상기 샤워헤드를 관통하도록 설치되고, 내부에 제 2 플라즈마 영역이 형성되도록 하는 플라즈마 발생관; 및
상기 플라즈마 발생관의 외주면을 둘러 싸도록 설치되며, 플라즈마 발생을 위한 전원이 인가되는 안테나를 포함하는 기판 처리 장치.
A chamber having an internal space;
A shower head disposed in the chamber and having a power applied to generate plasma to form a first plasma region inside or outside;
A plasma generation tube extending in the chamber in the longitudinal direction of the chamber, penetrating the shower head, and having a second plasma region formed therein; And
And an antenna installed to surround an outer circumferential surface of the plasma generation tube and to which power for plasma generation is applied.
청구항 1에 있어서,
상기 제 1 플라즈마 영역에서 용량성 플라즈마(CCP PLASMA)가 발생되고, 상기 제 2 플라즈마 영역에서 공진 플라즈마가 발생되는 기판 처리 장치.
The method according to claim 1,
Capacitive plasma (CCP PLASMA) is generated in the first plasma region, resonant plasma is generated in the second plasma region.
청구항 1에 있어서,
상기 샤워헤드는 상측에 위치하며 RF 전원이 인가되는 제 1 샤워헤드, 상기 제 1 샤워헤드의 하측으로 이격 배치되며 접지되는 제 2 샤워헤드를 포함하고,
상기 제 1 플라즈마 영역은 상기 제 1 샤워헤드와 제 2 샤워헤드 사이의 영역인 기판 처리 장치.
The method according to claim 1,
The shower head includes a first shower head which is located on the upper side and RF power is applied, a second shower head spaced below and grounded below the first shower head,
And the first plasma region is an area between the first showerhead and the second showerhead.
청구항 3에 있어서,
상기 플라즈마 발생관은 상기 제 1 및 제 2 샤워헤드를 상하 방향으로 관통하여, 상기 제 1 샤워헤드의 상측에서부터 상기 제 2 샤워헤드의 하부까지 연장 설치되는 기판 처리 장치.
The method according to claim 3,
And the plasma generating tube penetrates the first and second shower heads in a vertical direction and extends from an upper side of the first shower head to a lower part of the second shower head.
청구항 3에 있어서,
상기 플라즈마 발생관은 상기 제 1 샤워헤드를 상하 방향으로 관통하여, 상기 제 1 샤워헤드의 상측에서부터 상기 제 1 샤워헤드의 하부까지 연장 설치되는 기판 처리 장치.
The method according to claim 3,
And the plasma generating tube penetrates the first shower head in a vertical direction and extends from an upper side of the first shower head to a lower portion of the first shower head.
청구항 1에 있어서,
상기 챔버의 내부 및 외부 중 적어도 어느 하나의 영역에 설치되어, 자기장을 발생시키는 자기장 발생부를 포함하는 기판 처리 장치.
The method according to claim 1,
And a magnetic field generator disposed in at least one of the inside and the outside of the chamber to generate a magnetic field.
청구항 6에 있어서,
상기 챔버 내부에 배치되는 자기장 발생부는 상기 샤워헤드의 상측에 위치하는 기판 처리 장치.
The method of claim 6,
The magnetic field generating unit disposed inside the chamber is located above the shower head.
청구항 1에 있어서,
상기 플라즈마 발생관이 복수개로 마련되어 상호 이격 배치되고, 상기 플라즈마 발생관 사이에 자기장 발생부가 배치되는 기판 처리 장치.
The method according to claim 1,
And a plurality of plasma generating tubes are disposed to be spaced apart from each other, and a magnetic field generating unit is disposed between the plasma generating tubes.
청구항 6에 있어서,
상기 챔버 내벽 중 상기 샤워헤드, 플라즈마 발생관 및 자기장 발생부의 주위 영역에 절연 부재가 배치되고, 상기 샤워헤드 상부에 절연 부재가 배치되며, 상기 샤워헤드 상부에 장착된 절연 부재 상측에 자기장 발생부가 배치되는 기판 처리 장치.
The method of claim 6,
An insulating member is disposed in the surrounding areas of the shower head, the plasma generating tube, and the magnetic field generating part of the chamber inner wall, the insulating member is disposed above the shower head, and the magnetic field generating part is disposed above the insulating member mounted on the shower head. Substrate processing apparatus.
청구항 6에 있어서,
상기 자기장 발생부로 전자석 코일을 이용하는 기판 처리 장치.
The method of claim 6,
A substrate processing apparatus using an electromagnet coil as the magnetic field generator.
청구항 1에 있어서,
상기 플라즈마 발생관은 절연 물질을 이용하여 제작되는 기판 처리 장치.
The method according to claim 1,
The plasma generating tube is manufactured using an insulating material.
청구항 1에 있어서,
상기 챔버 내부에서 상기 샤워헤드의 하측에 배치되어 기판을 지지하며, 바이어스 전원이 인가되는 기판 처리 장치.
The method according to claim 1,
A substrate processing apparatus disposed under the shower head in the chamber to support a substrate and to receive a bias power.
청구항 1에 있어서,
상기 샤워헤드로 원료 가스를 공급하는 제 1 원료 공급 라인 및 상기 플라즈마 발생관 내부로 원료 가스를 공급하는 제 2 원료 공급 라인을 포함하는 기판 처리 장치.
The method according to claim 1,
And a second raw material supply line for supplying a raw material gas to the shower head and a second raw material supply line for supplying a raw material gas into the plasma generating tube.
KR1020110067481A 2011-07-07 2011-07-07 Apparatus for processing substrate KR101229793B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020110067481A KR101229793B1 (en) 2011-07-07 2011-07-07 Apparatus for processing substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110067481A KR101229793B1 (en) 2011-07-07 2011-07-07 Apparatus for processing substrate

Publications (2)

Publication Number Publication Date
KR20130005841A KR20130005841A (en) 2013-01-16
KR101229793B1 true KR101229793B1 (en) 2013-02-08

Family

ID=47837046

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110067481A KR101229793B1 (en) 2011-07-07 2011-07-07 Apparatus for processing substrate

Country Status (1)

Country Link
KR (1) KR101229793B1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102167594B1 (en) * 2013-12-04 2020-10-19 삼성전자주식회사 Method of processing a substrate and apparatus for performing the same
KR102578766B1 (en) * 2015-09-24 2023-09-15 삼성전자주식회사 Ion beam etching device
KR101962428B1 (en) 2018-05-24 2019-07-17 (주)샘스트로닉 Needleless syringe

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100423954B1 (en) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 Chemical Vapor Deposition Method
KR20050042701A (en) * 2003-11-04 2005-05-10 삼성전자주식회사 Helical resonator type plasma processing apparatus
KR20080061806A (en) * 2006-12-28 2008-07-03 주식회사 케이씨텍 Surface processing apparatus for substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100423954B1 (en) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 Chemical Vapor Deposition Method
KR20050042701A (en) * 2003-11-04 2005-05-10 삼성전자주식회사 Helical resonator type plasma processing apparatus
KR20080061806A (en) * 2006-12-28 2008-07-03 주식회사 케이씨텍 Surface processing apparatus for substrate

Also Published As

Publication number Publication date
KR20130005841A (en) 2013-01-16

Similar Documents

Publication Publication Date Title
US20160160351A1 (en) Liner assembly and substrate processing apparatus having the same
KR100988085B1 (en) High density plasma processing apparatus
KR101011580B1 (en) Externally excited torroidal plasma source with magnetic control of ion distribution
EP1984975B1 (en) Method and apparatus for producing plasma
KR20030029130A (en) Externally excited torroidal plasma source
KR101229793B1 (en) Apparatus for processing substrate
KR101227571B1 (en) Gas injection Assembly and apparatus for processing substrate
JP2021503686A (en) Ultra-localization and plasma uniformity control in the manufacturing process
US10600622B2 (en) Focus ring with uneven pattern and plasma-processing apparatus including the same
KR100980287B1 (en) Inductively coupled plasma reactor having multi rf antenna
KR100716263B1 (en) Apparatus for dry etching
KR20080028848A (en) Inductively coupled plasma reactor for wide area plasma processing
KR100845917B1 (en) Inductively coupled plasma reactor for wide area plasma processing
US7410552B2 (en) Electron cyclotron resonance equipment with variable flare angle of horn antenna
KR101932859B1 (en) Plasma source and plasma generation apparatus using the same
KR20110109216A (en) Pecvd showerhead with inductively coupled plasma source
KR101139829B1 (en) Apparatus for multi supplying gas and plasma reactor with apparatus for multi supplying gas
KR101033950B1 (en) Plasma processing apparatus
KR100785404B1 (en) Inductively coupled plasma antenna, apparatus and method for treating substrates using the same
KR101146132B1 (en) Plasma processing apparatus
KR101280240B1 (en) Substrate processing apparatus
KR101237400B1 (en) plasma etching apparatus
KR101267819B1 (en) Substrate processing apparatus
KR20110135071A (en) Electrode structure for large size plasma processing apparatus
KR20090113492A (en) Mold cleaning apparatus for high density semiconductor inductive coupled plasma type

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180124

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190124

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20200116

Year of fee payment: 8