KR101071004B1 - Integrated thermal unit - Google Patents

Integrated thermal unit Download PDF

Info

Publication number
KR101071004B1
KR101071004B1 KR1020077019639A KR20077019639A KR101071004B1 KR 101071004 B1 KR101071004 B1 KR 101071004B1 KR 1020077019639 A KR1020077019639 A KR 1020077019639A KR 20077019639 A KR20077019639 A KR 20077019639A KR 101071004 B1 KR101071004 B1 KR 101071004B1
Authority
KR
South Korea
Prior art keywords
substrate
baking
shuttle
station
plate
Prior art date
Application number
KR1020077019639A
Other languages
Korean (ko)
Other versions
KR20070092331A (en
Inventor
데이비드 에이치 콱
마틴 제프 살리나스
테츠야 이시카와
Original Assignee
가부시키가이샤 소쿠도
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/174,988 external-priority patent/US7282675B2/en
Priority claimed from US11/174,782 external-priority patent/US7288746B2/en
Priority claimed from US11/174,681 external-priority patent/US7274005B2/en
Priority claimed from US11/174,781 external-priority patent/US7297906B2/en
Application filed by 가부시키가이샤 소쿠도 filed Critical 가부시키가이샤 소쿠도
Publication of KR20070092331A publication Critical patent/KR20070092331A/en
Application granted granted Critical
Publication of KR101071004B1 publication Critical patent/KR101071004B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece

Abstract

기판을 보유 및 가열하는 베이킹 플레이트를 포함하는 베이킹 스테이션; 기판을 보유 및 냉각시키는 냉경 플레이트를 포함하는 냉경 스테이션; 및 기판을 상기 열 장치 내에서 좌우 방향의 선형 경로를 따라 베이킹 플레이트로부터 냉경 플레이트로 이송하고, 기판을 상기 통합 열 장치 내에서 상하 방향 경로를 따라 상승 및 하강시키는 기판 이송 셔틀을 포함하는 통합 열 장치를 제공한다.

Figure R1020077019639

통합 열 장치, 기판, 포토 리소그라피, 클러스터, 베이킹, 냉각.

A baking station comprising a baking plate for holding and heating the substrate; A chill station comprising a chill plate for holding and cooling the substrate; And a substrate transfer shuttle for transferring the substrate from the baking plate to the cold plate along a linear path in the lateral direction in the thermal device, and for raising and lowering the substrate along a vertical path in the integrated thermal device. To provide.

Figure R1020077019639

Integrated thermal devices, substrates, photolithography, clusters, baking, cooling.

Description

통합 열 장치{INTEGRATED THERMAL UNIT}Integrated Thermal Unit {INTEGRATED THERMAL UNIT}

본 발명은 일반적으로, 기판 처리 장비 분야에 관한 것이다. 특히, 본 발명은, 집적 회로의 형성에 이용되는 반도체 기판과 같은 기판들의 온도 제어 방법 및 장치에 관한 것이다. The present invention generally relates to the field of substrate processing equipment. In particular, the present invention relates to a method and apparatus for temperature control of substrates such as semiconductor substrates used in the formation of integrated circuits.

근대의 집적 회로는, 실리콘, 금속 및/또는 유전체층과 같은 물질을 패턴화함으로써 형성되는 수백만의 개개의 소자를 포함하는데, 이러한 소자들은 1㎛의 몇 분의 1인 크기로 집적 회로를 구성한다. 그러한 패턴을 형성하는 산업의 전반에 걸쳐서 이용되는 기술이 포토 리소그라피(photolithography)이다. 전형적인 포토 리소그라피 처리 과정은, 일반적으로, 기판의 표면상에 하나 또는 그 이상의 균일한 포토레지스트(레지스트) 층을 증착하는 단계, 상기 증착된 층을 건조 및 경화하는 단계, 상기 포토레지스트 층을 노광시키되, 상기 노광되는 층을 변형시키기에 적합한 전자기 방사선에 노출시킴으로써 상기 기판을 패턴화하는 단계 및 상기 패턴화된 포토레지스트 층을 현상하는 단계를 포함한다. Modern integrated circuits include millions of individual devices that are formed by patterning materials such as silicon, metal, and / or dielectric layers, which constitute an integrated circuit that is one-tenth of a micrometer. Photolithography is a technique used throughout the industry to form such patterns. A typical photolithography process generally involves depositing one or more uniform photoresist (resist) layers on the surface of a substrate, drying and curing the deposited layers, and exposing the photoresist layer. Patterning the substrate by exposure to electromagnetic radiation suitable for modifying the exposed layer and developing the patterned photoresist layer.

반도체 산업에 있어서, 포토 리소그라피 처리와 관련된 많은 단계들은, 제어된 방식으로 반도체 웨이퍼를 순차적으로 처리할 수 있는 성능을 지닌 멀티 챔버(multi-chamber) 처리 시스템(예를 들면, 클러스터 툴(cluster tool))에서 수행되는 것이 일반적이다. 포토레지스트 물질을 증착(즉, 코팅)하고 현상하는데 이용되는 클러스터 툴의 일례는, 통상적으로 트랙 리소그라피 툴(track lithography tool)이라고 한다. In the semiconductor industry, many of the steps associated with photolithography processing are multi-chamber processing systems (eg, cluster tool) with the ability to process semiconductor wafers sequentially in a controlled manner. Is usually performed in One example of a cluster tool used to deposit (ie, coat) and develop photoresist material is commonly referred to as a track lithography tool.

트랙 리소그라피 툴은, 전형적으로, 리소그라피 처리 전과 후에 관련된 다양한 작업 수행에 전용되는 다중 챔버(본 명세서에서는, 때로 스테이션이라고도 함)를 수용하는 메인 프레임을 포함한다. 전형적으로 트랙 리소그라피 툴 내에서는, 습식(wet) 및 건식(dry) 처리실(processing chamber)이 모두 존재한다. 습식 챔버는 코팅 및/또는 현상 볼(bowl)을 포함하고, 건식 챔버는 베이킹 및/또는 냉경 플레이트를 수용하는 열 제어 장치를 포함한다. 또한 트랙 리소그라피 툴은 종종, 기판을 청정실(clean room)으로부터 수취하거나 상기 청정실로 기판을 돌려보내기 위해, 산업 표준 FOUP(front opening unified pod)와 같은, 하나 또는 그 이상의 포드(pod)/카세트(cassette) 탑재 디바이스를 포함하고, 상기 트랙 툴의 다양한 챔버/스테이션 간에 기판을 이송하기 위해 다중 기판 이송 로봇을 포함하며, 노광 도구 내로 기판을 이송하고 상기 기판이 노광 도구 내에서 처리된 후 상기 노광 도구로부터 기판을 수취하기 위해, 상기 툴이 리소그라피 노광 도구와 연결되도록 하는 인터페이스를 포함한다. Track lithography tools typically include a main frame that houses multiple chambers (sometimes referred to herein as stations) dedicated to performing the various tasks involved before and after lithography processing. Typically in track lithography tools, there are both wet and dry processing chambers. The wet chamber includes a coating and / or developing bowl, and the dry chamber includes a thermal control device to accommodate baking and / or cold plates. Track lithography tools also often have one or more pods / cassettes, such as the industry standard front opening unified pod (FOUP), to receive the substrate from the clean room or return the substrate to the clean room. ) A mounting device, comprising a multi-substrate transfer robot for transferring substrates between the various chambers / stations of the track tool, transferring the substrates into an exposure tool and from the exposure tool after the substrate has been processed within the exposure tool. In order to receive the substrate, the tool includes an interface to connect with a lithography exposure tool.

수년간 반도체 산업 내에는, 반도체 디바이스의 크기를 줄이고자 하는 강한 요구가 있어왔다. 감소된 형상 크기는, 당해 산업의 처리 변형성의 허용 오차가 감소하도록 하였고, 이는 결과적으로, 반도체 제조 사양이 처리 획일성 및 반복 가능성 면에서 더 엄격한 요건을 갖도록 하였다. 트랙 리소그라피 처리 과정 동안 처리의 변형성을 최소화함에 있어서 중요한 요소는, 특정 응용물을 위해 트랙 리소그라피 툴 내에서 처리된 모든 기판이 동일한 "웨이퍼 이력(wafer history)"을 갖는다는 것을 보증하는 것이다. 일반적으로, 이후에 디바이스의 성능에 영향을 미칠 수 있는 모든 디바이스 제작 처리 변수들이 제어되고 있음을 보증하기 위해, 기판의 웨이퍼 이력이 처리 기술자에 의해 감시 및 제어되고, 이로써 동일 군(群)내에 있는 모든 기판은 항상 동일한 방식으로 처리된다. In the semiconductor industry for many years, there has been a strong demand to reduce the size of semiconductor devices. The reduced shape size has led to a reduction in the tolerances of processing strain in the industry, which in turn has resulted in semiconductor manufacturing specifications having more stringent requirements in terms of processing uniformity and repeatability. An important factor in minimizing the deformation of the process during the track lithography process is to ensure that all substrates processed within the track lithography tool for the particular application have the same "wafer history". In general, the wafer history of the substrate is monitored and controlled by a processing engineer, thereby ensuring that all device fabrication process variables that can subsequently affect the performance of the device are controlled and thereby within the same group. All substrates are always processed in the same way.

각각의 기판이 동일한 "웨이퍼 이력"을 갖는 것을 보증하기 위해서는, 각 기판이 반복 가능한 동일한 기판 처리 단계(예를 들면, 일관된 코팅 처리, 일관된 하드(hard) 베이킹 처리, 일관된 냉경 처리 등)를 거쳐야 하고, 다양한 처리 단계들 간의 타이밍이 각 기판에 대해 동일해야 한다. 리소그라피 타입 디바이스 제작 처리는 특히 처리 레시피(recipe) 변수 및 레시피 단계들간 타이밍에서의 변화에 대해 민감하게 반응할 수 있는데, 이는 처리 변형성에 직접 영향을 주고, 궁극적으로 디바이스 성능에 영향을 미친다. To ensure that each substrate has the same "wafer history", each substrate must undergo the same repeatable substrate processing steps (e.g., consistent coating treatment, consistent hard baking treatment, consistent cold treatment, etc.) The timing between the various processing steps should be the same for each substrate. Lithography type device fabrication processes can be particularly sensitive to changes in process recipe variables and timing between recipe steps, which directly affect process variability and ultimately affect device performance.

이러한 요건들의 관점에서, 반도체 산업계는 트랙 리소그라피 및 다른 타입 들의 클러스터 툴의 대한 웨이퍼 이력에서의 획일성을 향상시킬 수 있는 방안을 지속적으로 연구하고 있으며, 또한 그에 관한 도구 및 기술을 발전시키고 있다.In view of these requirements, the semiconductor industry continues to explore ways to improve uniformity in wafer history for track lithography and other types of cluster tools, and also develops tools and techniques for them.

본 발명에 의하면, 반도체 제조 장비에 관한 방법 및 장치가 제공된다. 특히, 본 발명의 실시예들은 고도로 제어 가능한 방식으로 기판을 가열 및/또는 냉각시키는 방법 및 장치에 관한 것이다. 본 발명의 실시예들은, 복수의 기판이 고도로 제어 가능한 방식으로 동일한 가열 및 냉각 과정에 따라 처리되어, 각각의 기판에 대한 일관된 웨이퍼 이력을 보증할 수 있도록 고안된다. 본 발명의 소정의 실시예들은 트랙 리소그라피 툴의 챔버 또는 스테이션에서의 기판의 가열 및/또는 냉각에 특히 유용한 반면, 본 발명의 다른 실시예들은 고도로 제어 가능한 방식으로 기판을 가열 및 냉각시키도록 요구되는 다른 응용물에 있어서 이용될 수 있다. According to the present invention, a method and apparatus for semiconductor manufacturing equipment are provided. In particular, embodiments of the present invention relate to methods and apparatus for heating and / or cooling a substrate in a highly controllable manner. Embodiments of the present invention are designed such that a plurality of substrates may be processed according to the same heating and cooling process in a highly controllable manner to ensure a consistent wafer history for each substrate. Certain embodiments of the present invention are particularly useful for heating and / or cooling a substrate in a chamber or station of a track lithography tool, while other embodiments of the invention are required to heat and cool a substrate in a highly controllable manner. It can be used in other applications.

본 발명의 소정의 실시예들은 통합 열 장치에 관련된다. 그러한 일 실시예에 의하면, 통합 열 장치는, 표면상에 지지된 기판을 가열하는 베이킹 플레이트; 표면상에 지지된 기판을 냉각시키는 냉경 플레이트; 및 상기 베이킹 플레이트에 의해 가열된 기판을 냉각시킬 수 있는 온도 제어 기판 보유 표면을 포함하고, 상기 베이킹 플레이트로부터 상기 냉경 플레이트로 기판을 이송하는 기판 이송 셔틀을 포함한다. Certain embodiments of the present invention relate to an integrated thermal device. According to one such embodiment, an integrated thermal apparatus includes a baking plate for heating a substrate supported on a surface; A cold plate for cooling the substrate supported on the surface; And a substrate transfer shuttle that includes a temperature controlled substrate holding surface capable of cooling the substrate heated by the baking plate, and transfers the substrate from the baking plate to the cold plate.

본 발명의 다른 실시예에 의하면, 통합 열 장치는, 기판을 보유 및 가열하는 베이킹 플레이트를 포함하는 베이킹 스테이션; 기판을 보유 및 냉각시키는 냉경 플 레이트를 포함하는 냉경 스테이션; 및 기판을 상기 열 장치 내에서 좌우 방향의 선형 경로를 따라 베이킹 플레이트로부터 냉경 플레이트로 이송하고, 기판을 상기 통합 열 장치 내에서 상하 방향 경로를 따라 상승 및 하강시키는 기판 이송 셔틀을 포함한다.According to another embodiment of the present invention, an integrated heating device includes a baking station including a baking plate for holding and heating a substrate; A chill station comprising a chill plate for holding and cooling the substrate; And a substrate transfer shuttle for transferring the substrate from the baking plate to the cold plate along a linear path in the lateral direction in the thermal device, and raising and lowering the substrate along the vertical path in the integrated thermal device.

본 발명의 다른 실시예에 의하면, 통합 열 장치는, 베이킹 위치에서 기판을 보유 및 가열하는 기판 보유 표면을 포함하는 베이킹 플레이트; 냉각 위치에서 기판을 보유 및 냉각시키는 기판 보유 표면을 포함하는 냉경 플레이트를 포함하되, 상기 베이킹 플레이트의 상기 기판 보유 표면은, 상기 베이킹 플레이트가 상기 베이킹 위치에 있을 때에 실질적으로 수평인 제1 평면에 위치하고, 상기 냉경 플레이트의 상기 기판 보유 표면은 상기 냉경 플레이트가 냉각 위치에 있을 때에 상기 제1 평면 아래에 있는, 실질적으로 수평인 제2 평면에 위치한다.According to another embodiment of the present invention, an integrated thermal device comprises: a baking plate comprising a substrate holding surface for holding and heating a substrate in a baking position; A chill plate comprising a substrate holding surface for holding and cooling the substrate in a cooling position, wherein the substrate holding surface of the baking plate is located in a first substantially horizontal plane when the baking plate is in the baking position; The substrate holding surface of the chill plate is located in a substantially horizontal second plane below the first plane when the chill plate is in a cooling position.

본 발명의 또 다른 실시예에 의하면, 베이킹 스테이션이 제공된다. 상기 베이킹 스테이션은, 상면에 지지된 기판을 가열하고, 상측의 베이킹 위치와 하측의 냉각 위치 사이에서 상하 방향으로 움직일 수 있는 베이킹 플레이트; 상기 베이킹 플레이트가 상기 하측의 냉각 위치에 있을 때, 상기 베이킹 플레이트의 하면에 결합 가능하게 연결되는 복수의 열 싱크를 포함한다.According to another embodiment of the present invention, a baking station is provided. The baking station may include: a baking plate capable of heating a substrate supported on an upper surface thereof and moving in an up and down direction between an upper baking position and a lower cooling position; And a plurality of heat sinks operatively connected to a bottom surface of the baking plate when the baking plate is in the lower cooling position.

본 발명의 소정의 다른 실시예들은 트랙 리소그라피 툴에 관련되는데, 상기 트랙 리소그라피 툴은, 웨이퍼의 하나 또는 그 이상의 카세트(cassette)를 수취하는 복수의 포드(pod) 어셈블리 및 상기 트랙 리소그라피 툴 내에서 하나 또는 그 이상의 상기 포드 어셈블리로부터 처리 모듈로 웨이퍼를 이송하는 하나 또는 그 이 상의 로봇을 포함하되, 상기 처리 모듈 중 적어도 하나는 상기 실시예들 중 하나에 의한 통합 열 장치를 포함한다.Certain other embodiments of the present invention relate to a track lithography tool, the track lithography tool comprising a plurality of pod assemblies and one within the track lithography tool that receive one or more cassettes of a wafer. Or one or more robots for transferring wafers from more of the pod assemblies to a processing module, wherein at least one of the processing modules includes an integrated thermal device according to one of the embodiments.

본 발명의 또 다른 실시예들은 통합 열 장치에서의 기판 처리 방법에 관한 것이다. 일 실시예에 의하면, 베이킹 플레이트 및 냉경 플레이트를 포함하는 통합 열 장치에서의 기판 처리 방법은, 액체 레지스트 물질이 인가된 기판을 상기 통합 열 장치 내로 이송하는 단계; 상기 기판을 상기 베이킹 플레이트에 위치시키는 단계; 상기 기판을 상기 베이킹 플레이트로 가열하는 단계; 온도 제어 표면을 포함하는 셔틀로, 상기 기판을 상기 베이킹 플레이트로부터 상기 냉경 플레이트로 이송하는 단계; 상기 기판을 상기 냉경 플레이트로 냉각시키는 단계; 및 상기 기판을 상기 통합 열 장치 외부로 이송하는 단계를 포함한다. Still other embodiments of the present invention relate to a substrate processing method in an integrated thermal device. According to one embodiment, a method of treating a substrate in an integrated thermal apparatus comprising a baking plate and a cold plate comprises the steps of: transferring a substrate to which the liquid resist material is applied into the integrated thermal apparatus; Positioning the substrate on the baking plate; Heating the substrate with the baking plate; Transferring the substrate from the baking plate to the cold plate with a shuttle comprising a temperature control surface; Cooling the substrate with the cold plate; And transferring the substrate out of the integrated thermal device.

다른 실시예에 의하면, 베이킹 플레이트 및 냉경 플레이트를 포함하는 통합 열 장치에서의 기판 처리 방법은, 액체 레지스트 물질이 인가된 기판을 상기 통합 열 장치 내로 이송하는 단계; 상기 기판을 상기 베이킹 플레이트에 위치시키는 단계; 상기 기판을 상기 베이킹 플레이트로 가열하는 단계; 상기 기판을 상기 베이킹 플레이트로부터 상기 냉경 플레이트로 이송 - 상기 이송은, 상기 통합 열 장치 내에서, 기판 이송 셔틀로 좌우 방향의 선형 경로를 따라서 그리고 상하 방향 경로를 따라서 상기 기판을 움직이는 것을 포함함 - 하는 단계; 상기 기판을 상기 냉경 플레이트로 냉각시키는 단계; 및 상기 기판을 상기 통합 열 장치 외부로 이송하는 단계를 포함한다.According to another embodiment, a method of processing a substrate in an integrated thermal apparatus comprising a baking plate and a chill plate, comprising: transferring a substrate to which the liquid resist material is applied into the integrated thermal apparatus; Positioning the substrate on the baking plate; Heating the substrate with the baking plate; Transferring the substrate from the baking plate to the cold plate, wherein the conveying comprises moving the substrate along a linear path in the lateral direction and along a vertical path in the integrated thermal device with a substrate transfer shuttle. step; Cooling the substrate with the cold plate; And transferring the substrate out of the integrated thermal device.

다른 실시예에 의하면, 베이킹 플레이트 및 냉경 플레이트를 포함하는 통합 열 장치에서의 기판 처리 방법은, 액체 레지스트 물질이 인가된 기판을 상기 통합 열 장치 내로 이송하는 단계; 상기 기판을 상기 베이킹 플레이트에 위치시키는 단계; 상기 기판을 상기 베이킹 플레이트로 가열하는 단계; 온도 제어 표면을 포함하는 셔틀로, 상기 기판을 상기 베이킹 플레이트로부터 상기 냉경 플레이트로 이송하는 단계; 상기 기판을 상기 냉경 플레이트로 냉각시키는 단계; 및 상기 기판을 상기 통합 열 장치 외부로 이송하는 단계를 포함한다. According to another embodiment, a method of processing a substrate in an integrated thermal apparatus comprising a baking plate and a chill plate, comprising: transferring a substrate to which the liquid resist material is applied into the integrated thermal apparatus; Positioning the substrate on the baking plate; Heating the substrate with the baking plate; Transferring the substrate from the baking plate to the cold plate with a shuttle comprising a temperature control surface; Cooling the substrate with the cold plate; And transferring the substrate out of the integrated thermal device.

본 발명의 또 다른 실시예에 의하면, 베이킹 플레이트의 설정 온도를 급속히 감소시키는 방법이 제공된다. 당해 실시예는, 상기 베이킹 플레이트가 베이킹 위치에 있는 동안 상기 베이킹 플레이트의 상면에 배치된 기판을 가열하기 위해 상기 베이킹 플레이트를 이용한 후, 상기 베이킹 플레이트를 하측 위치로 하강시키는 단계를 포함하되, 상기 하측 위치에서, 상기 베이킹 플레이트의 하면이, 상기 베이킹 플레이트의 하면에 결합 가능하게 연결되는 복수의 열 싱크와 접촉한다.According to yet another embodiment of the present invention, a method for rapidly reducing a set temperature of a baking plate is provided. The embodiment includes using the baking plate to heat a substrate disposed on an upper surface of the baking plate while the baking plate is in a baking position, and then lowering the baking plate to a lower position, the lower side In position, the bottom surface of the baking plate contacts a plurality of heat sinks that are releasably connected to the bottom surface of the baking plate.

본 발명에 의하면 기존의 기술에 비해 많은 이점이 달성된다. 예를 들면, 하나의 통합 열 장치 내에 베이킹 및 냉경 플레이트를 포함함으로써, 베이킹된 웨이퍼를 냉경 플레이트로 이송하는 것과 관련된 지연을 최소화할 수 있다. 또한, 베이킹 및 냉경 플레이트 사이에서 웨이퍼를 이송하고 온도 제어 기판 보유 표면을 포함하는 셔틀을 포함함으로써, 각각의 웨이퍼의 열적 이력(thermal history)에 관하여 추가적인 제어도(制御度)를 제공할 수 있고, 이로써 복수의 웨이퍼 간의 더 획일적인 열적 이력이 가능하도록 한다. 또한, 본 발명의 실시예들은, 트랙 리소그라피 툴의 주요한, 중앙 로봇(들)에 대한 부하를 감소시킴으로써 챔버 작업 처리량(throughput)을 증가시키고, 상기 주요한 중앙 로봇이 고장난 경우, 베이킹 후의 웨이퍼를 위한 안전한 대피소를 제공한다. 다른 실시예들은, 베이킹 플레이트의 설정 온도를 제1 온도에서, 제1 온도보다 낮은 제2 온도로 변경시키는데 소요되는 시간의 양을 감소시킴으로써, 웨이퍼 작업 처리량을 증가시킨다. 실시예에 따라, 하나 또는 그 이상의 이러한 이점들이, 다른 이점들과 함께 달성될 수 있다. 이러한 그리고 다른 이점들은, 본 명세서의 전반에 걸쳐서, 그리고 특히 이하의 도면과 관련하여 이하에서 더 상세히 기술될 것이다. The present invention achieves many advantages over existing technologies. For example, by including the baking and chill plates in one integrated heating device, the delays associated with transferring the baked wafers to the chill plates can be minimized. It is also possible to provide additional control over the thermal history of each wafer by including a shuttle to transfer wafers between baking and chill plates and including a temperature controlled substrate holding surface, This allows for a more uniform thermal history between multiple wafers. In addition, embodiments of the present invention increase the chamber throughput by reducing the load on the main, robotic robot (s) of the track lithography tool, and are safe for wafers after baking if the main central robot fails. Provide shelter. Other embodiments increase wafer throughput by reducing the amount of time it takes to change the set temperature of the baking plate from the first temperature to a second temperature lower than the first temperature. Depending on the embodiment, one or more of these advantages may be achieved along with other advantages. These and other advantages will be described in more detail below throughout the present specification and in particular with respect to the following figures.

본 발명은, 일반적으로, 고도로 제어 가능한 방식으로 기판을 가열 및 냉각시키는 방법 및 장치를 제공한다. 본 발명의 실시예들은, 트랙 리소그라피 툴(track lithography tool) 내에서 특정 열 레시피(recipe)에 따라 가열되고 냉각되는 복수의 기판에 있어서, 각 기판에 대한 일관된 웨이퍼 이력을 보증하도록 하는 것에 특히 유용하다는 점이 인식되어야 하는 한편, 본 발명의 다른 실시예들은 고도로 제어 가능한 방식으로 기판을 가열 및 냉각시키도록 요구되는 다른 응용물에서 사용될 수 있다. The present invention generally provides a method and apparatus for heating and cooling a substrate in a highly controllable manner. Embodiments of the present invention are particularly useful for ensuring consistent wafer history for each substrate in a plurality of substrates that are heated and cooled according to a particular thermal recipe in a track lithography tool. While it should be appreciated, other embodiments of the present invention may be used in other applications that require heating and cooling the substrate in a highly controllable manner.

도 1은, 본 발명에 따른 통합 열 장치 10의 일 실시예의 간략화된 개념도이다. 통합 열 장치 10은, 사방이 둘러싸인 하우징 40 내에 베이킹 스테이션 12, 냉경 스테이션 14, 및 셔틀 스테이션 16을 모두 포함한다. 셔틀 스테이션 16은, 상기 베이킹 및 냉경 스테이션 사이에서 필요에 따라 기판을 이송하는 셔틀 18을 포함한다. 베이킹 스테이션 12는 베이킹 플레이트 20, 수용부 22 및 냉경 베이스 24를 포함한다. 베이킹 플레이트 20은, 웨이퍼 적재 위치(도 1에서 도시됨), 상기 베이킹 플레이트가 모터식 리프트 28에 의해 클램쉘(clamshell) 수용부 22의 방향으로 와서 그 내부로 넣어지는 차단된 가열 위치 및 상기 베이킹 플레이트가 냉경 베이스 24와 접촉하는 냉각 위치 사이에서 움직일 수 있다. 냉경 베이스 24는, 예를 들면 새로운 열 레시피로 전환하는 때에, 상기 베이킹 플레이트의 설정 온도를 상대적으로 높은 베이킹 온도로부터 낮은 베이킹 온도로 빠르게 변화시킬 수 있도록 냉경하기 위해, 베이킹 플레이트와 결합 가능하게 연결된다.1 is a simplified conceptual diagram of one embodiment of an integrated thermal device 10 according to the present invention. The integrated thermal arrangement 10 comprises all of a baking station 12, a cold station 14, and a shuttle station 16 in an enclosed housing 40. Shuttle station 16 includes shuttle 18 for transferring the substrate as needed between the baking and chilling stations. Baking station 12 includes a baking plate 20, a receptacle 22 and a cold base 24. Baking plate 20 has a wafer loading position (shown in FIG. 1), a blocked heating position in which the baking plate comes in and is inserted into the clamshell receptacle 22 by a motor lift 28 and the baking The plate can move between cooling positions in contact with the cold base 24. The cold base 24 is connectably connectable with the baking plate, for example, to cool it so that it can quickly change the set temperature of the baking plate from a relatively high baking temperature to a low baking temperature when switching to a new heat recipe. .

냉경 스테이션 14는 냉경 플레이트 30 및 입자 차단부 32를 포함하는데, 상기 입자 차단부는, 셔틀 18이 베이킹 스테이션 12로 또는 상기 베이킹 스테이션 12로부터 웨이퍼를 이송하기 위해 상기 냉경 스테이션 위를 통과하는 때에, 냉경 플레이트 30에 놓여진 웨이퍼를, 발생 가능한 먼지 오염으로부터 보호한다. 기판은, 셔터 34a 및 34b와 각각 연결되는 긴 개구를 통해 열 장치 10의 내부 및 외부로 이송될 수 있다. The cold station 14 includes a cold plate 30 and a particle block 32, which block when the shuttle 18 passes over the cold station to transfer wafers to or from the baking station 12. The wafer placed at 30 is protected from possible dust contamination. The substrate can be conveyed into and out of the thermal device 10 through long openings that are connected with the shutters 34a and 34b, respectively.

도 1에서 도시된 통합 열 장치 10의 간략화된 사시도인 도 2a에 도시된 바와 같이, 열 장치 10은 알루미늄 또는 다른 적합한 물질로 이루어진 외부 하우징 40을 포함한다. 하우징 40은 높이에 비해 길이가 긴데, 이는 이후에 베이킹 스테이션 12, 냉경 스테이션 14 및 셔틀 스테이션 16이 서로 인접할 수 있도록 하고, 도 14 에 관하여 이하 기술된 바와 같이 복수의 통합 열 장치들이 트랙 리소그라피 툴에서 일렬로 적층될 수 있도록 하기 위함이다. 일 실시예에 있어서, 하우징 40은 높이가 20cm이다. As shown in FIG. 2A, which is a simplified perspective view of the integrated thermal device 10 shown in FIG. 1, the thermal device 10 includes an outer housing 40 made of aluminum or other suitable material. The housing 40 is long in length relative to the height, which allows the baking station 12, the cold station 14 and the shuttle station 16 to be adjacent to each other, and a plurality of integrated thermal devices are used for the track lithography tool as described below with respect to FIG. This is to be stacked in a line in. In one embodiment, the housing 40 is 20 cm in height.

하우징 40은 측면부 40a, 최상부 40b 및 최하부 40c를 포함한다. 전방 측면부 40a는, 기판이 상기 열 장치의 내부 및 외부로 이송될 수 있도록 하는 두 개의 긴 개구 41a, 41b를 포함한다. 개구 41a는, 셔터 34a(도시되지 않음)에 의해 차단되고 밀봉(seal)될 수 있도록 연결되고, 개구 41b는, 셔터 34b(마찬가지로 도시되지 않음)에 의해 차단되고 밀봉될 수 있도록 연결된다. 하우징 40의 최상부 40b는, 적합한 플레이트(도시되지 않음)가 나사 구멍 44에 의해 최상부 40b에 부착되는 때에 최상부 40b의 온도를 제어하기 위해, 냉각액(coolant fluid)이 채널(channel)을 타고 순환할 수 있도록 하는 냉각제 채널 42를 포함한다. 이와 유사한 냉각제 채널이 최하부 40c의 하면에 형성된다. The housing 40 includes a side portion 40a, a top 40b and a bottom 40c. The front side portion 40a includes two elongated openings 41a, 41b which allow the substrate to be transferred into and out of the thermal arrangement. The opening 41a is connected to be blocked and sealed by the shutter 34a (not shown), and the opening 41b is connected to be blocked and sealed by the shutter 34b (not shown). The top 40b of the housing 40 allows coolant fluid to circulate through the channel to control the temperature of the top 40b when a suitable plate (not shown) is attached to the top 40b by the screw holes 44. Coolant channel 42 to be provided. Similar coolant channels are formed at the bottom of the bottom 40c.

또한 도 2a에는, 베이킹 스테이션 12의 정밀한 베이킹 동작 및 냉경 스테이션 14의 정밀한 냉각 동작을 제어하는 다양한 제어 회로군 46a-46d가 도시되어 있으며, 이하 더 상세히 기술되는 바와 같이, 셔틀 18(도 2a에서는 도시되지 않음)이 상기 열 장치 내에서 열 장치의 길이를 따라 선형으로, 그리고 상하 방향으로 움직일 수 있도록 하는 트랙(track) 48 및 49가 도시되어 있다. 일 실시예에 있어서, 각 스테이션에 관한 온도 조정 메커니즘의 제어가 더 정확하고 민감하게 반응할 수 있도록 하기 위하여, 제어 회로군 46a-46b는 스테이션 12 및 14 부근(예를 들면, 3 피트 이내)에 위치한다. Also shown in FIG. 2A are various control circuit groups 46a-46d that control the precise baking operation of baking station 12 and the precise cooling operation of cold station 14, and as described in more detail below, shuttle 18 (shown in FIG. 2A). Tracks 48 and 49 are shown to allow movement within the thermal arrangement linearly and vertically along the length of the thermal arrangement. In one embodiment, control circuitry 46a-46b is located near stations 12 and 14 (e.g. within 3 feet) in order to allow the control of the temperature adjustment mechanism for each station to be more accurate and sensitive. Located.

도 2b는, 최상부 40b 및 입자 차단부 32(도 1에서 도시됨)가 제거된 상태에서 보여지는, 통합 열 장치 10의 간략화된 사시도이다. 도 2b에서, 베이킹 스테이션 12의 셔틀 18, 냉경 플레이트 30 및 클램쉘 수용부 22가 도시되어 있다. 또한, 하우징 40의 후방 지지부 90 및 최하부 40c 사이에 공간 47이 도시되어 있다. 도 5에 있어서도 도시되어 있는 공간 47은, 이하 상세히 기술되는 바와 같이, 셔틀 18이 스테이션 12, 14 및 16 간에 웨이퍼를 이송할 수 있도록 하기 위해, 통합 열 장치 10의 길이의 상당량을 따라 연장된다. FIG. 2B is a simplified perspective view of the integrated thermal arrangement 10, seen with the top 40b and the particle block 32 (shown in FIG. 1) removed. In FIG. 2B, shuttle 18, cold plate 30 and clamshell receiver 22 of baking station 12 are shown. Also shown is a space 47 between the rear support 90 and the bottom 40c of the housing 40. The space 47, also shown in FIG. 5, extends along a substantial amount of the length of the integrated thermal arrangement 10 to enable shuttle 18 to transfer wafers between stations 12, 14, and 16, as described in detail below.

통합 열 장치 10의 전반적인 동작을 더 잘 인식하고 이해하기 위해서, 이하 도 3을 도 1 및 2b와 함께 참조한다. 도 3은, 본 발명의 방법의 일 실시예에 따라 웨이퍼를 열적으로 취급하기 위해서 열 장치 10에 의해 수행되는 일련의 이벤트를 도시하는 간략화된 블록도이다. 웨이퍼는, 예를 들면, 트랙 리소그라피 툴의 적합한 코팅 스테이션에서 포토레지스트 층이 웨이퍼 상에 증착된 후에, 도 3에서 기술되는 처리에 따라 취급될 수 있다. 이하, 장치 10 내에서의 단일 웨이퍼의 취급에 대해 초점을 맞추어 기술하지만, 당해 기술 분야에서 통상의 지식을 가진 자는 열 장치 10이 종종 두 개의 웨이퍼를 동시에 처리하기 위해 사용될 수 있다는 것을 인식할 것이다. 예를 들면, 하나의 웨이퍼가 베이킹 플레이트 20에서 가열되는 동안, 열 장치 10은 냉경 플레이트 30에서 다른 웨이퍼를 냉각시키거나, 다른 웨이퍼를 그것의 열 처리가 완료된 때 상기 열 장치 외부로 이송하는 처리를 할 수 있다. In order to better recognize and understand the overall operation of the integrated thermal device 10, reference is now made to FIG. 3 in conjunction with FIGS. 1 and 2B. 3 is a simplified block diagram illustrating a series of events performed by thermal apparatus 10 for thermally handling a wafer in accordance with one embodiment of the method of the present invention. The wafer may be handled according to the process described in FIG. 3, for example, after the photoresist layer is deposited on the wafer in a suitable coating station of the track lithography tool. While the following focuses on the handling of a single wafer within device 10, one of ordinary skill in the art will recognize that thermal device 10 can often be used to process two wafers simultaneously. For example, while one wafer is being heated in baking plate 20, thermal device 10 may perform a process of cooling another wafer in cold plate 30 or transferring another wafer out of the thermal device when its heat treatment is complete. can do.

도 3에 도시된 바와 같이, 열 장치 10에 있어서의 웨이퍼의 이력은, 웨이퍼 이송 슬롯 41b를 통해 상기 열 장치 10 내로 웨이퍼를 이송하고, 셔틀 스테이션 16 에서 정지 리프트 핀 36(도 1)상에 상기 웨이퍼를 배치함으로써 시작된다(도 3, 단계 50). 상기 웨이퍼는, 예를 들면, 중앙 로봇에 의해 열 장치 10 내로 이송될 수 있는데, 상기 중앙 로봇은 트랙 리소그라피 툴 내의 하나 또는 그 이상의 코팅 또는 현상 스테이션(도시되지 않음)은 물론 웨이퍼 이송 슬롯 41a 및 41b 양쪽 모두를 원조한다. 전형적으로 웨이퍼 이송 슬롯 41b는 셔터 34b에 의해 차단되므로, 단계 50은 셔터 34b를 개방된 슬롯 41b로 옮기는 단계를 더 포함한다. 단계 50 동안 셔틀 18은 스테이션 16에서 웨이퍼 수취 위치에 있는데, 여기서 리프트 핀 36이 상기 셔틀 18의 슬롯 19a 및 19b를 통해 연장된다. 웨이퍼가 리프트 핀 36에 적절히 자리잡은 다음, 로봇 암(arm)은 열 장치로부터 후퇴하고, 냉경 셔틀 18이 정지 리프트 핀 36으로부터 상기 웨이퍼를 올리기 위해 상승된 다음(도 3, 단계 51) 상기 웨이퍼를 베이킹 스테이션 12로 이송하기 위해 열 장치의 길이를 따라 선형으로 움직인다(도 3, 단계 52). 셔틀 18은, 냉경 스테이션 14에서, 베이킹 스테이션 12로의 경로를 따라 입자 차단부 32 위에서 움직인다.As shown in FIG. 3, the history of the wafer in the thermal device 10 transfers the wafer into the thermal device 10 through the wafer transfer slot 41b and on the stop lift pin 36 (FIG. 1) at the shuttle station 16. It begins by placing the wafer (FIG. 3, step 50). The wafer can be transferred, for example, by means of a central robot into the thermal apparatus 10, which can be transferred to wafer transfer slots 41a and 41b as well as one or more coating or developing stations (not shown) in the track lithography tool. Assist both. Since wafer transfer slot 41b is typically blocked by shutter 34b, step 50 further includes moving shutter 34b to open slot 41b. During step 50 the shuttle 18 is in the wafer receiving position at station 16 where the lift pins 36 extend through slots 19a and 19b of the shuttle 18. After the wafer is properly positioned on the lift pin 36, the robot arm retracts from the thermal device, and the cold shuttle 18 is raised to raise the wafer from the stop lift pin 36 (FIG. 3, step 51). It moves linearly along the length of the thermal device to transfer to baking station 12 (FIG. 3, step 52). Shuttle 18, in cold station 14, moves above the particle block 32 along the path to baking station 12.

베이킹 스테이션 12에서, 상기 웨이퍼는 리프트 핀 38에 배치되고, 셔틀 18은 다른 작업을 처리하거나 셔틀 스테이션 16에서의 홈(home) 위치로 복귀하게 된다(도 3, 단계 53). 상기 셔틀이 홈 위치로 돌아가는 동안, 베이킹 플레이트 20이 모터식 리프트 28에 의해 상승되어 정지 리프트 핀 38로부터 웨이퍼를 들어올리고, 상기 웨이퍼를 클램쉘 수용부 22 내의 베이킹 위치로 가져간다(도 3, 단계 54). 클램쉘 수용부 22 내에 들어가면, 웨이퍼는 요구되는 열 레시피에 따라 가열되거나 베이킹된다(도 3, 단계 55).In baking station 12, the wafer is placed on lift pin 38 and shuttle 18 processes another job or returns to the home position at shuttle station 16 (FIG. 3, step 53). While the shuttle returns to the home position, baking plate 20 is lifted by motorized lift 28 to lift the wafer from stationary lift pin 38 and take the wafer to the baking position in clamshell receiver 22 (FIG. 3, step) 54). Once inside the clamshell receptacle 22, the wafer is heated or baked according to the desired thermal recipe (FIG. 3, step 55).

베이킹 단계 55가 완료된 후, 상기 베이킹 플레이트 20은 웨이퍼 수취 위치로 하강되어 리프트 핀 38에 웨이퍼를 내려놓는다(도 3, 단계 56). 다음으로, 셔틀 18은 베이킹 스테이션 12로 돌아가서, 리프트 핀 38로부터 웨이퍼를 들어올리고(도 3, 단계 57), 상기 웨이퍼를 냉경 스테이션 14로 가져간다(도 3, 단계 58). 셔틀은 입자 차단부 32 위에서 냉경 스테이션 14로의 경로를 따라 셔틀 스테이션 16으로 움직이는데, 그곳에서 셔틀 18은 하강된 후 냉경 스테이션 14 쪽으로 움직인다. 냉경 스테이션 14에 있게 되면, 리프트 핀 37이 상기 셔틀로부터 웨이퍼를 올리기 위해 공압식 리프트(pneumatic lift)에 의해 상승된다(도 3, 단계 59). 그런 다음, 셔틀 18은 다른 작업을 처리하거나 스테이션 16에서 홈 위치로 돌아가게 되고(도 3, 단계 60), 리프트 핀 37은 냉경 플레이트 30에 웨이퍼를 내려놓기 위해 하강된다(도 3, 단계 61). After baking step 55 is completed, baking plate 20 is lowered to the wafer receiving position to lower the wafer on lift pin 38 (FIG. 3, step 56). Next, shuttle 18 returns to baking station 12, lifting the wafer from lift pin 38 (FIG. 3, step 57) and bringing the wafer to cold station 14 (FIG. 3, step 58). The shuttle moves from the particle block 32 to the shuttle station 16 along the path to the cold station 14, where the shuttle 18 is lowered and then moved to the cold station 14. Once in cold station 14, lift pin 37 is lifted by a pneumatic lift to lift the wafer from the shuttle (FIG. 3, step 59). The shuttle 18 then either processes another operation or returns to the home position at station 16 (FIG. 3, step 60) and the lift pin 37 is lowered to lower the wafer onto the cold plate 30 (FIG. 3, step 61). .

그런 다음, 상기 웨이퍼는 미리 정해진 열 레시피에 따라 냉경 플레이트 30에서 냉각된다(도 3, 단계 62). 냉각 처리가 완료된 후, 리프트 핀 37이 냉경 플레이트로부터 웨이퍼를 들어올리기 위해 상승되고(도 3, 단계 63), 상기 웨이퍼는, 예를 들면, 단계 50에서 상기 웨이퍼를 열 장치 내로 이송한 것과 동일한 중앙 로봇에 의해 들어올려짐으로써, 긴 슬롯 41a를 통해 통합 열 장치 외부로 이송된다(도 3, 단계 64). 전형적으로, 긴 슬롯 41a는 셔터 34a에 의해 차단되므로, 단계 64는 슬롯 41a을 개방하기 위해 셔터 34a를 개방하는 단계를 더 포함한다. The wafer is then cooled in cold plate 30 according to a predetermined thermal recipe (FIG. 3, step 62). After the cooling process is complete, lift pin 37 is raised to lift the wafer from the cold plate (FIG. 3, step 63) and the wafer is at the same center as, for example, the wafer was transferred into the thermal device in step 50. By being lifted by the robot, it is transported out of the integrated thermal device through the long slot 41a (FIG. 3, step 64). Typically, long slot 41a is blocked by shutter 34a, so step 64 further includes opening shutter 34a to open slot 41a.

본 발명의 실시예들에 의하면, 상기한 바와 같은 처리가, 고도로 제어 가능하고 높은 확률로 반복 가능한 방식으로 수행될 수 있다. 따라서, 본 발명의 일 실시예들에 의하면, 특정 열 레시피에 따라 통합 열 장치 10 내에서 처리되는 각각의 웨이퍼의 열 처리에 있어서 매우 높은 정도의 획일성을 보증할 수 있다. 이하에서 더 상세하게 기술된 바와 같이, 본 발명의 다수의 특정 국면들은, 그러한 반복 가능하고 획일적인 웨이퍼 이력을 달성하도록 하기 위해, 서로 독립적으로 또는 조합되어 이용될 수 있다. According to embodiments of the present invention, the processing as described above may be performed in a highly controllable and highly repeatable manner. Thus, according to one embodiment of the present invention, it is possible to ensure a very high degree of uniformity in the thermal treatment of each wafer processed in the integrated thermal apparatus 10 according to a specific thermal recipe. As described in more detail below, many specific aspects of the present invention can be used independently or in combination with one another to achieve such a repeatable and uniform wafer history.

일 국면에 의하면, 베이킹 플레이트(핫 플레이트라고도 함) 20을 냉경 플레이트 30에 대해 배치한다. 특히, 본 발명의 소정의 실시예들에 있어서, 베이킹 플레이트 20이 냉경 플레이트 30의 위치보다 높은 곳에서, 통합 열 장치 10 내에 위치한다. 베이킹 플레이트 20으로부터 발생되는 열은 일반적으로 열 장치 10의 상부로 상승하므로, 시간을 초과한 웨이퍼의 열 처리에 있어서 편차를 야기할 수 있는 베이킹 스테이션과 냉경 스테이션 사이의 열 혼선(cross-talk)을, 그러한 위치 설정을 통해 최소화할 수 있다.According to one aspect, a baking plate (also called a hot plate) 20 is placed relative to the cold plate 30. In particular, in certain embodiments of the present invention, the baking plate 20 is located in the integrated thermal device 10, above the position of the cold plate 30. The heat generated from baking plate 20 generally rises to the top of the thermal device 10, thereby eliminating cross-talk between the baking station and the cold station, which can cause deviations in the thermal treatment of wafers over time. This can be minimized through such positioning.

본 발명의 당해 국면은 도 4에서 도시되는데, 상기 도 4는 베이킹 플레이트 20 및 냉경 플레이트 30을 도시하는, 통합 열 장치 10의 일부의 간략화된 단면도이다. 도 4에 도시된 바와 같이, 베이킹 플레이트 20이 베이킹 위치 71에서 클램쉘 수용부 22 내에 있을 때, 웨이퍼 지지 표면 70은, 냉경 플레이트 30의 웨이퍼 지지 표면 72가 놓이는 수평면 C보다 충분히 위에 있는 수평면 A상에 놓인다. 소정의 실시예들에 있어서, 평면 A는 평면 C에서 적어도 4cm 위에 있고, 특정 일 실시예에 있어서, 평면 A는 평면 C에서 6cm 위에 있다. 나아가, 본 발명의 소정의 실시예들에 있어서, 베이킹 플레이트가 웨이퍼 수취 위치 내에 있는 동안 열 싱크 140과 결합되는 때에도(이하 기술됨), 상기 베이킹 플레이트의 상면 70은 냉경 플레이트의 상면 72(평면 C) 위에 있는 수평면 B상에 놓인다. 소정의 실시예들에 있어서, 평면 B는 평면 C에서 적어도 2cm 위에 있고, 특정 일 실시예에 있어서, 평면 B는 평면 C에서 2.5cm 위에 있다. 또한, 소정의 실시예들에 있어서, 입자 차단부 32의 상면은 평면 B상에 놓이거나, 실질적으로 평면 B에 밀접하도록 놓여진다.This aspect of the invention is shown in FIG. 4, which is a simplified cross-sectional view of a portion of an integrated thermal arrangement 10 showing baking plate 20 and cold plate 30. As shown in FIG. 4, when the baking plate 20 is in the clamshell receptacle 22 at the baking position 71, the wafer support surface 70 is on a horizontal plane A above the horizontal plane C on which the wafer support surface 72 of the cold plate 30 lies. Is placed on. In certain embodiments, plane A is at least 4 cm above plane C, and in one particular embodiment, plane A is 6 cm above plane C. Furthermore, in certain embodiments of the present invention, even when the baking plate is engaged with the heat sink 140 while in the wafer receiving position (described below), the top surface 70 of the baking plate is the top surface 72 of the cold plate (plane C). It lies on the horizontal plane B above. In certain embodiments, plane B is at least 2 cm above plane C, and in one particular embodiment, plane B is 2.5 cm above plane C. Further, in certain embodiments, the top surface of the particle block 32 lies on plane B, or lies substantially in plane B.

베이킹 플레이트 20 및 냉경 플레이트 30의 위치에 있어서 그러한 높이 차이를 유지함으로써, 두 개의 스테이션 간의 열 혼선을 최소화할 수 있고, 복수의 웨이퍼들 사이에서 고도로 제어되는, 반복 가능한 열 처리를 보증할 수 있다. By maintaining such height differences in the positions of baking plate 20 and cold plate 30, thermal crosstalk between the two stations can be minimized and a highly controlled, repeatable heat treatment between the plurality of wafers can be ensured.

본 발명의 또 다른 국면에 의하면, 각각의 웨이퍼의 열 처리에서 매우 높은 정도의 획일성을 보증할 수 있도록 하는 것은 셔틀 18의 설계이다. 셔틀 18의 간략화된 사시도인 도 5에 도시된 바와 같이, 상기 셔틀은, 상기 셔틀이 하나의 스테이션으로부터 다른 스테이션으로 반도체 웨이퍼를 이송하는 동안 상기 웨이퍼가 배치되는 웨이퍼 수취 영역 74를 포함한다. 일 실시예에 있어서, 셔틀 18은 알루미늄으로 이루어지고, 상기 셔틀의 웨이퍼 수취 영역 74 및 상면 75의 다른 부분들은, 상기 셔틀에서 냉각제 통로들(도 4에 있어서 통로 75로 도시됨)을 통해 흐르는 냉각제(예를 들면, 중성수(deionized water))에 의해 능동적으로 냉각된다. According to another aspect of the invention, it is the design of shuttle 18 that ensures a very high degree of uniformity in the thermal treatment of each wafer. As shown in FIG. 5, which is a simplified perspective view of shuttle 18, the shuttle includes a wafer receiving area 74 where the wafer is placed while the shuttle transfers the semiconductor wafer from one station to another. In one embodiment, the shuttle 18 is made of aluminum, and the other portions of the wafer receiving area 74 and top 75 of the shuttle are coolant flowing through coolant passages (shown as passage 75 in FIG. 4) in the shuttle. It is actively cooled by (for example, deionized water).

상기 냉각제는, 입구/출구 76에 연결된 관(tube)에 의해 통로 75로 전달되는데, 상기 통로는 셔틀 18의 전반에 고르게 유체를 분배하도록 하는, 상기 셔틀의 일부 79 내의 매니폴드(manifold)(도시되지 않음)에 연결된다. 셔틀 18이 통합 열 장치의 길이를 횡단할 때에, 유체 관(fluid tube)은, 관 지지 기구 77의 고리(finger) 78에 의해 적어도 부분적으로 지지된다. 웨이퍼 수취면 74를 능동적으 로 냉각시킴으로써, 웨이퍼가 열 장치 10 내에 있는 동안 계속, 웨이퍼 온도의 정확한 열 제어를 유지할 수 있다. 또한, 셔틀 18을 능동적으로 냉각시킴으로써, 웨이퍼가 전용 냉경 스테이션으로 이송된 후에야 그러한 능동 냉각이 일어나는 경우에 비해 더 빨리 상기 웨이퍼 냉각 처리가 시작되고, 이는 웨이퍼의 전반적인 열 소모를 감소시킨다. The coolant is delivered to passage 75 by a tube connected to the inlet / outlet 76, the passageway manifold within a portion 79 of the shuttle (shown) that allows the fluid to be evenly distributed throughout the shuttle 18. Is not connected). When the shuttle 18 traverses the length of the integrated thermal arrangement, the fluid tube is at least partially supported by a finger 78 of the tube support mechanism 77. By actively cooling the wafer receiving surface 74, it is possible to maintain accurate thermal control of the wafer temperature while the wafer is in the thermal apparatus 10. In addition, by actively cooling Shuttle 18, the wafer cooling process begins faster than when such active cooling occurs only after the wafer has been transferred to a dedicated chill station, which reduces the overall heat consumption of the wafer.

또한, 도 5에는 슬롯 19a, 19b, 웨이퍼 포켓 버튼 80, 그리고 소형 접촉 영역 근접 핀 82 및 슬롯 19a, 19b가 도시되어 있다. 슬롯 19a, 19b는, 상기 셔틀이, 리프트 핀에 의해 보유되고 있는 웨이퍼 아래에서 위치하거나 움직일 수 있도록 한다. 예를 들면, 냉경 스테이션 14에서, 웨이퍼는, 삼각형 형태로 배열된 한 벌의 세 개의 리프트 핀 상에서, 냉경 단계 63에 앞서서 그리고 그 이후에 냉경 플레이트 위에 보유된다(리프트 핀이 냉경 플레이트 30을 통해 연장될 수 있도록 하는 구멍 84를 도시하는 도 7 참조). 슬롯 19a는, 셔틀 18이 상기 세 개의 리프트 핀 중 두 개를 지나 활주할 수 있게 하도록 조절되고, 슬롯 19b는, 상기 셔틀이 세번째 리프트 핀을 지나쳐서 활주할 수 있게 하도록 조절된다. 웨이퍼 수취 영역 74 내에서 웨이퍼가 중앙에 오도록 하기 위해, 포켓 버튼 80이 셔틀 18의 상면에서 나사 가공된 구멍에 나사 고정되고, 상기 상 면 위에서 연장된다. 포켓 버튼 80은, 강한 피로 저항(fatigue resistance) 및 열 안정성(thermal stability)를 나타내는, 열가소성 물질과 같은, 적절히 부드러운 물질로 이루어질 수 있다. 일 실시예에 있어서, 버튼 80은 PEEK로도 알려져 있는 폴리에테르에테르케톤(polyetheretherketone)으로 이루어진다. 5 also shows slots 19a, 19b, wafer pocket button 80, and small contact area proximity pins 82 and slots 19a, 19b. Slots 19a and 19b allow the shuttle to be positioned or moved under the wafer held by the lift pins. For example, in chill station 14, the wafer is held on a chill plate prior to and after chill step 63, on a set of three lift pins arranged in a triangular form (the lift pin extends through chill plate 30). See FIG. 7, which shows a hole 84 which can be made). Slot 19a is adjusted to allow shuttle 18 to slide past two of the three lift pins, and slot 19b is adjusted to allow the shuttle to slide past a third lift pin. In order to center the wafer within the wafer receiving area 74, pocket button 80 is screwed into the threaded hole in the top surface of shuttle 18 and extends over the top surface. Pocket button 80 may be made of a suitably soft material, such as a thermoplastic, that exhibits strong fatigue resistance and thermal stability. In one embodiment, button 80 is made of polyetheretherketone, also known as PEEK.

근접 핀 82는 셔틀 18의 상면 74의 도처에 분포되고, 사파이어와 같은 낮은 마찰 계수를 가진 물질로 제작된다. 근접 핀 82는, 셔틀 18에 의해 이송되는 웨이퍼가 온도 제어 표면 74와 매우 인접하도록 한다. 상기 웨이퍼와 상기 온도 제어 표면 74 사이의 작은 공간은, 웨이퍼의 전 표면 영역에 걸쳐서 균일한 냉각이 이루어질 수 있도록 하는 동시에, 웨이퍼의 밑면과 셔틀 간의 접촉을 최소화하여 그러한 접촉으로부터 먼지 또는 오염 물질이 발생될 수 있는 가능성을 줄인다. 나아가, 근접 핀 82에 대한 상세가 2005년 4월 20일자로 출원된 "근접 핀을 포함하는 정화된 진공 척(Purged Vacuum Chuck with Proximity Pins)"이라는 명칭의 미국 특허 출원 제11/111,155호(대리인 사건 번호: A9871/T60200)에 기재되어 있으며, 이는 여하한 목적으로 참조에 의해 본 명세서에 편입된다. 특정 일 실시예에 있어서, 셔틀 18은 네 개의 포켓 버튼 80과 17개의 근접 핀 82를 포함한다. The proximity pin 82 is distributed all over the top 74 of the shuttle 18 and is made of a material with a low coefficient of friction, such as sapphire. Proximity pin 82 allows the wafer being transported by shuttle 18 to be very close to temperature control surface 74. The small space between the wafer and the temperature control surface 74 allows for uniform cooling over the entire surface area of the wafer, while minimizing contact between the bottom of the wafer and the shuttle to generate dust or contaminants from such contact. Reduce the chance of being Further, US Patent Application No. 11 / 111,155 entitled "Purged Vacuum Chuck with Proximity Pins," filed April 20, 2005, for details of proximity pin 82 (representative) Incident No .: A9871 / T60200, which is incorporated herein by reference for any purpose. In one particular embodiment, shuttle 18 includes four pocket buttons 80 and 17 proximity pins 82.

셔틀 18은 또한, 상기 셔틀이 도 6에 도시된 지지 플레이트 88에 탑재될 수 있도록 하는 연장된 U자 형태의 지지 브라켓(bracket)를 포함하는데, 상기 도 6은 베이킹 스테이션 12 및 냉경 스테이션 14가 제거된 상태의 통합 열 장치 10의 일부의 사시도이다. 도 6에 도시된 바와 같이, 지지 플레이트 88은 슬롯 47을 통해, 최하측 플레이트 40c에 탑재된 후방 지지부 90 아래 및 주위에서 순회한다. 플레이트 88(및 셔틀 18)은 트랙 48(좌우 방향 경로 X)을 따라서 선형으로 움직일 수 있다. 플레이트 88은 또한, 특정 스테이션에서 웨이퍼를 들어올리거나 내려놓기 위해 셔틀 18가 상승 및 하강되도록 하는 트랙 49(상하 방향 경로 Z)를 따라 상하 방향으로 활주한다. Shuttle 18 also includes an extended U-shaped support bracket that allows the shuttle to be mounted to support plate 88 shown in FIG. 6, wherein baking station 12 and cold station 14 are removed. Is a perspective view of a portion of the integrated thermal device 10 in a closed state. As shown in FIG. 6, the support plate 88 traverses through the slot 47 below and around the rear support 90 mounted in the lowermost plate 40c. Plate 88 (and shuttle 18) can move linearly along track 48 (left and right path X). Plate 88 also slides up and down along track 49 (up and down path Z) that allows shuttle 18 to move up and down to lift or lower the wafer at a particular station.

다음으로, 본 발명의 일 실시예에 따른 냉경 플레이트 30의 사시도인 도 7을 참조하면, 냉경 플레이트 30은, 웨이퍼 지지 표면 72에 지지된 웨이퍼를 냉각시키기 위해, 중성수와 같은 냉각액이 냉각제 채널(도시되지 않음)을 따라 순환될 수 있도록 하는, 냉각제 입구 95 및 출구 96을 포함한다. 냉경 플레이트 30은, 도 5와 관련하여 상기 기술한 버튼 80 및 근접 핀 82와 유사한, 다수의 웨이퍼 포켓 버튼 85 및 소형 접촉 영역 근접 핀 83을 더 포함한다. 특정 일 실시예에 있어서, 냉경 플레이트 30은 8개의 포켓 버튼 85와 17개의 근접 핀 83을 포함한다. 또한, 도 7에는 도시되어 있지 않지만, 냉경 플레이트 30은 복수의 진공 포트를 포함할 수 있고, 냉각 처리 동안 웨이퍼를 냉경 플레이트에 고정시킬 수 있도록 진공 척(chuck)과 연결될 수 있다. Next, referring to FIG. 7, which is a perspective view of a chill plate 30 according to an embodiment of the present invention, in the chill plate 30, a coolant such as neutral water may be used to cool the wafer supported on the wafer support surface 72. Coolant inlet 95 and outlet 96, which allows for circulation along the (not shown). The cold plate 30 further includes a number of wafer pocket buttons 85 and small contact area proximity pins 83, similar to the buttons 80 and proximity pins 82 described above with respect to FIG. 5. In one particular embodiment, the cold plate 30 includes eight pocket buttons 85 and seventeen proximity pins 83. In addition, although not shown in FIG. 7, the chill plate 30 may include a plurality of vacuum ports and may be coupled with a vacuum chuck to secure the wafer to the chill plate during the cooling process.

또한, 도 7에서 도시되어 있지는 않지만, 셔틀 18이 냉경 플레이트 30 위에서 베이킹 스테이션 12와 셔틀 스테이션 16 사이를 횡단하는 때에 발생할 수 있는 먼지 오염으로부터 냉경 플레이트 및 상기 냉경 플레이트에 위치한 웨이퍼를 보호하기 위해, 입자 차단부 32(도 1에서 도시됨)가 냉경 플레이트 30 위에 위치한다. 입자 차단부 32는, 셔틀 18이 필요에 따라 입자 차단부 아래를 통과하고 냉경 플레이트 30에 진입할 수 있도록 하는 방식으로, 베이킹 스테이션 12 및 냉경 스테이션 14 사이의 최하측 하우징부 40c(도 4 참조)와, 하우징 40의 전방 측면부 40a에 결합된다. 특정 일 실시예에 있어서, 입자 차단부 32는 스테인리스 스틸로 이루어진다. In addition, although not shown in FIG. 7, to protect the chill plate and the wafer located on the chill plate from dust contamination that may occur when shuttle 18 traverses between baking station 12 and shuttle station 16 on chill plate 30. A block 32 (shown in FIG. 1) is located above the cold plate 30. The particle block 32 is the lowermost housing part 40c between the baking station 12 and the cold station 14 in a manner that allows the shuttle 18 to pass under the particle block and enter the cold plate 30 as needed (see FIG. 4). And a front side portion 40a of the housing 40. In one particular embodiment, the particle end 32 is made of stainless steel.

다음으로, 도 8, 9, 및 10을 참조한다. 도 8은 본 발명의 일 실시예에 따른 도 2b에서 도시된 베이킹 스테이션 12의 사시도이고, 도 9는 도 8에서 도시된 베이킹 스테이션 12의 단면의 사시도이고, 도 10은 상기 베이킹 스테이션의 단면도이다. 도 8 내지 10에서 도시된 바와 같이, 베이킹 스테이션 12는 세 개의 분리된 등온 가열 소자인, 베이킹 플레이트 20, 최상측 열판 110 및 측면 열판 112를 포함하는데, 이들의 각각은 예를 들면 알루미늄 또는 다른 적합만 물질과 같은, 높은 열 전도성을 나타내는 물질로 제조된다. 각각의 판 20, 110, 112는, 상기 판 내에 넣어진, 예를 들면, 저항성 가열 소자와 같은 가열 소자를 포함한다. 베이킹 스테이션 12는, 베이킹 플레이트 20 및 덮개(lid) 120(도 10에서만 도시됨)을 둘러싸는, 최하측 컵(cup) 119는 물론, 측면 최상측 및 최하측 열 차단부 116 및 118을 더 포함한다. 각각의 열 차단부 116, 118, 컵 119 및 덮개 120은 알루미늄으로 이루어진다. 덮개 120은 나사 가공된 구멍 115를 통해 나사 고정되는 8개의 나사에 의해 최상측 열판 110에 부착된다. Next, reference is made to FIGS. 8, 9, and 10. 8 is a perspective view of the baking station 12 shown in FIG. 2B according to an embodiment of the present invention, FIG. 9 is a perspective view of a cross section of the baking station 12 shown in FIG. 8, and FIG. 10 is a cross-sectional view of the baking station. As shown in FIGS. 8 to 10, baking station 12 includes three separate isothermal heating elements, baking plate 20, top hotplate 110 and side hotplate 112, each of which is for example aluminum or other suitable. It is made of materials that exhibit high thermal conductivity, such as only materials. Each plate 20, 110, 112 includes a heating element, for example a resistive heating element, encased in said plate. Baking station 12 further includes a bottommost cup 119, as well as side top and bottom heat shields 116 and 118, which surround baking plate 20 and lid 120 (shown in FIG. 10 only). do. Each heat shield 116, 118, cup 119 and cover 120 are made of aluminum. The cover 120 is attached to the uppermost heat plate 110 by eight screws which are screwed through the threaded holes 115.

베이킹 플레이트 20은 모터식 리프트 26과 연결되어, 상기 베이킹 플레이트는 클램쉘 수용부 22 내로 상승되고 웨이퍼 수취 위치로 하강될 수 있다. 전형적으로, 웨이퍼는, 도 4에 도시된 베이킹 위치 즉 위치 71로 상승된 때에 베이킹 플레이트 20에서 가열된다. 베이킹 위치에 있을 때, 컵 119는 클램쉘(clam shell) 배열을 형성하면서 측면 열판 112의 최하부를 둘러싸서, 베이킹 플레이트 20에 의해 발생되는 열을 상기 베이킹 플레이트 및 수용부 22에 의해 형성되는 내부의 공동(空洞) 안에 가두도록 한다. 일 실시예에 있어서, 베이킹 플레이트 20의 상면은, 셔틀 18 및 냉경 플레이트 30과 관련하여 기술된 것과 유사한, 8개의 웨이퍼 포켓 버튼 및 17개의 근접 핀을 포함한다. 또한, 일 실시예에 있어서 베이킹 플레이트 20은 복수의 진공 포트를 포함하고, 베이킹 처리를 하는 동안 웨이퍼를 상기 베이킹 플레이트에 고정할 수 있도록 진공 척(chuck)과 연결될 수 있다. Baking plate 20 may be connected to a motorized lift 26 such that the baking plate may be raised into the clamshell receiver 22 and lowered to the wafer receiving position. Typically, the wafer is heated in baking plate 20 when raised to the baking position shown in FIG. When in the baking position, the cup 119 surrounds the bottom of the side hot plate 112 while forming a clam shell arrangement, so that the heat generated by the baking plate 20 may be transferred to the interior of the interior formed by the baking plate and the receiving portion 22. It is to be kept in a cavity. In one embodiment, the top surface of baking plate 20 includes eight wafer pocket buttons and seventeen proximity pins, similar to those described in connection with shuttle 18 and cold plate 30. In addition, in one embodiment, the baking plate 20 includes a plurality of vacuum ports and may be connected with a vacuum chuck to secure the wafer to the baking plate during the baking process.

상기 베이킹 처리를 하는 동안, 면판(面板) 122는, 베이킹 플레이트 20의 웨이퍼 지지 표면 70의 바로 위에 그와 대향하는 곳에 위치한다. 면판 122는 알루미늄뿐만 아니라 다른 적합한 물질로 이루어질 수 있고, 베이킹 플레이트 20에서 베이킹 되는 웨이퍼의 표면에서 베이킹 되어 나온 가스 및 오염 물질들이, 면판 122를 통해, 면판 122 및 최상측 열판 110 사이에 생성되는 방사형의 내부 기류(gas flow) 124 내로 밀려갈 수 있도록 하는, 복수의 구멍 또는 수로 122a를 포함한다. During the baking process, the face plate 122 is positioned directly above the wafer support surface 70 of the baking plate 20. The faceplate 122 may be made of aluminum as well as other suitable materials, and the radial gases and contaminants that have been baked from the surface of the wafer being baked in the baking plate 20 are produced between the faceplate 122 and the uppermost hotplate 110 through the faceplate 122. A plurality of holes or channels 122a, which allow for push into the internal gas flow 124 of the chamber.

방사형의 내부 기류 124로부터 나온 가스는 처음에 최상측 열판 110의 외부를 둘러싸는 환상(環狀)의 가스 매니폴드 126에서 가스 입구 라인(line) 127에 의해 베이킹 스테이션 12로 도입된다. 가스 매니폴드 126은, 가스가 매니폴드 126으로부터 최상측 열판 110의 하면 및 면판 122의 상면 사이의 공동(空洞) 132로 흐를 수 있도록 하는, 다수의 소형 가스 입구 130(일 실시예에 있어서는 입구 128)을 포함한다. 상기 가스는, 복수의 가스 출구 구멍 136을 포함하는 발산(diffusion) 플레이트 134를 통해 상기 스테이션의 중심을 향해 내부로 방사형으로 흐른다. 발산 플레이트 134를 통해 흘러간 후, 가스는 가스 출구 라인 128을 통해 베이킹 스테이션 12를 빠져나간다. Gas from the radial internal air stream 124 is first introduced into the baking station 12 by a gas inlet line 127 in an annular gas manifold 126 surrounding the outside of the uppermost hot plate 110. The gas manifold 126 is a plurality of small gas inlets 130 (in one embodiment inlet 128) that allows gas to flow from the manifold 126 into the cavity 132 between the bottom surface of the topmost heat plate 110 and the top surface of the face plate 122. ). The gas flows radially inwardly towards the center of the station through a diffusion plate 134 comprising a plurality of gas outlet holes 136. After flowing through the diverging plate 134, the gas exits baking station 12 via gas outlet line 128.

열 레시피를 다른 열 레시피로 변경하는 것에 관련된 지연을 최소화하고, 이로써 통합 열 장치 10을 통한 높은 웨이퍼 처리량을 보증하도록 하는 본 발명의 일 국면이, 도 11 및 12와 관련하여 이하에서 기술된다. 도 11은 도 8 내지 10에서 도시된 베이킹 스테이션 12의, 바닥에서 본 사시도이다. 도 11에 도시된 바와 같이, 본 발명의 일 실시예에 있어서, 베이킹 스테이션 12는 복수의 결합 가능한 열 싱크 140을 포함한다. 각각의 결합 가능한 열 싱크 140은 알루미늄, 구리, 스테인리스 스틸, 또는 다른 금속과 같은 적합한 열 배출 물질로 이루어진다. One aspect of the present invention that minimizes the delay associated with changing a thermal recipe to another thermal recipe, thereby ensuring high wafer throughput through the integrated thermal device 10, is described below with respect to FIGS. FIG. 11 is a bottom perspective view of the baking station 12 shown in FIGS. 8 to 10. As shown in FIG. 11, in one embodiment of the present invention, baking station 12 includes a plurality of joinable heat sinks 140. Each joinable heat sink 140 is made of a suitable heat dissipating material such as aluminum, copper, stainless steel, or other metal.

이미 언급한 바와 같이, 베이킹 플레이트 20은 특정 열 레시피에 따라 웨이퍼를 가열한다. 열 레시피의 하나의 구성 요소는, 전형적으로, 베이킹 플레이트가 웨이퍼를 가열하도록 설정된 설정 온도이다. 베이킹 처리 동안, 웨이퍼의 온도가 정기적으로 측정되며 베이킹 플레이트의 하나 또는 그 이상의 구역은 기판의 균일한 가열을 보증하도록 조정될 수 있다. 전형적으로, 상당량의 일 군(群)의 웨이퍼가 동일한 열 레시피에 따라 처리되는 동안, 베이킹 플레이트는 요구되는 설정 온도까지 가열된다. 따라서, 예를 들면, 특정 열 레시피가 175℃의 설정 온도를 지정하고, 그 레시피가 100개의 연속된 웨이퍼에서 적용된다면, 100개의 연속된 웨이퍼를 처리하는데 걸리는 시간의 길이 동안 베이킹 플레이트 20은 175℃로 가열될 것이다. 그러나 만약, 다음의 일 군의 200개의 웨이퍼가 다른 열 레시피, 예를 들면 130℃의 설정 온도를 요구하는 레시피에 따라 처리되어야 한다면, 베이킹 플레이트 20의 설정 온도는 100번째 웨이퍼 및 101번째 웨이퍼를 처리하는 사이에, 175℃로부터 130℃로 빠르게 변경되어야 한다. As already mentioned, baking plate 20 heats the wafer according to a specific thermal recipe. One component of the thermal recipe is typically a set temperature at which the baking plate is set to heat the wafer. During the baking process, the temperature of the wafer is regularly measured and one or more zones of the baking plate can be adjusted to ensure uniform heating of the substrate. Typically, the baking plate is heated to the required set temperature while a significant amount of wafers are processed according to the same thermal recipe. Thus, for example, if a particular thermal recipe specifies a set temperature of 175 ° C. and the recipe is applied on 100 consecutive wafers, the baking plate 20 will be 175 ° C. for the length of time it takes to process 100 consecutive wafers. Will be heated. However, if the next group of 200 wafers were to be processed according to different thermal recipes, for example recipes requiring a set temperature of 130 ° C., then the set temperature of baking plate 20 processed the 100th and 101st wafers. In the meantime, it should quickly change from 175 ° C to 130 ° C.

본 발명의 실시예들은, 모터 26을 이용하여 상기 베이킹 플레이트를 웨이퍼 수취 위치 아래에 있는 하측 냉각 위치로 하강시킴으로써, 베이킹 플레이트 20의 설정 온도를 빠르게 낮출 수 있다. 상기 냉각 위치에서, 상기 베이킹 플레이트의 최하면 73은 각각의 열 싱크 140의 상면 142와 접촉한다. 상기 열 싱크와 상기 베이킹 플레이트 간의 접촉은, 최하측 컵 119가 복수의 열 싱크 140에 대응하는 복수의 구멍 138 - 상기 복수의 구멍은, 상기 열 싱크가 베이킹 플레이트 20에 접촉하기 위해 최하측 컵 119를 통해 연장되도록 함 - 을 포함하기 때문에 가능하다. Embodiments of the present invention can quickly lower the set temperature of baking plate 20 by using motor 26 to lower the baking plate to the lower cooling position below the wafer receiving position. In the cooling position, the bottom surface 73 of the baking plate contacts the top surface 142 of each heat sink 140. The contact between the heat sink and the baking plate is such that the lowermost cup 119 has a plurality of holes 138 corresponding to the plurality of heat sinks 140-the plurality of holes have a lowermost cup 119 for the heat sink to contact the baking plate 20. This is possible because it includes an extension through-.

도 12는, 결합 가능한 열 싱크 140의 간략화된 단면도이다. 도 12에 도시된 바와 같이, 각각의 결합 가능한 열 싱크 140은, 상기 열 싱크의 본체보다 더 큰 지름을 갖는 하측 기부(base portion) 144를 포함한다. 하측 기부 144는, 최하측 베이스 플레이트 40c 및 알루미늄 플레이트 150에 의해 정의되는 공동(空洞) 152에 끼워진다. 상기 열 싱크의 기부 144는, 최하측 베이스 플레이트의 가장자리(lip) 154와 결합하고, 알루미늄 플레이트 150과 기부 144 사이에 위치한 스프링 145에 의해 상기 가장자리에 대하여 가압된다.12 is a simplified cross-sectional view of the joinable heat sink 140. As shown in FIG. 12, each joinable heat sink 140 includes a lower base portion 144 having a larger diameter than the body of the heat sink. The lower base 144 fits into a cavity 152 defined by the lowermost base plate 40c and the aluminum plate 150. The base 144 of the heat sink engages the edge 154 of the lowermost base plate and is pressed against the edge by a spring 145 located between the aluminum plate 150 and the base 144.

베이킹 플레이트 20이 냉각 위치로 하강되는 때, 스프링 145는 열 싱크 140으로 하여금 상기 베이킹 플레이트의 하면 73에 가압하도록 한다. 모든 열 싱크 140의 결합된 축열체(thermal mass)에 의해, 베이킹 플레이트 20은 소정의 설정 온도로부터, 예를 들면, 새로운 열 레시피로 전이하는 때에 요구되어 질 수 있는 더 낮은 설정 온도로 빠르게 냉각될 수 있다. When the baking plate 20 is lowered to the cooling position, the spring 145 causes the heat sink 140 to press on the lower surface 73 of the baking plate. With the combined thermal mass of all of the heat sinks 140, the baking plate 20 is rapidly cooled from a predetermined set temperature, for example to a lower set temperature which may be required when transitioning to a new heat recipe. Can be.

도 11 및 12에서 도시된 열 싱크 140이 그 형태에 있어서 원통 모양으로 도시되어 있지만, 많은 다른 형태 및 크기가 이용될 수 있다. 또한, 소정의 실시예들에 있어서, 각각의 열 싱크 140은 상기 열 싱크의 본체 내에 하나 또는 그 이상 의 냉각제 채널을 형성함으로써 능동적으로 냉각될 수 있다. 또한, 소정의 실시예들에 있어서, 열 싱크 140은 그것의 상면 142에 결합 처리가 진행되는 동안 상기 열 싱크와 상기 베이킹 플레이트 간에 매끄러운 접촉을 가능하게 하는 열 패드를 포함한다.Although the heat sink 140 shown in FIGS. 11 and 12 is shown cylindrical in its form, many other shapes and sizes may be used. Further, in some embodiments, each heat sink 140 may be actively cooled by forming one or more coolant channels in the body of the heat sink. Further, in certain embodiments, the heat sink 140 includes a heat pad on its top surface 142 that allows for smooth contact between the heat sink and the baking plate during the bonding process.

도 13은, 본 발명에 따른 통합 열 장치 150의 다른 실시예의 개념도이다. 도 13에서 도시된 본 발명의 실시예와 도 1에서 도시된 실시예 간의 한 가지의 주요한 차이점은, 상기 베이킹 스테이션 12, 냉경 스테이션 14 및 셔틀 스테이션 16의 배치이다. 도 13에 있어서, 셔틀(셔틀 18에 대해 셔틀 152)은 베이킹 스테이션 및 냉경 스테이션 사이의 중앙 위치로 옮겨졌다. 그러한 배열은 상기 베이킹 및 냉경 스테이션 사이의 열 혼선을 더욱 감소시키는데에 있어서 이점을 제공하고, 또한, 셔틀 18이 웨이퍼를 베이킹 플레이트 20에 전달하기 위해 냉경 플레이트 위를 지나갈 필요가 없으므로, 입자 차단부 32가 상기 냉경 플레이트 30 위에 위치해야 할 필요성을 경감시킨다. 도 13에 비해 도 1의 배열의 하나의 이점은, 셔틀 18이 통합 열 장치 내로 넘겨진 웨이퍼를 수취하기 위해 소정의 위치에 있을 때, 베이킹 플레이트 20으로부터 상기 셔틀을 분리할 수 있다는 점이다. 13 is a conceptual diagram of another embodiment of an integrated thermal device 150 according to the present invention. One major difference between the embodiment of the invention shown in FIG. 13 and the embodiment shown in FIG. 1 is the arrangement of the baking station 12, the cold station 14 and the shuttle station 16. In Fig. 13, the shuttle (shuttle 152 for shuttle 18) was moved to the central position between the baking station and the cold station. Such an arrangement provides an advantage in further reducing thermal crosstalk between the baking and chilling stations, and furthermore, since the shuttle 18 does not have to pass over the chill plate to transfer the wafer to the baking plate 20, the particle block 32 Alleviates the need to be positioned above the cold plate 30. One advantage of the arrangement of FIG. 1 over FIG. 13 is that the shuttle can be separated from the baking plate 20 when the shuttle 18 is in a predetermined position to receive the wafers that have been turned into the integrated thermal apparatus.

또한, 도 13에서의 셔틀 152는 X 축(좌우 방향 경로)을 따라 하우징 40의 길이를 따라 선형으로 움직일 수 있지만, 상하 방향으로 움직일 수는 없다. 이러한 차이점으로 인해, 셔틀 152 및 상기 스테이션 사이에 웨이퍼를 적절하게 교환하기 위해서, 베이킹, 냉경 및 셔틀 스테이션의 각각에 움직일 수 있는 리프트 핀이 요구된다. Further, the shuttle 152 in FIG. 13 may move linearly along the length of the housing 40 along the X axis (left and right paths), but may not move up and down. Due to this difference, in order to properly exchange wafers between shuttle 152 and the station, lift pins are required that can be moved to each of the baking, chilling and shuttle stations.

도 14는 본 발명의 상기 실시예들이 이용될 수 있는 트랙 리소그라피 툴 200의 일 실시예의 평면도이다. 도 14에 도시된 바와 같이, 트랙 리소그라피 200은 전단 모듈 210(때로, 팩토리 인터페이스(factory interface)라고도 함), 중앙 모듈 212 및 후방 모듈 214(때로, 스캐너 인터페이스라고도 함)를 포함한다. 전단 모듈 210은 일반적으로 하나 또는 그 이상의 포드(pod) 어셈블리, 즉 FOUPS(예를 들면, 품목 216A 내지 D), 전단 로봇 218, 및 전단 처리 랙(rack) 220A, 220B를 포함한다. 상기 하나 또는 그 이상의 포드 어셈블리 216A 내지 D는, 일반적으로, 트랙 리소그라피 툴 200 내에서 처리되어야 하는 하나 또는 그 이상의 기판 "W" 즉 웨이퍼를 수용할 수 있는 하나 또는 그 이상의 카세트(cassette) 230를 수취한다.14 is a top view of one embodiment of a track lithography tool 200 in which the above embodiments of the present invention may be used. As shown in FIG. 14, the track lithography 200 includes a front end module 210 (sometimes referred to as a factory interface), a central module 212 and a rear module 214 (sometimes referred to as a scanner interface). Shear module 210 generally includes one or more pod assemblies, namely FOUPS (eg, items 216A-D), shear robot 218, and shear treatment racks 220A, 220B. The one or more pod assemblies 216A through D generally receive one or more cassettes 230 capable of receiving one or more substrates "W" or wafers to be processed within the track lithography tool 200. do.

중앙 모듈 212는 일반적으로 제1 중앙 처리 랙 222A, 제2 중앙 처리 랙 222B 및 중앙 로봇 224를 포함한다. 후방 모듈 214는 일반적으로 제1 및 제2 후방 처리 랙 226A, 226B와 후단 로봇 228을 포함한다. 전단 로봇 218은 전단 처리 랙 220A, 220B에서 처리 모듈들에 진입하고; 중앙 로봇 224는 전단 처리 랙 220A, 220B, 제1 중앙 처리 랙 222A, 제2 중앙 처리 랙 222B, 및/또는 후방 처리 랙 226A, 226B에서 처리 모듈들에 진입하며; 후단 로봇 228은 후방 처리 랙 226A, 226B에서 처리 모듈에 진입하고 소정의 경우에 있어서 스테퍼/스캐너 5와 기판을 교환한다.The central module 212 generally includes a first central processing rack 222A, a second central processing rack 222B, and a central robot 224. Rear module 214 generally includes first and second rear processing racks 226A, 226B and rear end robot 228. Shear robot 218 enters the processing modules in shearing racks 220A, 220B; The central robot 224 enters the processing modules in the shear processing racks 220A, 220B, the first central processing rack 222A, the second central processing rack 222B, and / or the rear processing racks 226A, 226B; The rear end robot 228 enters the processing module in the rear processing racks 226A, 226B and, in some cases, exchanges the stepper / scanner 5 and the substrate.

캘리포니아주 샌호세(San Jose) 소재의 캐논 유에스에이 사(社)(Canon USA, Inc.), 캘리포니아주 벨몬트(Belmont) 소재의 니콘 프리시전 사(Nikon Precision Inc.) 또는 애리조나주 템피(Tempe) 소재의 에이에스엠엘 유에스 사(ASML US, Inc.)로부터 구입할 수 있는 스테퍼/스캐너 5는, 예를 들면, 집적 회로(integrated circuit; IC)의 제조에 이용되는 리소그라피 프로젝션(lithographic projection) 장치이다. 상기 스캐너/스테퍼 툴 5는, 집적 회로(IC) 디바이스의 개개의 층에 대응하는 회로 패턴을 발생시켜 기판 표면에 형성되도록 하기 위해, 클러스터 툴에서 상기 기판상에 증착된 감광성의 물질(레지스트)을 소정의 형태의 전자기 방사선에 노출시킨다. From Canon USA, Inc., San Jose, Calif., Nikon Precision Inc., Belmont, Calif. Or Tempe, Arizona. Stepper / scanner 5, available from ASML US, Inc., is, for example, a lithographic projection device for use in the manufacture of integrated circuits (ICs). The scanner / stepper tool 5 generates photoresist (resist) deposited on the substrate in a cluster tool to generate circuit patterns corresponding to individual layers of an integrated circuit (IC) device and to be formed on the substrate surface. Exposed to some form of electromagnetic radiation.

각각의 처리 랙들 220A와 220B, 222A와 222B 및 226A와 226B는, 다중 처리 모듈들을 세로로 적층된 배열로 포함한다. 즉, 각각의 상기 처리 랙들은, 다중 적층형(multi stacked) 통합 열 장치들 10, 다중 적층형 코터(coater) 모듈들 232, 공유 디스펜스(dispense)를 포함하는 다중 적층형 코터/현상기 모듈들 234, 또는 트랙 리소그라피 툴에 요구되는 다양한 처리 단계를 수행하는 다른 모듈들을 포함할 수 있다. 그 예로서, 코터 모듈 232는 하부 무반사 코팅(bottom antireflective coating; BARC)을 증착시킬 수 있고, 코터/현상기 모듈 234는 포토레지스트 층을 증착 및/또는 현상하기 위해 사용될 수 있으며, 통합 열 장치 10은 BARC 및/또는 포토레지스트 층의 경화와 관련된 베이킹 및 냉경 동작을 수행할 수 있다. Each of the processing racks 220A and 220B, 222A and 222B and 226A and 226B includes multiple processing modules in a vertically stacked arrangement. That is, each of the processing racks may include multiple stacked integrated thermal devices 10, multiple stacked coater modules 232, multiple stacked coater / developer modules 234 including shared dispenses, or tracks. It may include other modules that perform the various processing steps required for the lithography tool. As an example, the coater module 232 can deposit a bottom antireflective coating (BARC), the coater / developer module 234 can be used to deposit and / or develop a photoresist layer, and the integrated thermal device 10 is Baking and chilling operations associated with curing the BARC and / or photoresist layer may be performed.

일 실시예에 있어서, 시스템 제어기 240은 클러스터 툴 200에서 수행되는 모든 컴포넌트 및 처리를 제어하기 위해 이용된다. 제어기 240은 일반적으로 상기 스테퍼/스캐너 5와 통신하고, 상기 클러스터 툴 200에서 수행되는 처리들의 국면들을 감시 및 제어하고, 전체 기판 처리 과정의 모든 국면들을 제어한다. 소정의 예에 있어서 제어기 240은, 상기 처리 과정의 소정의 국면들을 제어하기 위해, 통합 열 장치 10의 베이킹 플레이트 20 및 냉경 플레이트 30을 제어하는 제어기 46A 내지 46D와 같은 다른 제어기들과 결합되어 작동한다. 전형적으로, 마이크로 프로세서 기반의 제어기인 상기 제어기 240은, 처리실(processing chamber)들 중 하나에서 사용자 및/또는 다양한 센서로부터 입력을 수취하고, 상기 다양한 입력 및 상기 제어기의 메모리에 보유된 소프트웨어 명령에 따라 처리실 컴포넌트들을 적절하게 제어한다. 상기 제어기 240은 일반적으로, 다양한 프로그램을 보유하고 상기 프로그램을 처리하며 필요한 때에는 상기 프로그램을 실행하기 위해 상기 제어기에 의해 이용되는 메모리와 CPU(도시되지 않음)를 포함한다. 상기 메모리(도시되지 않음)는 상기 CPU에 연결되고, 램(random access memory; RAM), 롬(read only memory; ROM), 플로피 디스크, 하드 디스크, 또는 다른 형태의 디지털 저장 장치와 같은, 로컬 또는 원격의, 하나 또는 그 이상의 용이하게 이용 가능한 메모리가 될 수 있다. 소프트웨어 명령 및 데이터는 코딩되어 상기 CPU에게 명령을 내리기 위한 상기 메모리 내에 저장될 수 있다. 또한 지원 회로(support circuit)(도시되지 않음)가 기존의 방식으로 프로세서를 지원하는 상기 CPU에 연결될 수 있다. 상기 지원 회로는 캐시(cache), 전원, 시계 회로(clock circuit), 입력/출력 회로군, 서브 시스템 등 당해 기술 분야에 널리 알려진 것들을 포함할 수 있다. 상기 제어기 240에 의해 독출될 수 있는 프로그램(또는, 컴퓨터 명령들)은 어떤 작업이 처리실(들) 내에서 수행되어야 하는지를 결정한다. 바람직하게는, 상기 프로그램은 상기 제어기 240에 의해 독출될 수 있는 소프트웨어이며, 정의된 규칙들과 입력 데이터에 기초하는 처리를 감시 및 제어하기 위해 명령들을 포함한다.In one embodiment, system controller 240 is used to control all components and processing performed in cluster tool 200. The controller 240 generally communicates with the stepper / scanner 5, monitors and controls aspects of the processes performed in the cluster tool 200, and controls all aspects of the entire substrate processing process. In certain instances, the controller 240 operates in conjunction with other controllers, such as controllers 46A through 46D, which control the baking plate 20 and the cold plate 30 of the integrated thermal apparatus 10 to control certain aspects of the processing. . Typically, the controller 240, a microprocessor based controller, receives input from a user and / or various sensors in one of the processing chambers, and in accordance with the various inputs and software instructions held in the controller's memory. Properly control chamber components. The controller 240 generally includes a memory (not shown) and a CPU used by the controller to hold the various programs, process the programs, and execute the programs as needed. The memory (not shown) is coupled to the CPU and is local or, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or other form of digital storage device. It may be remote, one or more easily available memories. Software instructions and data may be coded and stored in the memory for instructing the CPU. A support circuit (not shown) may also be connected to the CPU supporting the processor in a conventional manner. The support circuit may include those well known in the art such as a cache, a power supply, a clock circuit, an input / output circuit group, a subsystem, and the like. The program (or computer instructions) that can be read by the controller 240 determines which work should be performed in the processing room (s). Advantageously, the program is software that can be read by the controller 240 and includes instructions for monitoring and controlling processing based on defined rules and input data.

본 발명의 실시예들은 도 14에 있어서 도시된 바와 같은 트랙 리소그라피 툴과 함께 이용되도록 한정되지는 아니함을 이해하여야 할 것이다. 대신, 본 발명의 실시예들은, 2005년 4월 22일자로 출원된 "기판 처리를 위한 클러스터 툴 구조"로 명명된 미국 특허출원 제11/112,281호(대리인 사건 번호 AMAT/9540) - 상기 출원은 여하한 목적으로 참조에 의해 본 명세서에 편입됨 - 에서 기술된, 많은 다양한 도구 구성들 및 제11,112,281호 출원에서 기술되지 않은 구성들을 포함하는 어떠한 트랙 리소그라피 툴에 있어서도 이용될 수 있다. It should be understood that embodiments of the present invention are not limited to use with a track lithography tool as shown in FIG. 14. Instead, embodiments of the present invention are described in US patent application Ser. No. 11 / 112,281 filed on April 22, 2005, entitled Representative Event No. AMAT / 9540. It may be used for any track lithography tool, including many of the various tool configurations described in this specification, incorporated herein by reference for any purpose, and configurations not described in the 11,112,281 application.

도 15는, 트랙 리소그라피 툴 200 내에서 처리되는 반도체 기판에 대한 처리 과정의 일례를 도시하는 흐름도이다. 당해 기술 분야에서 통상의 지식을 가진 자는, 도 15와 관련하여 이하에서 기술된 다양한 처리 단계들이, 본 발명의 방법들이 사용되기 위해 다수의 다양한 기회들을 제공하고 있음을 인식할 것이다. 또한, 본 발명의 방법들의 다양한 실시예들이 도 15에서 기술되는 특정 처리 과정에 한정되지 아니하며, 특정 처리 레시피에 따른 복수의 기판의 열 처리에 대한 (그리고 특정 상보적 베이킹 및 냉경 단계에서의) 고도의 제어가 요구되는 소정의 일련의 처리 단계들 또는 응용물에 있어서도 이용될 수 있다는 것을 당해 기술 분야에서 통상의 지식을 가진 자는 인식할 것이다. FIG. 15 is a flowchart illustrating an example of a processing procedure for a semiconductor substrate processed in the track lithography tool 200. One of ordinary skill in the art will recognize that the various processing steps described below in connection with FIG. 15 provide a number of different opportunities for the methods of the present invention to be used. In addition, various embodiments of the methods of the present invention are not limited to the specific processing described in FIG. 15, and are highly advanced (and in certain complementary baking and chilling steps) for thermal treatment of a plurality of substrates in accordance with a specific processing recipe. One of ordinary skill in the art will recognize that the control may be used in any series of processing steps or applications where control is required.

도 15는, 기판 표면에 형성된 포토레지스트 물질 층을 증착, 노광, 그리고 현상하기 위해 이용될 수 있는 일련의 방법 단계들 300의 일 실시예를 도시한다. 리소그라피 처리는, 일반적으로, 다음과 같은 단계들을 포함한다: 코팅 모듈에의 기판 이송 단계 310, 하부 무반사 코팅(bottom anti-reflective coating; BARC)의 코팅 단계 312, BARC 후 베이킹 단계 314, BARC 후 냉경 단계 316, 포토레지스트 코팅 단계 318, 포토레지스트 후 베이킹 단계 320, 포토레지스트 후 냉경 단계 322, 광학 에지 비드 제거(optical edge bead removal; OEBR) 단계 324, 노광 단계 326, 노광 후 베이킹(post exposure bake; PEB) 단계 328, 노광 후 베이킹 냉경 단계 330, 현상 단계 332, 기판 세정 단계 334, 현상 후 냉경 단계 336 및 포드(pod)에의 기판 이송 단계 338. 다른 실시예들에 있어서, 상기 일련의 방법 단계들 300은, 본 발명의 기본적인 범위로부터 변경되지 아니한 채, 재배열되거나, 변경되거나, 하나 또는 그 이상의 단계가 제거되거나, 추가적인 단계가 부가되거나, 둘 또는 그 이상의 단계들이 하나의 단계로 조합될 수 있다. FIG. 15 illustrates one embodiment of a series of method steps 300 that may be used to deposit, expose, and develop a layer of photoresist material formed on a substrate surface. The lithographic treatment generally comprises the following steps: substrate transfer to coating module step 310, bottom anti-reflective coating (BARC) coating step 312, BARC baking step 314, cold after BARC Step 316, photoresist coating step 318, post photoresist baking step 320, post photoresist cold step 322, optical edge bead removal (OEBR) step 324, exposure step 326, post exposure bake; PEB) step 328, post-exposure bake cold step 330, develop step 332, substrate clean step 334, post develop cold step 336 and transfer substrate to pod 338. In other embodiments, the series of method steps 300 is rearranged, altered, one or more steps removed, additional steps added, or both unchanged from the basic scope of the invention. May further step may be combined into a single step.

단계 310에 있어서, 반도체 기판은 코팅 모듈로 이송된다. 도 14를 참조하면, 코팅 모듈에의 기판 이송 단계 310은 일반적으로 전단 로봇 218이 포드 어셈블리 216 중 하나에 있는 카세트 230으로부터 기판을 제거하도록 하는 처리로서 정의된다. 하나 또는 그 이상의 기판 "W"를 수용하는 카세트 230이, 사용자 또는 소정의 외부 디바이스(도시되지 않음)에 의해 포드 어셈블리 216에 배치되어, 상기 기판이, 시스템 제어기 240에 보유된 소프트웨어에 의해 제어되는 사용자 정의의 기판 처리 과정에 의해, 클러스터 툴 200에서 처리될 수 있게 된다.In step 310, the semiconductor substrate is transferred to a coating module. Referring to FIG. 14, substrate transfer step 310 to the coating module is generally defined as a process that causes the shear robot 218 to remove the substrate from the cassette 230 in one of the pod assemblies 216. A cassette 230 containing one or more substrates "W" is placed in the pod assembly 216 by a user or some external device (not shown) so that the substrate is controlled by software held in the system controller 240. User-defined substrate processing allows processing in the cluster tool 200.

BARC 코팅 단계 310은 기판의 표면에 유기 물질을 증착시키기 위해 이용되는 단계이다. BARC 층은 전형적으로 포토레지스트 층에 앞서서 상기 기판에 인가되는 유기 코팅인데, 상기 층은, 당해 단계를 거치지 않는 경우, 스테퍼/스캐너 5에서 수행되는 노광 단계 326 동안 상기 기판의 표면으로부터 레지스트 내부로 다시 반 사되어 들어갈 수 있는 빛을 흡수하기 위해서 인가된다. 이러한 반사를 방지하지 않으면, 정상파(standing wave)가 레지스트 층 내에 자리잡게 될 것이고, 이는 형상 크기가 레지스트 층의 국소적인 두께에 따라서 변동되도록 만든다. 또한 상기 BARC 층은, 일반적으로 다중 전자 디바이스 제조 단계를 완료한 후에 나타나는, 기판 표면의 기하학적 형태(topography)를 평평하게 하기 위해(즉, 평면화 하기 위해) 사용될 수 있다. 상기 BARC 물질은 포토레지스트 인가를 위한 더 평평한 표면을 형성하기 위해 형상들 주위와 그 위를 채우고, 레지스트 두께에 있어서 국소적인 변동을 감소시킨다.BARC coating step 310 is a step used to deposit an organic material on the surface of the substrate. The BARC layer is typically an organic coating applied to the substrate prior to the photoresist layer, which layer, if not passed through this step, back into the resist from the surface of the substrate during the exposure step 326 performed in stepper / scanner 5 It is applied to absorb the light that can be reflected. If this reflection is not prevented, standing waves will settle in the resist layer, causing the shape size to vary with the local thickness of the resist layer. The BARC layer can also be used to flatten (ie, planarize) the topography of the substrate surface, which generally appears after completing the steps of manufacturing multiple electronic devices. The BARC material fills around and over the shapes to form a flatter surface for photoresist application and reduces local variations in resist thickness.

BARC 코팅 단계 310은 전형적으로, 기판이 회전하고 있는 동안 상당한 양의 BARC 물질이 기판의 표면에 증착되는 기존의 스핀-온 레지스트 디스펜스(spin-on resist dispense) 처리를 이용하여 수행되는데, 이는 BARC 물질 내의 용매가 증발되게 하고 이로 인해 증착된 BARC 물질의 물질적인 특성이 변경되게 만든다. 상기 용매 증발 처리 및 기판 표면에 형성된 층의 특성을 제어하기 위해, BARC 처리실에서 공기 유량 및 배기 유량이 종종 제어된다. BARC coating step 310 is typically performed using a conventional spin-on resist dispense process in which a significant amount of BARC material is deposited on the surface of the substrate while the substrate is rotating, which is a BARC material. The solvent in it is allowed to evaporate, thereby changing the material properties of the deposited BARC material. In order to control the solvent evaporation process and the properties of the layer formed on the substrate surface, air flow rate and exhaust flow rate are often controlled in the BARC treatment chamber.

BARC 후 베이킹 단계 314는, BARC 코팅 단계 312에 있어서, 상기 증착된 BARC 층으로부터 모든 용매가 제거되는 것을 보증하기 위해, 그리고 소정의 경우에 있어서 기판의 표면에 대한 BARC 층의 부착을 증진시키기 위해 이용되는 단계이다. BARC 후 베이킹 단계 314의 온도는 기판의 표면에 증착된 BARC 물질의 타입에 의존하지만, 일반적으로 약 250℃보다는 낮을 것이다. BARC 후 베이킹 단계 314를 완료하는데 필요한 시간은 BARC 후 베이킹 단계 동안의 기판의 온도에 의존할 것이지 만, 일반적으로 약 60초보다는 짧을 것이다. Post-BARC baking step 314 is used in BARC coating step 312 to ensure that all solvents are removed from the deposited BARC layer, and in some cases to promote adhesion of the BARC layer to the surface of the substrate. It is a step. The temperature of the post-BARC baking step 314 depends on the type of BARC material deposited on the surface of the substrate, but will generally be lower than about 250 ° C. The time required to complete the post-BARC bake step 314 will depend on the temperature of the substrate during the post-BARC bake step, but will generally be shorter than about 60 seconds.

BARC 후 냉경 단계 316은, 기판이 주위 온도 이상으로 되는 시간이 일정하도록 제어 및 보증하여 모든 기판이 동일한 시간-온도 프로파일(profile)을 나타내고 이로써 처리 변형성이 최소화되도록 하기 위해 이용되는 단계이다. 기판들의 웨이퍼 이력의 일 구성 요소인 상기 BARC 처리 시간-온도 프로파일에서의 변형은, 증착된 필름 층의 특성에 영향을 미칠 수 있으므로, 처리 변형성을 최소화하기 위해 종종 제어된다. BARC 후 냉경 단계 316은, 전형적으로, BARC 후 베이킹 단계 314 이후의 기판을 주위 온도 또는 그와 가까운 온도로 냉각시키기 위해 이용된다. BARC 후 냉경 단계 316을 완료하기 위해 필요한 시간은 BARC 후 베이킹 단계에 있어서의 기판의 온도에 의존할 것이지만, 일반적으로 약 30초보다 짧을 것이다. The cold step 316 after BARC is a step used to control and ensure that the time the substrate is above ambient temperature is constant so that all substrates exhibit the same time-temperature profile, thereby minimizing process deformability. Deformation in the BARC processing time-temperature profile, which is one component of the wafer history of the substrates, can affect the properties of the deposited film layer and is therefore often controlled to minimize processing deformation. The cold step 316 after BARC is typically used to cool the substrate after the BARC post bake step 314 to or near ambient temperature. The time required to complete the post-BARC chill step 316 will depend on the temperature of the substrate in the post-BARC bake step, but will generally be shorter than about 30 seconds.

포토레지스트 코팅 단계 318은, 기판의 표면 위에 포토레지스트 층을 증착시키기 위해 이용되는 단계이다. 포토레지스트 코팅 단계 318 동안 증착되는 포토레지스트 층은, 전형적으로, 기판상에 인가되는 광-민감성(light sensitive) 유기 코팅이며, 이후에 패턴화된 형상(feature)을 기판의 표면에 형성하기 위해 스테퍼/스캐너 5 내에서 노광된다. 포토레지스트 코팅 단계 318은, 전형적으로, 기판이 회전하고 있는 동안 상당량의 포토레지스트 물질이 상기 기판의 표면에 증착되는 기존의 스핀-온 레지스트 디스펜스 처리를 이용하여 수행되는데, 이는 포토레지스트 물질 내의 용매가 증발하게 하고, 그리하여 증착된 포토레지스트 층의 물질적 특징이 변경되게 한다. 상기 용매 증발 처리 및 기판 표면에 형성된 층의 특성을 제어하기 위해 포토레지스트 처리실에서의 공기 유량 및 배기 유량이 제어된다. 소정 의 경우에 있어서, 포토레지스트 코팅 단계 동안 레지스트로부터의 용매의 증발을 제어하기 위해서, 배기 유량의 제어 및/또는 기판 표면 부근에의 용매의 주입에 의해 기판 표면 위 용매의 부분 압력을 제어해야 할 필요가 있을 수 있다. 도 14를 참조하면, 포토레지스트 코팅 처리의 일례에 있어서, 기판은 우선 코터/현상기 모듈 234에서 웨이퍼 척(chuck)상에 위치한다. 포토레지스트가 상기 기판의 중앙에 분배(dispense)되는 동안, 모터가 웨이퍼 척과 기판을 회전시킨다. 상기 회전은, 상기 포토레지스트에 회전 토크(angular torque)를 부여하는데, 이는 포토레지스트를 방사(放射) 방향으로 밀어내어 궁극적으로는 기판을 덮도록 한다. Photoresist coating step 318 is a step used to deposit a photoresist layer on the surface of the substrate. The photoresist layer deposited during photoresist coating step 318 is typically a light sensitive organic coating applied onto the substrate, and then stepper to form a patterned feature on the surface of the substrate. / Is exposed in scanner 5. Photoresist coating step 318 is typically performed using a conventional spin-on resist dispensing process in which a substantial amount of photoresist material is deposited on the surface of the substrate while the substrate is rotating, which is characterized by the solvent in the photoresist material. Evaporation, thereby causing the material properties of the deposited photoresist layer to be altered. The air flow rate and the exhaust flow rate in the photoresist processing chamber are controlled to control the solvent evaporation process and the properties of the layer formed on the substrate surface. In some cases, in order to control the evaporation of the solvent from the resist during the photoresist coating step, it is necessary to control the partial pressure of the solvent on the substrate surface by controlling the exhaust flow rate and / or by injecting the solvent near the substrate surface. There may be a need. Referring to FIG. 14, in one example of a photoresist coating process, the substrate is first placed on a wafer chuck in the coater / developer module 234. The motor rotates the wafer chuck and the substrate while the photoresist is dispensed at the center of the substrate. The rotation imparts angular torque to the photoresist, which pushes the photoresist in the radial direction, ultimately covering the substrate.

포토레지스트 베이킹 단계 320은, 포토레지스트 코팅 단계 318에 있어서, 상기 증착된 포토레지스트 층으로부터 모든 용매가 제거되는 것을 보증하기 위해, 그리고 소정의 경우에 있어서 포토레지스트 층의 BARC 층에의 부착을 증진시키기 위해 이용되는 단계이다. 포토레지스트 후 베이킹 단계 320의 온도는 기판의 표면에 증착된 포토레지스트 물질의 타입에 의존하지만, 일반적으로 약 350℃보다는 낮을 것이다. 포토레지스트 후 베이킹 단계 320을 완료하는데 필요한 시간은 포토레지스트 후 베이킹 단계 동안의 기판의 온도에 의존할 것이지만, 일반적으로 약 60초보다는 짧을 것이다. Photoresist baking step 320, in photoresist coating step 318, to ensure that all solvents are removed from the deposited photoresist layer, and in some cases to promote adhesion of the photoresist layer to the BARC layer. This is the step used. The temperature of the post-resist post-baking step 320 depends on the type of photoresist material deposited on the surface of the substrate, but will generally be lower than about 350 ° C. The time required to complete the post-resist bake step 320 will depend on the temperature of the substrate during the post-resist bake step, but will generally be shorter than about 60 seconds.

포토레지스트 후 냉경 단계 322는, 기판의 온도가 주위 온도 이상이 되는 시간을 제어하여 모든 기판이 동일한 시간-온도 프로파일을 나타내고, 이로써 처리 변형성이 최소화되도록 하기 위해 사용되는 단계이다. 시간-온도 프로파일에서의 변형은 증착된 필름 층의 특성에 영향을 미칠 수 있고, 따라서 처리 변형성을 최소 화하기 위해 종종 제어된다. 그러므로, 포토레지스트 후 냉경 단계 322의 온도는, 포토레지스트 후 베이킹 단계 320 이후의 기판을 주위 온도 또는 그와 가까운 온도로 냉각시키기 위해 이용된다. 포토레지스트 후 냉경 단계 322를 완료하기 위해 필요한 시간은, 포토레지스트 후 베이킹 단계에 있어서의 기판의 온도에 의존할 것이지만, 일반적으로 약 30초보다는 짧을 것이다. The chill step 322 after the photoresist is a step used to control the time at which the temperature of the substrate is above ambient temperature so that all substrates exhibit the same time-temperature profile, thereby minimizing processing strain. Deformation in the time-temperature profile can affect the properties of the deposited film layer, and is therefore often controlled to minimize process deformation. Therefore, the temperature of the post-resist chill step 322 is used to cool the substrate after the post-resist bake step 320 to an ambient temperature or close to it. The time required to complete the post photoresist chill step 322 will depend on the temperature of the substrate in the post photoresist bake step, but will generally be shorter than about 30 seconds.

광학 에지 비드 제거(optical edge bead removal; OEBR) 단계 324는, 포토레지스트 코팅 단계 318 동안 형성된 층 및 BARC 코팅 단계 312 동안 형성된 BARC 층과 같은, 증착된 광-민감성의 포토레지스트 층(들)을 방사선 소스(도시되지 않음)에 노출시켜, 어느 하나의 층이 또는 양 층 모두가 기판의 에지로부터 제거되고 상기 증착된 층의 에지 배제(edge exclusion)가 더욱 획일적으로 제어될 수 있도록 하기 위해 사용되는 처리이다. 기판의 표면을 노광시키기 위해 사용되는 방사선의 파장 및 강도는, 상기 기판의 표면에 증착된 BARC 및 포토레지스트 층의 타입에 의존할 것이다. OEBR 툴은 예를 들면 캘리포니아주의 사이프러스(Cypress) 소재의 우시오 어메리카 사(社)(USHIO AMERICA, Inc.)로부터 구입할 수 있다. Optical edge bead removal (OEBR) step 324 radiates the deposited photo-sensitive photoresist layer (s), such as the layer formed during photoresist coating step 318 and the BARC layer formed during BARC coating step 312. A process used to expose to a source (not shown) so that either or both layers are removed from the edge of the substrate and the edge exclusion of the deposited layer can be more uniformly controlled. to be. The wavelength and intensity of the radiation used to expose the surface of the substrate will depend on the type of BARC and photoresist layer deposited on the surface of the substrate. The OEBR tool can be purchased from, for example, USHIO AMERICA, Inc. of Cypress, California.

노광 단계 326은, 집적 회로(IC)를 제조하기 위해 사용되는 패턴을 형성하기 위해, 리소그라피 프로젝션 장치(예를 들면, 스테퍼 스캐너 5)에 의해 적용되는 리소그라피 프로젝션 단계이다. 노광 단계 326은, 포토레지스트 코팅 단계 318 동안 형성된 포토레지스트 층 및 BARC 코팅 단계 312 동안 형성된 BARC 층과 같은 감광성의 물질을 소정의 형태의 전자기 방사선에 노출시킴으로써, 집적 회로(IC) 디바이스의 개개의 층에 대응하는 회로 패턴을 기판의 표면에 형성한다. The exposure step 326 is a lithographic projection step applied by a lithographic projection apparatus (eg, stepper scanner 5) to form a pattern used for manufacturing the integrated circuit (IC). Exposure step 326 is performed by exposing photosensitive materials, such as the photoresist layer formed during photoresist coating step 318 and the BARC layer formed during BARC coating step 312, to some form of electromagnetic radiation, thereby providing individual layers of an integrated circuit (IC) device. The circuit pattern corresponding to the above is formed on the surface of the substrate.

노광 후 베이킹(post exposure bake; PEB) 단계 328은, 광활성(光活性) 화합물(들)의 확산을 자극하고, 레지스트 층에서의 정상파의 영향을 감소시키기 위해, 노광 단계 326 이후 즉시 기판을 가열하기 위해 사용되는 단계이다. 화학 증폭형(chemically amplified) 레지스트에 대해, 상기 PEB 단계는 또한 레지스트의 용해도를 변화시키는 촉매 작용에 의한 화학 반응을 야기시킨다. PEB 동안의 온도의 제어는, 전형적으로, 임계 치수(critical dimension; CD) 제어에 대해 임계적이다. PEB 단계 328의 온도는 기판의 표면에 증착된 포토레지스트 물질의 타입에 의존하지만, 약 250℃보다는 낮을 것이다. PEB 단계 328을 완료하는데 필요한 시간은 PEB 단계 동안의 기판의 온도에 의존할 것이지만, 일반적으로 약 60초보다는 짧을 것이다. Post exposure bake (PEB) step 328 heats the substrate immediately after exposure step 326 to stimulate diffusion of photoactive compound (s) and reduce the effects of standing waves in the resist layer. Are the steps used. For chemically amplified resists, the PEB step also causes a catalytic chemical reaction that changes the solubility of the resist. The control of temperature during PEB is typically critical for critical dimension (CD) control. The temperature of PEB step 328 will depend on the type of photoresist material deposited on the surface of the substrate, but will be lower than about 250 ° C. The time required to complete the PEB stage 328 will depend on the temperature of the substrate during the PEB stage, but will generally be shorter than about 60 seconds.

노광 후 베이킹(PEB) 냉경 단계 330은, 기판의 온도가 주위 온도 이상이 되는 시간이 제어되어 모든 기판이 동일한 시간-온도 프로파일을 나타내고 이로써 처리 변형성이 최소화됨을 확실히 하도록 제어하기 위해 이용되는 단계이다. PEB 처리 시간-온도 프로파일에 있어서의 변형은, 증착된 필름 층의 특성에 영향을 미칠 수 있고, 따라서 처리 변형성을 최소화하기 위해 종종 제어된다. 그러므로, PEB 냉경 단계 330의 온도는, PEB 단계 328 이후의 기판을 주위 온도 또는 그와 가까운 온도로 냉각시키기 위해 이용된다. PEB 냉경 단계 330을 완료하는데 필요한 시간은 PEB 단계에 있어서의 기판의 온도에 의존할 것이지만, 일반적으로 약 30초보다는 짧을 것이다. Post-exposure baking (PEB) cold step 330 is a step used to control that the time at which the temperature of the substrate becomes above ambient temperature is controlled to ensure that all the substrates exhibit the same time-temperature profile, thereby minimizing processing strain. Deformation in the PEB treatment time-temperature profile can affect the properties of the deposited film layer and is therefore often controlled to minimize treatment deformability. Therefore, the temperature of PEB chill step 330 is used to cool the substrate after PEB step 328 to ambient temperature or close to it. The time required to complete the PEB chill step 330 will depend on the temperature of the substrate in the PEB step, but will generally be shorter than about 30 seconds.

현상 단계 332는, 노광 처리 단계 326 동안 형성된 패턴을 노출시키기 위해, 노광된 또는 노광되지 않은 포토레지스트 및 BARC 층에 화학적 또는 물리적 변화를 일으키도록 용매를 사용하는 처리이다. 현상 처리는 현상제 용매를 분배(dispense)하기 위해 사용되는 스프레이(spray) 또는 액침(immersion) 또는 퍼들(puddle) 타입의 처리일 수 있다. 소정의 현상 처리에 있어서, 기판은 현상제 용액을 인가하기에 앞서 유체층(fluid layer), 전형적으로는 중성수로 코팅되고, 현상 처리 동안 회전된다. 그 후에 현상제 용액을 인가함으로써 기판 표면에 현상제가 균일하게 코팅된다. 단계 334에 있어서, 세정 용액이 상기 기판의 표면에 공급되어 상기 현상 처리를 종료시킨다. 단지 예로서, 상기 세정 용액은 중성수가 될 수 있다. 다른 실시예들에 있어서는, 계면 활성제와 결합한 중성수의 세정 용액이 공급된다. 당해 기술 분야에서 통상의 지식을 가진 자는 다양한 변형, 변경 및 대안을 인식할 것이다. Developing step 332 is a process that uses a solvent to cause chemical or physical changes in the exposed or unexposed photoresist and BARC layers to expose the pattern formed during exposure processing step 326. The development treatment may be a spray or immersion or puddle type treatment used to dispense developer solvent. In certain development processes, the substrate is coated with a fluid layer, typically neutral water, prior to application of the developer solution and rotated during the development process. Thereafter, the developer is uniformly coated on the surface of the substrate by applying a developer solution. In step 334, a cleaning solution is supplied to the surface of the substrate to terminate the developing process. By way of example only, the cleaning solution may be neutral water. In other embodiments, a cleaning solution of neutral water combined with a surfactant is provided. Those skilled in the art will recognize various modifications, changes and alternatives.

단계 336에 있어서, 기판은 현상 단계 332 및 세정 단계 334 이후에 냉각된다. 단계 338에 있어서, 상기 기판은 포드로 이송되어 처리 과정은 완료된다. 단계 338에 있어서 기판을 포드로 이송하는 것은, 일반적으로, 전단 로봇 218이 포드 어셈블리 216 중 어느 하나에 있는 카세트 230에 상기 기판을 반환하도록 하는 처리를 수반한다. In step 336, the substrate is cooled after the developing step 332 and the cleaning step 334. In step 338, the substrate is transferred to a pod to complete the processing. Transferring the substrate to the pod in step 338 generally involves processing the shear robot 218 to return the substrate to the cassette 230 in either of the pod assemblies 216.

본 명세서에서의 본 발명에 대한 기술에 기초하여, 당해 기술 분야에서 통상의 지식을 가진 자는, 본 발명의 실시예들이, 도 15에서 도시되지 않은 다른 단계들 가운데, BARC 후 베이킹 단계 314 및 BARC 후 냉경 단계 316 동안, PR 후 베이킹 단계 320 및 PR 후 냉경 단계 322 동안, 노광 후 베이킹 단계 328 및 노광 후 냉경 단계 330 동안, 그리고 현상 후 냉경 단계 336 동안, 기판을 가열 및/또는 냉각시키기 위해 유용하게 이용될 수 있다는 것을 인식할 것이다. 또한, 당해 기술 분야에서 통상의 지식을 가진 자는, 상기 기술된 다양한 베이킹 및 냉경 과정들 중 소정의 과정은 다른 베이킹 및/또는 냉경 요건을 가진다는 것을 인식할 것이다. 따라서, 상기 당해 기술 분야에서 통상의 지식을 가진 자는, 통합 열 장치 내에 편입되는 특정 베이킹 플레이트 20 및/또는 냉경 플레이트 30의 기능적인 사양이, 상기 베이킹 및/또는 냉경 플레이트가 각각 가열 및 냉각시키고자 하는 물질에 의존할 것이라는 점을 인식할 것이다. 예를 들면, BARC 물질은 저온, 저(低) 정밀도의 베이킹 플레이트(예를 들면, 최고 250℃, 단일 구역 히터)로 충분히 가열될 수 있는 반면, 포토레지스트 물질은 고온, 중(中) 정밀도의 베이킹 플레이트(예를 들면, 최고 350℃, 3개 구역 히터)를 필요로 할 수 있고, 노광 후 베이킹 처리는 저온, 고(高) 정밀도의 베이킹 플레이트(예를 들면, 최고 250℃, 5개 구역 히터)를 필요로 할 수 있다. 따라서, 본 발명의 실시예들은 베이킹 플레이트 20 또는 냉경 플레이트 30의 어떤 특정 타입 또는 구성에 한정되지 않는다. 대신, 일반적으로 각각의 베이킹 플레이트 20 및 냉경 플레이트 30은, 당해 기술 분야에서 통상의 지식을 가진 자에 의해 정해질 수 있는 것과 마찬가지로, 상기 베이킹 플레이트 및 냉경 플레이트가 사용될 응용품에 의해 요구되는 바에 따라, 특정 성능 기준에 맞춰 설계될 수 있다.Based on the description of the invention herein, one of ordinary skill in the art will appreciate that embodiments of the invention may, after other baking steps 314 and BARC, after BARC, among other steps not shown in FIG. 15. During the cold step 316, during the post-PR baking step 320 and the post-PR cold step 322, during the post-exposure bake step 328 and the post-exposure cold step 330, and during the post-development cold step 336, it is useful to heat and / or cool the substrate. It will be appreciated that it can be used. Those skilled in the art will also recognize that certain of the various baking and chilling processes described above have different baking and / or chilling requirements. Thus, one of ordinary skill in the art would appreciate that the functional specifications of the particular baking plate 20 and / or cold plate 30 incorporated into the integrated heating apparatus allow the baking and / or cold plate to be heated and cooled respectively. It will be appreciated that it will depend on the material being made. For example, BARC materials may be sufficiently heated with low temperature, low precision baking plates (eg, up to 250 ° C., single zone heaters), while photoresist materials may be high temperature, medium precision Baking plates (eg, up to 350 ° C., three zone heaters) may be required, and post-exposure baking treatments may be performed at low temperature, high precision baking plates (eg, up to 250 ° C., five zones). Heaters). Accordingly, embodiments of the present invention are not limited to any particular type or configuration of baking plate 20 or chill plate 30. Instead, each baking plate 20 and cold plate 30 is generally as required by the application in which the baking plate and cold plate will be used, as may be determined by one of ordinary skill in the art. It can be designed to specific performance criteria.

본 발명은 특정 실시예 및 그에 따른 구체례와 관련하여 기술되었지만, 다른 실시예들도 본 발명의 사상 및 범위 내에 있을 수 있다는 것을 이해하여야 할 것이 다. 따라서, 본 발명의 범위는, 첨부된 청구항과 함께 상기 청구항의 균등물의 전 범위를 참조하여 결정되어야 한다. While the invention has been described in connection with specific embodiments and specific embodiments thereof, it should be understood that other embodiments may be within the spirit and scope of the invention. Accordingly, the scope of the invention should be determined with reference to the appended claims, along with the full scope of equivalents thereof.

도 1은, 본 발명에 따른 통합 열 장치의 일 실시예의 개념도이다. 1 is a conceptual diagram of one embodiment of an integrated thermal device according to the present invention.

도 2a는, 도 1에서 도시된 통합 열 장치의 간략화된 사시도이다. FIG. 2A is a simplified perspective view of the integrated thermal device shown in FIG. 1.

도 2b는, 도 2a에서 도시된 통합 열 장치의, 상기 장치의 최상부가 제거된 상태에 관한 사시도이다. FIG. 2B is a perspective view of the integrated thermal device shown in FIG. 2A with the top of the device removed. FIG.

도 3은, 본 발명의 방법의 일 실시예에 따라 수행되는 일련의 이벤트를 도시하는 블록도이다. 3 is a block diagram illustrating a series of events performed in accordance with one embodiment of the method of the present invention.

도 4는, 도 2b에서 도시된 베이킹 스테이션 12 및 냉경 스테이션 14의 단면도이다. 4 is a cross-sectional view of the baking station 12 and the cold station 14 shown in FIG. 2B.

도 5는, 본 발명의 일 실시예에 따른, 도 2b에서 도시된 냉경 셔틀 18의 사시도이다. 5 is a perspective view of the cold shuttle 18 shown in FIG. 2B, in accordance with an embodiment of the present invention.

도 6은, 도 2b에서 도시된 통합 열 장치의 일부의, 베이킹 스테이션 12 및 냉경 스테이션 14가 제거된 상태에 관한 사시도이다. FIG. 6 is a perspective view of a state in which baking station 12 and cold station 14 are removed of a portion of the integrated thermal arrangement shown in FIG. 2B.

도 7은, 본 발명의 일 실시예에 따른, 도 2b에서 도시된 냉경 플레이트 30의 사시도이다. FIG. 7 is a perspective view of the cold plate 30 shown in FIG. 2B, in accordance with one embodiment of the present invention. FIG.

도 8은, 본 발명의 일 실시예에 따른, 도 2b에서 도시된 베이킹 플레이트 20의 사시도이다. 8 is a perspective view of the baking plate 20 shown in FIG. 2B, in accordance with an embodiment of the present invention.

도 9는, 도 8에서 도시된 베이킹 플레이트 20의 단면의 사시도이다. 9 is a perspective view of a cross section of the baking plate 20 shown in FIG. 8.

도 10은, 도 8 및 9에서 도시된 베이킹 플레이트 20의 단면도이다. 10 is a cross-sectional view of the baking plate 20 shown in FIGS. 8 and 9.

도 11은, 도 8에서 도시된 베이킹 스테이션 12의, 바닥에서 본 사시도이다. FIG. 11 is a bottom perspective view of the baking station 12 shown in FIG. 8.

도 12는, 도 11에서 도시된 결합 가능한 열 싱크 140의 간략화된 단면도이다. 12 is a simplified cross-sectional view of the joinable heat sink 140 shown in FIG.

도 13은, 본 발명에 따른 통합 열 장치의 다른 실시예의 개념도이다. 13 is a conceptual diagram of another embodiment of an integrated thermal device according to the present invention.

도 14는, 본 발명의 일 실시예에 따른 트랙 리소그라피 툴의 일 실시예의 평면도이다. 14 is a top view of one embodiment of a track lithography tool in accordance with one embodiment of the present invention.

도 15는, 도 14에서 도시된 트랙 리소그라피 툴에 의해 처리되는 반도체 기판에 대한 처리 과정의 예를 도시하는 흐름도이다. FIG. 15 is a flowchart illustrating an example of a processing procedure for a semiconductor substrate processed by the track lithography tool shown in FIG. 14.

Claims (25)

기판을 처리하는 통합 열 장치에 있어서,In an integrated thermal device for processing a substrate, 기판을 보유 및 가열하는 베이킹 플레이트를 포함하는 베이킹 스테이션;A baking station comprising a baking plate for holding and heating the substrate; 기판을 보유 및 냉각시키는 냉경 플레이트를 포함하는 냉경 스테이션; 및A chill station comprising a chill plate for holding and cooling the substrate; And 기판을 상기 열 장치 내에서 좌우 방향의 선형 경로를 따라 상기 베이킹 플레이트로부터 상기 냉경 플레이트로 이송하고, 기판을 상기 통합 열 장치 내에서 상하 방향 경로를 따라 상승 및 하강시키는 기판 이송 셔틀을 포함하고,A substrate transfer shuttle for transferring a substrate from the baking plate to the cold plate along a linear path in left and right directions in the thermal device, and raising and lowering the substrate along a vertical path in the integrated thermal device; 상기 기판 이송 셔틀은, 기판을 냉각시킬 수 있는 온도 제어 표면, 상기 온도 제어 표면의 능동적인 온도 제어를 가능하게 하는 복수의 냉각제 채널 및 상기 온도 제어 표면의 기판 수취 영역 부분의 주변에 배열된 복수의 버튼을 포함하며,The substrate transfer shuttle includes a temperature control surface capable of cooling the substrate, a plurality of coolant channels that enable active temperature control of the temperature control surface, and a plurality of arranged around the substrate receiving area portion of the temperature control surface. Button, 상기 복수의 버튼은 상기 온도 제어 표면의 상기 기판 수취 영역 내에 기판을 고정하는 통합 열 장치.The plurality of buttons secure a substrate within the substrate receiving area of the temperature control surface. 제1항에 있어서,The method of claim 1, 셔틀 스테이션을 더 포함하며, 상기 셔틀 스테이션에서 기판이 상기 열 장치 내로 이송되고 상기 기판 이송 셔틀에 의해 들어올려 질 수 있는 통합 열 장치.Further comprising a shuttle station, wherein at the shuttle station a substrate is transferred into the thermal apparatus and can be lifted by the substrate transfer shuttle. 제2항에 있어서,The method of claim 2, 상기 베이킹 플레이트, 냉경 플레이트 및 셔틀 스테이션을 수용하는 하우징을 더 포함하는 통합 열 장치. And a housing for receiving the baking plate, cold plate and shuttle station. 제3항에 있어서,The method of claim 3, 상기 베이킹 플레이트, 냉경 플레이트 및 셔틀 스테이션은 상기 하우징의 길이를 따라 선형으로 배열되는 통합 열 장치. The baking plate, cold plate and shuttle station are arranged linearly along the length of the housing. 제4항에 있어서,5. The method of claim 4, 상기 셔틀 스테이션은 상기 베이킹 플레이트와 상기 냉경 플레이트 사이에 위치하는 통합 열 장치. The shuttle station is located between the baking plate and the cold plate. 제4항에 있어서,5. The method of claim 4, 상기 냉경 플레이트는 상기 베이킹 플레이트와 상기 셔틀 스테이션 사이에 위치하는 통합 열 장치. The cold plate is located between the baking plate and the shuttle station. 제6항에 있어서,The method of claim 6, 상기 냉경 플레이트 위에 위치한 입자 차단부를 더 포함하되, 상기 기판 이송 셔틀은 상기 하우징 내의 상기 셔틀 스테이션, 상기 냉경 플레이트 및 상기 베이킹 플레이트 사이에서 상기 입자 차단부 위의 상기 좌우 방향의 선형 경로를 따라 이동하는 통합 열 장치.And further comprising a particle block located above the cold plate, wherein the substrate transfer shuttle moves along the left and right linear paths above the particle block between the shuttle station, the cold plate and the baking plate in the housing. Thermal device. 제4항에 있어서,5. The method of claim 4, 상기 기판 이송 셔틀은, 상기 좌우 방향의 선형 경로를 따라 상기 하우징의 길이의 일단에서 타단으로 이동하는 통합 열 장치.And the substrate transfer shuttle moves from one end of the length of the housing to the other end along a linear path in the horizontal direction. 삭제delete 삭제delete 삭제delete 베이킹 플레이트 및 냉경 플레이트를 포함하는 통합 열 장치에서의 기판 처리 방법에 있어서,A substrate processing method in an integrated thermal apparatus comprising a baking plate and a cold plate, 액체 레지스트 물질이 인가된 기판을 상기 통합 열 장치 내로 이송하는 단계;Transferring the substrate to which the liquid resist material has been applied into the integrated thermal device; 상기 기판을 상기 베이킹 플레이트에 위치시키는 단계;Positioning the substrate on the baking plate; 상기 기판을 상기 베이킹 플레이트로 가열하는 단계;Heating the substrate with the baking plate; 상기 기판을 상기 베이킹 플레이트로부터 상기 냉경 플레이트로 이송하는 단계로서, 상기 이송은, 상기 통합 열 장치 내에서, 기판 이송 셔틀로 좌우 방향의 선형 경로를 따라서 그리고 상하 방향의 경로를 따라서 상기 기판을 이동시키는 것을 포함하는 단계;Transferring the substrate from the baking plate to the cold plate, wherein the transfer moves the substrate along a linear path in left and right directions and along a path in a vertical direction with a substrate transfer shuttle in the integrated thermal device. Comprising; 상기 기판을 상기 냉경 플레이트로 냉각시키는 단계; 및Cooling the substrate with the cold plate; And 상기 기판을 상기 통합 열 장치 외부로 이송하는 단계를 포함하고,Transferring the substrate out of the integrated thermal device, 상기 기판을 상기 통합 열 장치 내로 이송하는 단계는, (i) 상기 기판을, 상기 셔틀의 기판 수취면을 통해 연장되는, 셔틀 스테이션에 위치한 복수의 리프트 핀에 배치하는 단계 및 (ii) 상기 기판을 상기 리프트 핀으로부터 들어올리기 위해 상기 셔틀을 상하 방향으로 움직이는 단계를 포함하며,Transferring the substrate into the integrated thermal device includes: (i) placing the substrate on a plurality of lift pins located in a shuttle station extending through the substrate receiving surface of the shuttle; and (ii) placing the substrate. Moving the shuttle in a vertical direction to lift it from the lift pins; 상기 기판을 상기 베이킹 플레이트에 위치시키는 단계는, 좌우 방향의 선형 경로를 따라 상기 셔틀을 상기 셔틀 스테이션으로부터 상기 베이킹 스테이션으로 움직임으로써, 상기 셔틀로 상기 기판을 상기 베이킹 스테이션으로 이송하는 단계를 포함하는 기판 처리 방법.Positioning the substrate in the baking plate includes transferring the substrate to the baking station by the shuttle by moving the shuttle from the shuttle station to the baking station along a linear path in a horizontal direction. Treatment method. 베이킹 플레이트 및 냉경 플레이트를 포함하는 통합 열 장치에서의 기판 처리 방법에 있어서,A substrate processing method in an integrated thermal apparatus comprising a baking plate and a cold plate, 액체 레지스트 물질이 인가된 기판을 상기 통합 열 장치 내로 이송하는 단계;Transferring the substrate to which the liquid resist material has been applied into the integrated thermal device; 상기 기판을 상기 베이킹 플레이트에 위치시키는 단계;Positioning the substrate on the baking plate; 상기 기판을 상기 베이킹 플레이트로 가열하는 단계;Heating the substrate with the baking plate; 상기 기판을 상기 베이킹 플레이트로부터 상기 냉경 플레이트로 이송하는 단계로서, 상기 이송은, 상기 통합 열 장치 내에서, 기판 이송 셔틀로 좌우 방향의 선형 경로를 따라서 그리고 상하 방향의 경로를 따라서 상기 기판을 이동시키는 것을 포함하는 단계;Transferring the substrate from the baking plate to the cold plate, wherein the transfer moves the substrate along a linear path in left and right directions and along a path in a vertical direction with a substrate transfer shuttle in the integrated thermal device. Comprising; 상기 기판을 상기 냉경 플레이트로 냉각시키는 단계; 및Cooling the substrate with the cold plate; And 상기 기판을 상기 통합 열 장치 외부로 이송하는 단계를 포함하고,Transferring the substrate out of the integrated thermal device, 상기 기판을 상기 통합 열 장치 내로 이송하는 단계는, (i) 상기 기판을, 상기 셔틀의 기판 수취면을 통해 연장되는, 셔틀 스테이션에 위치한 복수의 리프트 핀에 배치하는 단계 및 (ii) 상기 기판을 상기 리프트 핀으로부터 들어올리기 위해 상기 셔틀을 상하 방향으로 움직이는 단계를 포함하며,Transferring the substrate into the integrated thermal device includes: (i) placing the substrate on a plurality of lift pins located in a shuttle station extending through the substrate receiving surface of the shuttle; and (ii) placing the substrate. Moving the shuttle in a vertical direction to lift it from the lift pins; 상기 기판을 상기 베이킹 플레이트로부터 상기 냉경 플레이트로 이송하는 단계는, 상기 베이킹 플레이트를 통해 연장되는 복수의 리프트 핀에 상기 기판을 배치하는 단계, 상기 기판을 상기 셔틀로 들어올리는 단계, 상기 냉경 플레이트의 상면을 통해 연장되는 복수의 리프트 핀에 상기 기판을 배치하는 단계, 및 상기 기판을 상기 냉경 플레이트에 내려놓기 위해 상기 리프트 핀을 상기 냉경 플레이트 내로 하강시키는 단계를 포함하는 기판 처리 방법.The transferring of the substrate from the baking plate to the cold plate may include: placing the substrate on a plurality of lift pins extending through the baking plate, lifting the substrate by the shuttle, and an upper surface of the cold plate. Disposing the substrate on a plurality of lift pins extending through and lowering the lift pins into the cold plate to lower the substrate onto the cold plate. 제13항에 있어서,The method of claim 13, 상기 기판을 상기 베이킹 플레이트에 위치시키는 단계는, 상기 셔틀을 상기 셔틀 스테이션으로부터 상기 베이킹 스테이션으로 좌우 방향의 선형 경로를 따라 움직임으로써, 상기 셔틀로 상기 기판을 상기 베이킹 스테이션으로 이송하는 단계를 포함하는 기판 처리 방법. Positioning the substrate in the baking plate includes transferring the substrate to the baking station by the shuttle by moving the shuttle along a linear path from side to side in the baking station from the shuttle station to the baking station. Treatment method. 제12항에 있어서,The method of claim 12, 상기 기판을 상기 베이킹 플레이트로부터 상기 냉경 플레이트로 이송하는 단계는, 상기 베이킹 플레이트를 통해 연장되는 복수의 리프트 핀에 상기 기판을 배치하는 단계, 상기 기판을 상기 셔틀로 들어올리는 단계, 상기 냉경 플레이트의 상면을 통해 연장되는 복수의 리프트 핀에 상기 기판을 배치하는 단계 및 상기 기판을 상기 냉경 플레이트에 내려놓기 위해 상기 리프트 핀을 상기 냉경 플레이트 내로 하강시키는 단계를 포함하는 기판 처리 방법.The transferring of the substrate from the baking plate to the cold plate may include: placing the substrate on a plurality of lift pins extending through the baking plate, lifting the substrate by the shuttle, and an upper surface of the cold plate. Placing the substrate in a plurality of lift pins extending through the substrate; and lowering the lift pin into the cold plate to lower the substrate onto the cold plate. 제13항 또는 제15항에 있어서,The method according to claim 13 or 15, 상기 기판을 상기 베이킹 플레이트로부터 상기 냉경 플레이트로 이송하는 단계는, 상기 냉경 플레이트 위에 위치한 입자 차단부 위에서 상기 좌우 방향의 선형 경로를 따라 상기 셔틀을 움직이는 단계, 상하 방향 경로를 따라 상기 셔틀을 하강시키는 단계 및 상기 입자 차단부 아래에서 좌우 방향의 선형 경로를 따라 상기 셔틀을 움직이는 단계를 더 포함하는 기판 처리 방법.The transferring of the substrate from the baking plate to the cold plate may include moving the shuttle along a linear path in a horizontal direction on a particle blocking portion located on the cold plate, and lowering the shuttle along a vertical path. And moving the shuttle along a linear path in a left and right direction under the particle blocking unit. 제12항 또는 제13항에 있어서,The method according to claim 12 or 13, 상기 셔틀은 셔틀 스테이션에 위치할 수 있고, 상기 베이킹 플레이트는 베이킹 스테이션에 위치하고, 상기 냉경 플레이트는 냉경 스테이션에 위치하며, 상기 통합 열 장치는 상기 베이킹 스테이션, 냉경 스테이션 및 셔틀 스테이션을 수용하는 하우징을 포함하는 기판 처리 방법.The shuttle may be located at a shuttle station, the baking plate is located at a baking station, the cold plate is located at a cold station, and the integrated thermal device includes a housing that houses the baking station, cold station and shuttle station. Substrate processing method. 제17항에 있어서,The method of claim 17, 상기 베이킹 스테이션, 냉경 스테이션 및 셔틀 스테이션은 상기 하우징의 길이를 따라 선형으로 배열되는 기판 처리 방법. And the baking station, cold station and shuttle station are arranged linearly along the length of the housing. 제18항에 있어서,The method of claim 18, 상기 셔틀 스테이션은 상기 베이킹 플레이트와 상기 냉경 플레이트 사이에 위치하는 기판 처리 방법. And the shuttle station is located between the baking plate and the cold plate. 제18항에 있어서,The method of claim 18, 상기 냉경 플레이트는 상기 베이킹 플레이트와 상기 셔틀 스테이션 사이에 위치하는 기판 처리 방법. And the cold plate is positioned between the baking plate and the shuttle station. 기판을 처리하는 통합 열 장치에 있어서,In an integrated thermal device for processing a substrate, 제1 진입 슬롯 및 제2 진입 슬롯을 포함하는 하우징 - 상기 제1 및 제2 진입 슬롯의 각각은 반도체 기판이 상기 하우징의 내부 또는 외부로 이송될 수 있도록 하는 크기를 가짐 -;A housing comprising a first entry slot and a second entry slot, each of the first and second entry slots being sized to allow a semiconductor substrate to be transferred into or out of the housing; 기판이 상기 제1 진입 슬롯을 통해 이송될 수 있도록 하는 개방 위치와, 기판이 상기 제1 진입 슬롯을 통해 이송되는 것을 막는 차단 위치 사이에서 움직일 수 있는 제1 진입 셔터;A first entry shutter moveable between an open position that allows the substrate to be transported through the first entry slot and a blocking position that prevents the substrate from being transported through the first entry slot; 기판이 상기 제2 진입 슬롯을 통해 이송될 수 있도록 하는 개방 위치와, 기판이 상기 제2 진입 슬롯을 통해 이송되는 것을 막는 차단 위치 사이에서 움직일 수 있는 제2 진입 셔터;A second entry shutter moveable between an open position that allows the substrate to be transported through the second entry slot and a blocking position that prevents the substrate from being transported through the second entry slot; 상기 하우징 내에 위치하고, 고온 지점의 표면상에 지지된 기판을 가열하는 베이킹 플레이트를 포함하는 베이킹 스테이션;A baking station located in the housing and including a baking plate for heating a substrate supported on a surface of a hot spot; 상기 하우징 내에 위치하고, 표면상에 지지된 기판을 냉각시키는 냉경 플레이트를 포함하는 냉경 스테이션;A cooling station located in the housing and including a chill plate for cooling the substrate supported on the surface; 상기 하우징 내에 위치하고, 기판 이송 셔틀로 하여금 상기 제1 진입 슬롯을 통해 상기 열 장치 내로 이송된 기판을 들어올릴 수 있도록 하는 셔틀 스테이션 - 상기 기판 이송 셔틀은, 상기 하우징 내에서 좌우 방향의 선형 경로를 따라 상기 베이킹 플레이트로부터 상기 냉경 플레이트로 기판을 이송하고, 상기 하우징 내에서 상하 방향 경로를 따라 기판을 상승 및 하강시킴 - 을 포함하는 통합 열 장치. A shuttle station located within the housing and allowing a substrate transfer shuttle to lift a substrate transferred into the thermal device through the first entry slot, wherein the substrate transfer shuttle follows a linear path in a lateral direction within the housing. Transferring the substrate from the baking plate to the cold plate, and raising and lowering the substrate along an up and down path in the housing. 제21항에 있어서,The method of claim 21, 상기 하우징은 직사각형 모양의 수용부를 형성하고, 상기 베이킹 스테이션, 냉경 스테이션 및 셔틀 스테이션은 상기 수용부의 길이를 따라 선형으로 배열되는 통합 열 장치.Said housing forming a rectangular shaped receptacle, said baking station, cold station and shuttle station arranged linearly along the length of said receptacle. 제22항에 있어서,The method of claim 22, 상기 셔틀 스테이션은 상기 베이킹 스테이션과 상기 냉경 스테이션 사이에 위치하는 통합 열 장치. The shuttle station is located between the baking station and the cold station. 제22항에 있어서,The method of claim 22, 상기 냉경 스테이션은 상기 베이킹 스테이션과 상기 셔틀 스테이션 사이에 위치하는 통합 열 장치. The cold station is located between the baking station and the shuttle station. 트랙 리소그라피 툴에 있어서,In the track lithography tool, 웨이퍼의 하나 또는 그 이상의 카세트(cassette)를 수취하는 복수의 포드(pod) 어셈블리; 및A plurality of pod assemblies receiving one or more cassettes of a wafer; And 상기 트랙 리소그라피 툴 내에서 하나 또는 그 이상의 상기 포드 어셈블리로부터 처리 모듈로 웨이퍼를 이송하는 하나 또는 그 이상의 로봇 - 상기 처리 모듈 중 적어도 하나는 통합 열 장치를 포함함 - 을 포함하되,One or more robots for transferring a wafer from one or more of the pod assemblies to a processing module in the track lithography tool, wherein at least one of the processing modules includes an integrated thermal device 상기 통합 열 장치는,The integrated thermal device, 기판을 보유 및 가열하는 베이킹 플레이트를 포함하는 베이킹 스테이션;A baking station comprising a baking plate for holding and heating the substrate; 기판을 보유 및 냉각시키는 냉경 플레이트를 포함하는 냉경 스테이션;A chill station comprising a chill plate for holding and cooling the substrate; 상기 열 장치 내에서 좌우 방향의 선형 경로를 따라 상기 베이킹 플레이트로부터 상기 냉경 플레이트로 기판을 이송하고, 상기 통합 열 장치 내에서 상하 방향의 경로를 따라 기판을 상승 및 하강시키는 기판 이송 셔틀을 포함하고,A substrate transfer shuttle for transporting the substrate from the baking plate to the cold plate along a linear path in the lateral direction in the thermal device, and raising and lowering the substrate along a path in the vertical direction in the integrated thermal device; 상기 기판 이송 셔틀은, 기판을 냉각시킬 수 있는 온도 제어 표면, 상기 온도 제어 표면의 능동적인 온도 제어를 가능하게 하는 복수의 냉각제 채널 및 상기 온도 제어 표면의 기판 수취 영역 부분의 주변에 배열된 복수의 버튼을 포함하며,The substrate transfer shuttle includes a temperature control surface capable of cooling the substrate, a plurality of coolant channels that enable active temperature control of the temperature control surface, and a plurality of arranged around the substrate receiving area portion of the temperature control surface. Button, 상기 복수의 버튼은 상기 온도 제어 표면의 상기 기판 수취 영역 내에 기판을 고정하는 트랙 리소그라피 툴.And the plurality of buttons secure a substrate in the substrate receiving region of the temperature control surface.
KR1020077019639A 2004-12-22 2005-12-21 Integrated thermal unit KR101071004B1 (en)

Applications Claiming Priority (12)

Application Number Priority Date Filing Date Title
US63910904P 2004-12-22 2004-12-22
US60/639,109 2004-12-22
US67401805P 2005-04-21 2005-04-21
US60/674,018 2005-04-21
US11/174,781 2005-07-05
US11/174,782 2005-07-05
US11/174,988 US7282675B2 (en) 2004-12-22 2005-07-05 Integrated thermal unit having a shuttle with a temperature controlled surface
US11/174,681 2005-07-05
US11/174,988 2005-07-05
US11/174,782 US7288746B2 (en) 2004-12-22 2005-07-05 Integrated thermal unit having laterally adjacent bake and chill plates on different planes
US11/174,681 US7274005B2 (en) 2004-12-22 2005-07-05 Bake plate having engageable thermal mass
US11/174,781 US7297906B2 (en) 2004-12-22 2005-07-05 Integrated thermal unit having a shuttle with two-axis movement

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020077016811A Division KR20070087682A (en) 2004-12-22 2005-12-21 Integrated thermal unit

Publications (2)

Publication Number Publication Date
KR20070092331A KR20070092331A (en) 2007-09-12
KR101071004B1 true KR101071004B1 (en) 2011-10-06

Family

ID=36602334

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020077016811A KR20070087682A (en) 2004-12-22 2005-12-21 Integrated thermal unit
KR1020077019639A KR101071004B1 (en) 2004-12-22 2005-12-21 Integrated thermal unit
KR1020077019641A KR101068328B1 (en) 2004-12-22 2005-12-21 Integrated thermal unit

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020077016811A KR20070087682A (en) 2004-12-22 2005-12-21 Integrated thermal unit

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020077019641A KR101068328B1 (en) 2004-12-22 2005-12-21 Integrated thermal unit

Country Status (3)

Country Link
JP (3) JP2008526030A (en)
KR (3) KR20070087682A (en)
WO (1) WO2006069256A2 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8636458B2 (en) 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
US20090308860A1 (en) * 2008-06-11 2009-12-17 Applied Materials, Inc. Short thermal profile oven useful for screen printing
JP5220505B2 (en) * 2008-07-28 2013-06-26 株式会社Sokudo Heat treatment apparatus and substrate processing apparatus
JP5220517B2 (en) * 2008-08-27 2013-06-26 株式会社Sokudo Substrate processing equipment
US8127713B2 (en) * 2008-12-12 2012-03-06 Sokudo Co., Ltd. Multi-channel developer system
JP2012253171A (en) * 2011-06-02 2012-12-20 Toppan Printing Co Ltd Prebake apparatus and prebake method
TWI424541B (en) * 2011-07-15 2014-01-21 Sokudo Co Ltd Rapid temperature change system
NL2009533A (en) * 2011-10-27 2013-05-07 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
CN102645698B (en) * 2012-01-09 2016-03-30 京东方科技集团股份有限公司 Light guide plate mesh point, method for manufacturing light guide plate and backlight module, display device
JP6964005B2 (en) * 2018-01-09 2021-11-10 東京エレクトロン株式会社 Heat treatment equipment, hot plate cooling method and computer-readable recording medium
JP7403234B2 (en) * 2019-04-25 2023-12-22 東京エレクトロン株式会社 Substrate processing equipment and substrate processing method
US20230161260A1 (en) * 2021-11-24 2023-05-25 Applied Materials, Inc. Chamber and methods of cooling a substrate after baking

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0634699A1 (en) * 1993-07-16 1995-01-18 Semiconductor Systems, Inc. Clustered photolithography system
JP3445757B2 (en) * 1999-05-06 2003-09-08 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US6499777B1 (en) * 1999-05-11 2002-12-31 Matrix Integrated Systems, Inc. End-effector with integrated cooling mechanism
JP3556882B2 (en) * 2000-05-10 2004-08-25 東京エレクトロン株式会社 Coating and development processing system

Also Published As

Publication number Publication date
KR20070092331A (en) 2007-09-12
JP2008141163A (en) 2008-06-19
WO2006069256A3 (en) 2009-04-09
JP2008526030A (en) 2008-07-17
JP2008135702A (en) 2008-06-12
KR101068328B1 (en) 2011-09-28
KR20070087682A (en) 2007-08-28
WO2006069256A2 (en) 2006-06-29
KR20070092332A (en) 2007-09-12

Similar Documents

Publication Publication Date Title
KR101071004B1 (en) Integrated thermal unit
US7601934B2 (en) Integrated thermal unit having a shuttle with a temperature controlled surface
US7282675B2 (en) Integrated thermal unit having a shuttle with a temperature controlled surface
US20070251456A1 (en) Composite heater and chill plate
CN101443131B (en) Integrated thermal unit
US7274005B2 (en) Bake plate having engageable thermal mass
US7534627B2 (en) Methods and systems for controlling critical dimensions in track lithography tools
US8785821B2 (en) Substrate processing apparatus with heater element held by vacuum
US7297906B2 (en) Integrated thermal unit having a shuttle with two-axis movement
US20090001071A1 (en) Method and System for Cooling a Bake Plate in a Track Lithography Tool
KR101068752B1 (en) Coating-developing apparatus and coating-developing method and computer-readable recording medium
US7741585B2 (en) Integrated thermal unit having a shuttle with two-axis movement
US8697187B2 (en) Coating treatment method and coating treatment apparatus
US20070295276A1 (en) Bake plate having engageable thermal mass
TWI493649B (en) An integrated thermal unit having vertically arranged bake and chill plates
US20080099181A1 (en) Method to cool a bake plate using an actively chilled transfer shuttle
US7288746B2 (en) Integrated thermal unit having laterally adjacent bake and chill plates on different planes
US20080145191A1 (en) Actively chilled substrate transport module
US20070251939A1 (en) Control scheme for cold wafer compensation on a lithography track
US20070071891A1 (en) Cooling unit and method for cooling and coating wafer by using the same
US20080236787A1 (en) Method to cool bake plates in a track lithography tool
US20080032491A1 (en) Wafer backside particle removal for track tools
US20120070564A1 (en) Bake Plate Exhaust Monitor
KR102037904B1 (en) Substrate treating apparatus and substrate treating method
JP2005005439A (en) Substrate treatment equipment

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140902

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150827

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160831

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170830

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180920

Year of fee payment: 8