KR100735000B1 - Lateral lubistor structure and method - Google Patents

Lateral lubistor structure and method Download PDF

Info

Publication number
KR100735000B1
KR100735000B1 KR1020057009829A KR20057009829A KR100735000B1 KR 100735000 B1 KR100735000 B1 KR 100735000B1 KR 1020057009829 A KR1020057009829 A KR 1020057009829A KR 20057009829 A KR20057009829 A KR 20057009829A KR 100735000 B1 KR100735000 B1 KR 100735000B1
Authority
KR
South Korea
Prior art keywords
fin
electrode
gate
doped
esd
Prior art date
Application number
KR1020057009829A
Other languages
Korean (ko)
Other versions
KR20050089805A (en
Inventor
잭 맨델맨
스티븐 에이치. 볼드맨
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Priority to KR1020057009829A priority Critical patent/KR100735000B1/en
Publication of KR20050089805A publication Critical patent/KR20050089805A/en
Application granted granted Critical
Publication of KR100735000B1 publication Critical patent/KR100735000B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/62Protection against overvoltage, e.g. fuses, shunts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body

Abstract

FINFET 기술에 기초한 ESD LUBISTOR 구조는 게이트(60)를 가진 그리고 갖지 않은 대안들 내에 수직 핀(vertical fin; 50)(디바이스의 소스, 드레인 및 보디를 포함하는 얇은 수직 부재)을 사용한다. 게이트(60)는 자체-활동 디바이스(self-activating device)를 만들기 위하여 보호되고 있는 외부 전극(51)으로 연결될 수 있으며, 기준 전압(92)으로 연결될 수 있다. 디바이스는 디지털 또는 아날로그 회로에서 사용될 수 있다. An ESD LUBISTOR structure based on the FINFET technology uses a vertical fin 50 (thin vertical member including the source, drain and body of the device) with and without the gate 60. Gate 60 may be connected to an external electrode 51 that is protected to make a self-activating device, and may be connected to reference voltage 92. The device can be used in digital or analog circuits.

FINFET, ESD, LUBISTOR, 래터럴, 루비스터 FINFET, ESD, LUBISTOR, Lateral, Rubister

Description

래터럴 루비스터 구조 및 방법{LATERAL LUBISTOR STRUCTURE AND METHOD}Lateral LUBISTOR STRUCTURE AND METHOD}

본 발명은 일반적으로는 집적 회로 제조(integrated circuit fabrication) 분야에 관한 것이며, 구체적으로는 FINFET을 사용하는 집적 회로 기술에서 정전 방전 보호(electrostatic discharge protection; ESD)를 위한 제조 디바이스들에 관한 것이다. FIELD OF THE INVENTION The present invention relates generally to the field of integrated circuit fabrication, and more particularly to manufacturing devices for electrostatic discharge protection (ESD) in integrated circuit technology using FINFETs.

FINFET은 전계 효과 트랜지스터(field effect transistor; FET)의 소스(source), 드레인(drain) 및 보디(body)로서 얇은(10㎚ 내지 100㎚) 수직 부재(thin vertical member)를 사용하고, 두 수직 측면(two vertical sides) 및 채널 상단에 접한 게이트를 갖는 전도 유망한 집적 회로 기술이다. 이러한 얇은 보디(thin body)와 함께, 매우 강한 게이트 결합이 존재하여, 완전히 고갈된 동작(fully depleted operation)이 손쉽게 달성된다. 이 구조들은, 반도체 제조, 선적 및 테스트 프로세스에 존재하는 다른 전압 또는 전류 관련 응력 이벤트(stress events)와 마찬가지로, 정전 방전(ESD)과 같은, 전기적 과응력(electrical overstress; EOS)으로부터의 과전압 보호가 필요할 것이다. EOS 이벤트는 과전류 응력, 래치업(latchup) 및, 테스트 및 응력을 가하는 동안 발생하는 높은 전류를 포함한다. 기타 이벤트와 마찬가지로 인체 모델(human body model; HBM), 기계 모델(machine model; MM), 충전 디바이스 모델(charged device model; CDM), 과도 래치업(transient latchup; TLU), 케이블 방전 모델, 카세트 모델(cassette model; CM) 중에 발생하는 이벤트들과 같은 ESD 이벤트는 FINFET 구조의 전기적 장애로 이끌 수 있다. FINFETs use thin (10 nm to 100 nm) vertical vertical members as the source, drain and body of field effect transistors (FETs), with two vertical sides (two vertical sides) and a promising integrated circuit technology with a gate facing the top of the channel. With this thin body, there is a very strong gate coupling so that fully depleted operation is easily achieved. These structures, like other voltage or current related stress events present in semiconductor fabrication, shipping and testing processes, provide for overvoltage protection from electrical overstress (EOS), such as electrostatic discharge (ESD). Will be needed. EOS events include overcurrent stress, latchup, and high currents that occur during testing and stressing. Like other events, human body model (HBM), machine model (MM), charged device model (CDM), transient latchup (TLU), cable discharge model, cassette model ESD events, such as those that occur during a cassette model (CM), can lead to electrical failure of the FINFET structure.

따라서, FINFET 구조에 적절한 ESD 보호를 제공하기 위하여 FINFET 구조의 EOS 및 ESD 보호가 필수적임이 명백하다. Thus, it is clear that EOS and ESD protection of the FINFET structure is essential to provide adequate ESD protection for the FINFET structure.

미국 특허 제6,015,993호는 게이트 다이오드(gated diode)를 갖는 래터럴 ESD 디바이스에 대한 구축 기술(construction techniques)을 도시하고 있으며, 여기서 채널은 벌크 실리콘(bulk silicon) 내에 또는 SOI 웨이퍼의 디바이스 층(device layer) 내에 형성된다. 이 구조는 FINFET 구조 및 FINFET 프로세싱과 호환 가능하지 않다. U.S. Patent No. 6,015,993 shows construction techniques for a lateral ESD device with a gate diode, where the channel is in bulk silicon or in the device layer of an SOI wafer. It is formed within. This structure is not compatible with FINFET structures and FINFET processing.

본 발명은 FINFET 기술에 EOS 및 ESD 보호를 제공하는 구조들에 관한 것이다. The present invention is directed to structures that provide EOS and ESD protection for FINFET technology.

본 발명의 일 양상에 따라, FINFET 기술에 기초한 ESD LUBISTOR 구조는, 게이트(60)를 갖는 그리고 갖지 않는 대안적 실시예들에서 수직 핀(vertical fin; 50)(디바이스의 소스, 드레인 및 보디를 포함하는 얇은 수직 부재)을 사용한다. 게이트(60)는 자체-활동 디바이스(self-activating device)를 만들기 위하여 보호되고 있는 외부 전극(51)에 연결되거나, 기준 전압(92)에 연결될 수 있다. 디바이스는 디지털 또는 아날로그 회로에서 사용될 수 있다. According to one aspect of the invention, an ESD LUBISTOR structure based on FINFET technology includes a vertical fin 50 (in source, drain and body of the device in alternative embodiments with and without gate 60). Thin vertical member). Gate 60 may be connected to external electrode 51 being protected to make a self-activating device, or may be connected to reference voltage 92. The device can be used in digital or analog circuits.

따라서, 기판(10)에 기초한 집적 회로 내에 구조가 제공되며, 이는 반도체를 포함하고, 기판(10)으로부터 돌출하며, 상단(51) 및 대향하는 두 기다란 측면(two opposite elongated sides; 48 및 49)를 갖는 기다란 수직 부재(elongrated vertical member; 50)를 포함한다. 제1 전극(52)은 수직 부재의 제1 단에 형성되며, 반대 극성의 제2 전극(54)은 수직 부재의, 대향하는, 제2 단에 형성된다. 제1 및 제2 전극(52 및 54)은, 제1 및 제2 전극 사이, 수직 부재의 중심부(53) 내의 도펀트 농도(dopant concentration)보다 더 큰 전극 농도로 도핑된다. Thus, a structure is provided in an integrated circuit based on the substrate 10, which includes a semiconductor, protrudes from the substrate 10, and has a top 51 and two opposite elongated sides 48 and 49. And an elongated vertical member 50 having an elongated vertical member 50. The first electrode 52 is formed at the first end of the vertical member, and the second electrode 54 of opposite polarity is formed at the opposite, second end of the vertical member. The first and second electrodes 52 and 54 are doped between the first and second electrodes at an electrode concentration that is greater than the dopant concentration in the central portion 53 of the vertical member.

도 1(a 및 b)은 초기 단계에서 본 발명에 따른 디바이스의 계획 및 단면을 도시하는 도면. 1 (a and b) show a plan and a cross section of a device according to the invention at an early stage;

도 2 내지 도 4는 이후의 단계들에서 동일한 디바이스의 단면을 도시하는 도면. 2-4 show cross sections of the same device in subsequent steps.

도 5 및 도 6은 대안적인 실시예들을 도시하는 도면. 5 and 6 illustrate alternative embodiments.

도 7은 ESD 응용 내의 디바이스의 개략적 표현을 도시하는 도면. 7 illustrates a schematic representation of a device in an ESD application.

도 8은 FINFET으로 통합된 Fin-저항(Fin-resister)의 뷰(view)를 도시하는 도면. 8 shows a view of a fin-resister integrated into a FINFET.

도 9는 또 다른 ESD 응용을 도시하는 도면. 9 illustrates another ESD application.

FINFET 기술에 기초한 ESD LUBISTOR 구조는, 게이트(60)를 갖는 그리고 갖지 않는 대안들 내에 수직 핀(vertical fin; 50)(디바이스의 소스, 드레인 및 보디를 포함하는 얇은 수직 부재)을 사용한다. 게이트(60)는 자체-활동 디바이스(self-activating device)를 만들기 위하여 보호되고 있는 외부 전극(51)에 연결되거나, 기준 전압(92)에 연결될 수 있다. 디바이스는 디지털 또는 아날로그 회로에서 사용될 수 있다. ESD LUBISTOR structures based on the FINFET technology use vertical fins 50 (thin vertical members comprising the source, drain and body of the device) in alternatives with and without gate 60. Gate 60 may be connected to external electrode 51 being protected to make a self-activating device, or may be connected to reference voltage 92. The device can be used in digital or analog circuits.

본 발명의 하나 이상의 바람직한 실시예들로부터 발생할 수 있는 이점들은 다음과 같다. Advantages that may arise from one or more preferred embodiments of the present invention are as follows.

- FINFET 반도체 프로세싱 및 구조와 호환 가능한 ESD-강건(robust) 구조의 제공;-Provision of an ESD-robust structure compatible with FINFET semiconductor processing and structures;

- ESD-강건 FINFET 구조 및 지원 구조의 사용;Use of ESD-rugged FINFET structures and supporting structures;

- p+/p-/n+, p+/n-/n+ 또는 p+/p-/n-/n+과 같은 도핑 구조를 가진, 언게이트된(ungated) 또는 게이트에 의해 제어되는, 보디에 의해 분리된 다이오드 터미널들을 갖는 핀의 제공; - p + / p - / n +, p + / n - / n + or p + / p - / n - / n + and which is controlled by the unloading gates (ungated) or the gate, having a doping structure as, Providing a pin having diode terminals separated by a body;

- 인슐레이터 층 상에 형성되고, 약간 도핑된 보디에 보디 콘택트(body contact)를 가진 p+/p-/n+, p+/n-/n+ 또는 p+/p-/n-/n+ 구조를 갖는 래터럴 게이트 다이오드의 제공;- is formed on the insulator layer, p + / p having a body contact (body contact) in the slightly doped body - / n +, p + / n - / n + or p + / p - / n - / n + Providing a lateral gate diode having a structure;

- ESD 보호 소자로서의 사용을 위한 동적 임계 FINFET 디바이스를 가능하게 하는 보디 콘택트(contact)를 갖는 FINFET 구조의 제공; 및The provision of a FINFET structure with body contacts enabling a dynamic threshold FINFET device for use as an ESD protection element; And

- ESD 보호를 위한 FINFET 디바이스의 전기 및 열 안정성을 제공하기 위하여 (게이트된 또는 언게이트된) FINFET 레지스터 소자의 제공. Providing (gateed or ungateed) FINFET resistor elements to provide electrical and thermal stability of the FINFET device for ESD protection.

이제 도면, 특히 도 1을 참조하면, 본 발명에 따른 프로세스 순서는, FINFET 기술에서 전통적인 (FIN-Diode 구조를 위한) 수직 부재 또는 핀을 형성하는 예비 단계를 포함한다. 전형적으로, 예를 들어, (단일 크리스털 또는 에피택셜(epitaxial) 필름) 실리콘층 상에 형성된 모조 산화 메사(dummy oxide mesa) 상에 질화 측벽(nitride sidewall)을 형성함에 의해, 적절한 폭(10㎚보다 작음)의 하드 마스크(hard mask)가 형성된다. 실리콘 필름은 (에피택셜 층을 포함하는) 단일 크리스털 실리콘일 수 있다. 폴리실리콘(polysilicon), 선택 실리콘(selective silicon), 실리콘 게르마늄 필름 상의 스트레인 실리콘(strained silicon) 또는 다른 필름들이 사용될 수도 있다. 실리콘은, 디바이스의 보디 및 전극을 제공할 얇은 수직 부재(예시적으로, 두께 10㎚, 폭 1㎛, 길이 0.1㎛)를 남기는 방향성 드라이 에칭에서 에칭된다. Referring now to the drawings, and in particular to FIG. 1, the process sequence according to the present invention involves the preliminary step of forming vertical members or fins (for FIN-Diode structures) traditional in FINFET technology. Typically, for example, by forming a nitride sidewall on a dummy oxide mesa formed on a (single crystal or epitaxial film) silicon layer, an appropriate width (rather than 10 nm) is achieved. Small hard mask is formed. The silicon film may be single crystal silicon (including epitaxial layers). Polysilicon, selective silicon, strained silicon on silicon germanium film or other films may be used. The silicon is etched in a directional dry etch leaving a thin vertical member (eg, 10 nm thick, 1 μm wide, 0.1 μm long) to provide the body of the device and the electrode.

도 1(a 및 b)을 참조하면, 도 1(b)의 상단 뷰(top view)는 핀(50) 위의 게이트(60)를 도시하고 있으며, 게이트는 도 1(a)의 단면의 전면 및 후면을 확장하고 있다. 도 1(a)에서, 기판(10)은, 게이트 유전체(55)(예시적으로 1㎚ 산화물)에 의해 게이트(60)로부터 분리된, 기판 상에 배치된 핀(50)을 포함한다. 이 예에서, 핀(50)은 실리콘 기판 상에 직접 위치하나, 본 발명의 일부 버전들은 기판 및 핀 사이에 유전체층(예를 들어, 실리콘 온 인슐레이터(silicon on insulator; SOI) 웨이퍼 내에 매립된 인슐레이터)을 가질 수 있다. 이 예에서, 기판은 SOI 기판이며, 매립된 산화물(20)이 디바이스 아래 층(10)으로서 도시되어 있다. 일부 버전들에서는, 핀이 디바이스 층으로부터 형성되고 매립된 산화물 상에 위치할 수 있다. 예시적으로, 핀(50)은 처음에 p-로 도핑되며, 층(10)도 마찬가지이다. 게이트(60)는 후에 임플란트에 의해 도핑되는 폴리실리콘(폴리)이다. Referring to Figures 1 (a and b), the top view of Figure 1 (b) shows the gate 60 over the fin 50, the gate being the front of the cross section of Figure 1 (a) And the rear is expanding. In FIG. 1A, the substrate 10 includes fins 50 disposed on the substrate, separated from the gate 60 by a gate dielectric 55 (eg, 1 nm oxide). In this example, the fin 50 is located directly on the silicon substrate, but some versions of the present invention may have a dielectric layer between the substrate and the fin (eg, an insulator embedded in a silicon on insulator (SOI) wafer). Can have In this example, the substrate is an SOI substrate and buried oxide 20 is shown as layer 10 below the device. In some versions, fins may be formed on the buried oxide formed from the device layer. By way of example, the fin 50 is initially doped with p , as is the layer 10. Gate 60 is polysilicon (poly) that is later doped by an implant.

게이트 임플란트 단계는, 예시적으로, 회로 내에 다른 디바이스들을 형성하는 단계로서 증착되고, 예를 들어, 게이트(60) 레벨까지 화학기계적 연마(chemical-mechanical polishing)에 의해, 평면화된 무반사 코팅(anti-reflection coating)인 임시 층(temporary layer; 65)을 갖는 것으로, 도 2에 도시되어 있다. 게이트(60)에 p 또는 n인 이온의 다량의 도즈(dose)가 임플란트된다. 바람직하게, 게이트(60)는, 예를 들어, 5×1019/㎠의 N+ 도즈보다 차수가 2만큼 더 큰, 대략 1021/㎠의 N++ 도즈를 받는다. 이 정도의 차이를 가지면, 게이트가 받는 더 이상의 도핑이 그 작업 기능에 상당하게 영향을 주지는 않을 것이다. The gate implant step is illustratively deposited as the step of forming other devices in the circuit, and is planarized anti-reflective coating (e.g., by chemical-mechanical polishing to the gate 60 level). It is shown in FIG. 2 as having a temporary layer 65 which is a reflection coating. A large dose of ions of p or n is implanted in the gate 60. Preferably, the gate 60 is, for example, 5 × 10 19 / the order than the N + dose of 2 ㎠ by greater, given an N ++ dose of approximately 10 21 / ㎠. With this difference, any further doping received by the gate will not significantly affect its working function.

도 3a 및 3b에서, 비-중대 간극(non-critical aperture)은 (게이트 임플란트보다 차수가 적어도 1만큼 더 작은 도즈로) N+가 임플란트된 음극(52)에 노출하도록 개방되어 있다. 선택적으로, 간극은 ARC 내에 개방될 수 있거나, 또는 포토레지스트 층(67)과 같은 임의의 다른 편리한 마스크가 얹혀지고 패턴화될 수 있다. 도 3b는 임플란트된 양극(54)으로 동일한 프로세스를 도시한다. 다시, 도즈(P+)는 게이트의 도즈의 10분의 1이다. In FIGS. 3A and 3B, the non-critical aperture is open to expose N + to the implanted cathode 52 (with a dose at least one order smaller than the gate implant). Optionally, the gap may be open in the ARC or any other convenient mask, such as photoresist layer 67, may be topped and patterned. 3B shows the same process with the implanted anode 54. Again, the dose P + is one tenth of the dose of the gate.

초기 단계에서 핀이 임플란트되었다. 핀이 폴리실리콘이며 단일 극성이 요구된다면, 핀이 얹혀질 때 임플란트될 수 있다. 선택적으로, 핀은 웰 임플란트 (well implants) 전에 형성될 수 있으며, 간극은 웰 임플란트를 위한 포토레지스트 내에 개방되어 핀이 웰(well)과 동시에 P 및/또는 N 임플란트를 받을 수 있도록 할 수 있다. At an early stage, the pin was implanted. If the pin is polysilicon and a single polarity is required, it can be implanted when the pin is loaded. Optionally, the pins may be formed before well implants, and the gap may be opened in the photoresist for the well implants to allow the pins to receive P and / or N implants simultaneously with the wells.

이제 도 4를 참조하면, 최종 층간 유전체(final interlayer dielectric)의 증착, 콘택트를 위한 간극들(72, 74 및 76)의 형성 및 콘택트 물질의 증착 이후의 FIN-Diode 디바이스를 도시하고 있다. 이 콘택트들은 낮은 레벨에 있기 때문에, 이 레벨에서 다른 콘택트들을 위하여 사용되고 있다면, 텅스텐(W)을 사용하는 것이 적절하다. 만약 이 레벨에서 폴리(poly)가 사용되고 있다면, 폴리 콘택트(poly contacts)가 적당하다. 전기적 상호 접속을 위하여, 표준 상호 접속(Al 또는 Cu) 및 레벨 간 유전체(inter-level dielectrics; ILD) 프로세스가 사용될 수 있다. 알루미늄 상호 접속 구조는, 접착, 확산 장벽 및 양질의 도전율을 제공하기 위하여, 접착성 난융 금속(adhesive refractory metal)(예를 들어, TiN), 난융 금속(예를 들어, Ti, TiNi, Co) 및 알루미늄 구조로 구성될 수 있다. 구리 상호 접속 구조는 접착 필름(예를 들어, TaN), 난융 금속(예를 들어, Ta) 및 구리 상호 접속으로 구성될 수 있다. 전형적으로 Cu 상호 접속 구조를 위하여, 단일 다마신(damascene) 또는 이중 다마신 프로세스를 사용하여 구조가 형성된다. 이 구조들 내의 ESD 및 저항 안정(resistor ballasting)을 위하여, 녹는점이 높은 난융 금속들이 사용될 수 있다. Referring now to FIG. 4, a FIN-Diode device is shown after deposition of a final interlayer dielectric, formation of gaps 72, 74, and 76 for contact and deposition of contact material. Since these contacts are at a low level, it is appropriate to use tungsten (W) if they are being used for other contacts at this level. If poly is used at this level, poly contacts are suitable. For electrical interconnection, standard interconnect (Al or Cu) and inter-level dielectrics (ILD) processes can be used. Aluminum interconnect structures may be formed from adhesive refractory metals (eg TiN), refractory metals (eg Ti, TiNi, Co) and to provide adhesion, diffusion barriers and good conductivity. It may be composed of an aluminum structure. The copper interconnect structure may consist of an adhesive film (eg, TaN), a fusion metal (eg, Ta), and a copper interconnect. Typically for Cu interconnect structures, the structures are formed using a single damascene or dual damascene process. High melting point refractory metals can be used for ESD and resistance ballasting in these structures.

도 4에 도시된 이 FIN-Diode 구조 내의 게이트의 이점은, 게이트된 p+/n-/n+ 구조 내의 전류가 게이트 구조의 전기적 제어에 의해 변조될 수 있다는 것이다. 따라서, 누설, 바이어스 및 전기적 응력이 게이트 구조의 접속에 의해 양극 또는 음극 노드, 그라운드 평면 또는 전원 공급기, 전압 또는 전류 기준 회로, 또는 전기적 네트워크로 변조될 수 있다. 게이트의 단점은 게이트 인슐레이터가 손상될 수 있다는 것이다. 회로 설계자는 이점과 약점 간의 트레이드-오프에 기초하여 선택을 할 것이다. An advantage of the gate in this FIN-Diode structure shown in FIG. 4 is that the current in the gated p + / n− / n + structure can be modulated by electrical control of the gate structure. Thus, leakage, bias and electrical stress can be modulated by the connection of the gate structure to the anode or cathode node, ground plane or power supply, voltage or current reference circuit, or electrical network. The disadvantage of the gate is that the gate insulator can be damaged. The circuit designer will make a choice based on a trade-off between benefits and weaknesses.

몇몇 FIN-Diode 구조들의 세트는 낮은 총 직렬 저항, 높은 총 전류 전송 용량 및 ESD 구조의 높은 장애에 대한 전력의 비(power-to-failure)를 제공하기 위하여 병렬로 배치될 수 있다. 예를 들어, 양극 및 음극 접속은 모두 병렬 FINFET 다이오드 구조들의 전기적 접속을 가능하게 할 정도일 수 있다. 이 병렬 구조들은 동일한 게이트 전극을 사용할 수도, 사용하지 않을 수도 있다. 또한, ESD 요구 사항 또는 성능 목표에 기초하여 병렬 소자 수의 개인화 및 고객화가 이루어질 수 있다. 추가적으로, 저항 안정(resistor ballasting)이 존재할 수 있으며, 소자들을 켜거나 끄기 위한 수단을 제공하거나, 개선된 전류 균일성(improved current uniformity)을 가능하게 하기 위하여 상이한 게이트 바이어스들이 설정될 수 있다. 선행 기술 디바이스와 비교할 때 병렬 소자들의 이점은, 1) 3차원 용량, 2) 개선된 전류 안정 제어 및 3) 개선된 전류 균일성 제어이다. 2차원 단일 핑거 루비스터(single finger Lubistor) 구조에서는, 전류 균일성이 설계로부터 타고나지 않으며, 단면 영역의 마이크론 단위당 ESD 강건성의 약화를 야기시킨다. 이 구조들에서는, 각 FIN-Diode 구조의 가열은 인접 영역으로부터 격리된다. 이는 인접 영역 간의 열 결합(thermal coupling)이 각 FIN-Diode 병렬 소자 내에 균일 열 분포(uniform thermal profile) 및 ESD 강건 균일성을 제공하는 것을 방해한다. Several sets of FIN-Diode structures may be arranged in parallel to provide a low total series resistance, high total current carrying capacity, and a power-to-failure to high failure of the ESD structure. For example, both the anode and cathode connections may be such that they enable electrical connection of parallel FINFET diode structures. These parallel structures may or may not use the same gate electrode. In addition, personalization and customization of the number of parallel elements can be made based on ESD requirements or performance goals. Additionally, there may be resistor ballasting, and different gate biases may be set to provide a means for turning on or off the devices, or to enable improved current uniformity. Advantages of parallel elements compared to prior art devices are 1) three-dimensional capacitance, 2) improved current stability control and 3) improved current uniformity control. In a two-dimensional single finger lubricator structure, current uniformity is not inherent in the design and causes a weakening of the ESD robustness per micron unit of the cross-sectional area. In these structures, the heating of each FIN-Diode structure is isolated from the adjacent region. This prevents thermal coupling between adjacent regions to provide uniform thermal profile and ESD robustness uniformity within each FIN-Diode parallel device.

추가적으로, 이 FIN-Diode 구조들은 p+/p-/n+ 소자들 또는 p+/n-/n+ 소자들로서 설계될 수 있다. 금속 접합 위치의 차이는 일 실시예가 상이한 목적을 위한 다른 것에 비해 우수하게 한다. 이는 발명자에 의해 실험적으로 보여졌으며, 도핑 농도 및 응용의 기능이다. 선택은, 용량-저항 트레이드-오프에 의해서, 그리고 일부 다른 응용을 위하여 원래 의도된 FIN-Diode를 위한 임플란트 사용 가능성에 의해 영향을 받을 것이다. 더 낮은 저항이 가까운 장래의 목적을 위해 더 적합하고, 가용 임플란트가 상대적으로 낮은 도즈를 가질 때, p+/n-/n+ 구조가 바람직하며, 이는 높은 전자 이동성 때문이다. 역으로, 가용 임플란트의 도즈가 상대적으로 높을 때, p+/p-/n+ 구조가 바람직할 것이다. In addition, these FIN-Diode structures can be designed as p + / p− / n + devices or p + / n− / n + devices. Differences in metal joining positions make one embodiment superior to others for different purposes. This has been shown experimentally by the inventor and is a function of doping concentration and application. The choice will be influenced by the capacity-resistance trade-off and the implant availability for the FIN-Diode originally intended for some other application. When lower resistance is more suitable for near future purposes, and the available implants have a relatively low dose, p + / n− / n + structures are preferred because of their high electron mobility. Conversely, when the dose of the soluble implant is relatively high, the p + / p− / n + structure would be preferred.

개선된 래터럴 전도, 더 나은 접합 용량 및 개선된 파괴 특성들을 가능하게 하기 위하여 이 디바이스들 내에 할로 임플란트(halo implants)가 이루어질 수 있다. 이 경우, 잘못된 극성 내의 잘못된 할로 임플란트에 의해 기생 다이오드(parasitic diode)가 형성되는 것을 막기 위하여 바람직하게는 하나의 도핑 극성만을 위하여 할로가 제공된다. Halo implants can be made in these devices to enable improved lateral conduction, better bond capacity and improved fracture properties. In this case, halo is preferably provided for only one doping polarity in order to prevent the formation of parasitic diodes by the wrong halo implant in the wrong polarity.

도 5는 채널이 P-로 도핑되고, 별도의 게이트가 없는 FIN-Diode 구조들의 대안 버전을 도시한다. 이것의 이점은 게이트가 ESD 전압 응력에 노출되지 않는다는 것이다. 게이트 유전체 내의 전기적 과응력은 게이트 구조가 존재하지 않도록 함으로써 제거될 수 있다. 5 shows an alternative version of the FIN-Diode structures where the channel is doped with P and without a separate gate. The advantage of this is that the gate is not exposed to ESD voltage stress. Electrical overstress in the gate dielectric can be eliminated by making the gate structure absent.

FINFET ESD 보호 네트워크를 위한 게이트 구조의 전기적 접속 때문에 CDM 장애 메커니즘(failure mechanisms)이 발생할 수 있다. 게이트를 포함하는 앞서의 실시예는 전기적 제어를 가능하게 한 반면에, 그 실시예는 또한 전기 회로를 위한 설계 영역 및/또는 전기적 접속이 더 요구되었다. 이 실시예의 경우, 더 적은 전기적 접속들이 필요하며, 더 고밀도의 회로를 가능하게 한다. CDM failure mechanisms can occur because of the electrical connection of the gate structure for the FINFET ESD protection network. While the previous embodiment, including the gate, enables electrical control, the embodiment also required more design area and / or electrical connections for the electrical circuit. For this embodiment, fewer electrical connections are needed, allowing for higher density circuits.

도 5의 실시예에서, 복수의 병렬 FIN-Diode 구조는 단위 면적당 높은 ESD 강건성을 가능하게 하도록 밀접하게 배치될 수 있다. 추가적으로, 저항 안정 및 전류 균일성 제어는 개별 FIN-Diode 구조들 내의 실효 저항을 변경함으로써 해결될 수 있다. 인접 FIN-Diode 구조들의 물리적 격리로, 인접 소자들 간의 열 결합이 감소될 수 있다. 인접 소자들 간의 공간 최적화는 최적 열 결과를 제공하기 위하여 적절한 공간 및 불균일 인접 공간 조건들에 의해 보증될 수 있다. 이는 소자들의 최적화를 가능하게 하는 열 방법론을 제공한다. 이 열 방법론은 2차원 Lubistor 소자에서 이용될 수 없으나, 병렬 FIN-Diode 구조의 구성에서 자연적 방법론이다. In the embodiment of FIG. 5, a plurality of parallel FIN-Diode structures may be closely arranged to enable high ESD robustness per unit area. In addition, resistance stability and current uniformity control can be solved by changing the effective resistance in the individual FIN-Diode structures. With physical isolation of adjacent FIN-Diode structures, thermal coupling between adjacent devices can be reduced. Space optimization between adjacent devices can be ensured by appropriate spatial and nonuniform adjacent space conditions to provide optimal thermal results. This provides a thermal methodology that allows for optimization of the devices. This thermal methodology cannot be used in two-dimensional Lubistor devices, but is a natural methodology in the construction of parallel FIN-Diode structures.

유사하게, 도 6은 보디가 두 개의 도핑된 영역, 즉, 제1 P- 및 제2 N- 보디 영역으로 나눠진 버전을 도시한다. 이 FIN-Diode 구조는 게이트 구조와 독립적인 금속 접합의 최적화 및 배치를 가능하게 한다. 이 임플란트는 p-웰(p-well) 및/또는 n-웰(n-well) 임플란트이거나, 또는 (예를 들어, 각진, 꼬인 또는 직선의) 할로 타입 임플란트(halo type implantation)에 의해 제공되거나, 또는 기타 공지의 임 플란트 또는 확산 프로세스 단계들일 수 있다. p+/p- 변환 및 n+/n- 변환에 의해 도입되는 점진적 분포(gradual profile)는 갑작스런 접합(abrupt junction)을 줄이며 개선된 ESD 강건성으로 이끌 수 있다. Similarly, FIG. 6 shows a version in which the body is divided into two doped regions, namely a first P and a second N body region. This FIN-Diode structure enables the optimization and placement of metal junctions independent of the gate structure. This implant may be a p-well and / or n-well implant, or may be provided by a halo type implantation (eg, angled, twisted or straight) Or other known implant or diffusion process steps. The gradual profile introduced by the p + / p- and n + / n- conversions reduces abrupt junctions and can lead to improved ESD robustness.

게이트를 갖는 디바이스들의 버전은 몇몇 카테고리로 나눌 수 있다. Versions of devices with gates can be divided into several categories.

1) 기판(10)에 접촉하는 보디를 가진 N+/P FIN-Gated 다이오드. 이 경우, 기판으로 경로가 존재한다. 1) N + / P FIN-Gated diode with body in contact with substrate 10. In this case, a path exists to the substrate.

2) SOI 상에 부동 보디(floating body)를 가진 N+/P FIN-Gated 다이오드. 2) N + / P FIN-Gated diode with floating body on SOI.

3) (P+) 보디에 접촉하는 게이트를 가진 SOI 상의 N+/P FIN-Gated 다이오드는 양극 포텐셜(anode potential)의 동적 제어를 허용한다. 3) N + / P FIN-Gated diodes on SOI with gates in contact with the (P + ) body allow dynamic control of the anode potential.

4) N+ 음극에 접촉하는 게이트를 가진 SOI 상의 N+/P FIN-Gated 다이오드. 4) N + cathode SOI N + / P FIN-Gated on the diode with the gate in contact with.

FINFET 디바이스에 ESD 보호를 제공하기 위하여, FINFET 디바이스들로 통합된 그리고/또는 통합되지 않은 저항 소자들을 제공하는 것 또한 유익하다. In order to provide ESD protection to the FINFET device, it is also beneficial to provide resistive elements integrated with and / or not integrated into the FINFET devices.

도 8을 참조하면, FINFET 디바이스는 이전 실시예들에서 사용된 유사 기술들에 의해 형성될 수 있으며, 반대 극성의 보디에 의해 분리된 동일 극성의 소스 및 드레인 임플란트를 가지고 있다. 보디는 게이트 인슐레이터(55) 게이트(155)에 의해 덮여 있다. 이 구조는 ESD 이점을 제공하기 위하여 대칭적 또는 비대칭적 임플란트에 의해 형성될 수 있다. 추가적으로, ESD 강건 FINFET 구조를 제공하기 위하여, 동일 구조 내에 저항이 결합될 수 있다. 예시적으로, 제2 게이트(155')는, 제 2 게이트 구조가, 약간 도핑된 핀이 저항을 제공하도록, 대량으로 도핑된 소스/드레인 임플란트의 차단을 제공하는 드레인 구조와 직렬로 배치될 수 있다. 게이트 구조는 두 가지 목적을 만족시킨다. 첫째로, 그것은 소스 또는 드레인 영역에 저항 영역을 제공한다. 둘째로, 그것은 소스 또는 드레인 영역에 놓인 살리사이드 필름(salicide film)이 저항을 쇼트시키는 것을 막기 위한 수단을 제공한다. 이는 FINFET과 원래 통합된 "안정 저항(ballasting resistor)"을 형성한다. 우리는 이 구조를 FIN-R-FET 구조로 지칭할 것이다. Referring to FIG. 8, a FINFET device may be formed by similar techniques used in previous embodiments, and has a source and drain implant of the same polarity separated by a body of opposite polarity. The body is covered by a gate insulator 55 gate 155. This structure can be formed by symmetrical or asymmetrical implants to provide ESD advantages. Additionally, resistors can be coupled within the same structure to provide an ESD robust FINFET structure. By way of example, the second gate 155 ′ may be disposed in series with the drain structure where the second gate structure provides blocking of the heavily doped source / drain implants such that the slightly doped fins provide resistance. have. The gate structure serves two purposes. First, it provides a resistive region in the source or drain region. Secondly, it provides a means for preventing a salicide film placed in the source or drain region from shorting the resistance. This forms a "ballasting resistor" originally integrated with the FINFET. We will refer to this structure as a FIN-R-FET structure.

추가적으로, 제2 게이트 구조(155')는, FIN-Diode 구조에서 이루어진 바와 같이, FIN-R-FET으로부터 제거될 수 있다. 살리시데이션(salicidation) 후 제2 게이트 구조의 제거는 저항 소자와 관련된 ESD 이슈들 또는 전기적 과응력의 예방을 가능하게 한다. In addition, the second gate structure 155 ′ may be removed from the FIN-R-FET, as made in the FIN-Diode structure. Removal of the second gate structure after salicidation enables prevention of ESD issues or electrical overstress associated with the resistive element.

또한, FIN-R-FET 디바이스 내에 사용된 이 소자(150)는 자립형 저항 소자로서 구성될 수도 있다. 이는 n-채널 FINFET을 n-웰 또는 n-보디 영역 내에 배치함으로써 달성된다. 이 저항 또는 FIN-R 디바이스는 FINFET, FIN-Diodes에게 ESD 강건성을 제공하는데 사용되거나, 회로 응용에 사용될 수 있다. 이전에 논의된 바와 같이, 물리적 소자 내의 전기적 과응력을 예방하기 위하여 게이트가 제거될 수 있다. In addition, the device 150 used in the FIN-R-FET device may be configured as a self-standing resistor device. This is accomplished by placing n-channel FINFETs in n-well or n-body regions. This resistor or FIN-R device can be used to provide ESD robustness to FINFETs, FIN-Diodes, or for circuit applications. As previously discussed, the gate can be removed to prevent electrical overstress in the physical device.

추가적으로, FIN-FET 디바이스의 ESD 강건성을 개선하기 위하여, 소스, 드레인 및 게이트 영역으로부터 살리사이드(salicide)가 제거될 수 있다. 디바이스의 게이트 길이가 평면 디바이스와 비교할 때 상대적으로 작기 때문에, 살리사이드는 게이트 영역으로부터 제거될 수 있다. Additionally, salicides can be removed from the source, drain and gate regions to improve the ESD robustness of the FIN-FET device. Because the gate length of the device is relatively small compared to planar devices, salicide can be removed from the gate region.

이제 도 7을 참조하면, 터미널(51) 상 ESD로부터 회로를 보호하기 위한 전형적인 배열의 배선 약도(schematic)가 도시되어 있다. 숫자(72 및 74)로 표시된 점선은 이하 논의되는 옵션들을 나타낸다. 본 발명에 따른 두 FIN-LUBISTOR는 보호된 노드(53) 및 전압 터미널들(54 및 52') 사이가 연결되어 있다. 이 경우, 게이트(60)는 터미널(54)로 연결되어, ESD 이벤트가 다이오드들 중 하나의 저항을 동적으로 감소시키도록 한다. 대안적으로, 터미널들(60)은 전원 공급기들에 연결될 수 있다. 게이트 구조로의 전기적 과응력을 예방하기 위하여, 전기적 과응력으로부터 게이트 구조를 전기적으로 격리시키는데 FINFET 디바이스들을 포함하는 전기 회로들이 사용될 수 있다. 전원 공급기들로부터 전기적 격리를 제공하기 위하여 FINFET-기반 인버터들(inverters) 또는 FINFET-기반 기준 제어 네트워크(reference control networks)를 가진 전기 회로들은 과응력을 예방하고 누설을 피하기 위한 포텐셜을 설정한다. Referring now to FIG. 7, a schematic diagram of a schematic arrangement for protecting a circuit from ESD on terminal 51 is shown. Dotted lines indicated by numerals 72 and 74 represent the options discussed below. The two FIN-LUBISTORs according to the invention are connected between the protected node 53 and the voltage terminals 54 and 52 '. In this case, gate 60 is connected to terminal 54 such that an ESD event dynamically reduces the resistance of one of the diodes. Alternatively, terminals 60 may be connected to power supplies. To prevent electrical overstress into the gate structure, electrical circuits including FINFET devices can be used to electrically isolate the gate structure from electrical overstress. To provide electrical isolation from power supplies, electrical circuits with FINFET-based inverters or FINFET-based reference control networks set the potential to prevent overstress and to avoid leakage.

인체 모델(HBM), 기계 모델(MM) 및 다른 ESD 이벤트들을 위한 ESD 네트워크로서 이용하기 위하여, 복수의 래터럴 FIN-Diode 구조는, 직렬 저항을 최소화하고 FIN-Diode 소자 또는 회로 내에서 장애 발생 없이 구조를 통하여 다량의 전류를 방전할 수 있도록, 병렬로 사용되어야만 한다. 복수의 병렬 FIN-Diode 소자가 배치되어 있기 때문에, 입력 핀과 전원 공급기들 사이에 연결되어 있다. For use as an ESD network for human body models (HBMs), mechanical models (MMs), and other ESD events, multiple lateral FIN-Diode structures minimize the series resistance and eliminate the failure in a FIN-Diode device or circuit. It must be used in parallel so that a large amount of current can be discharged through. Since multiple parallel FIN-Diode devices are arranged, they are connected between the input pins and the power supplies.

전압 허용 오차(tolerance)를 위하여, 도 7에 도시된 ESD 네트워크는, 이제 점선(72) 내에 FIN-Diode(75)를 포함하여, 직렬 구성으로 된 FIN-Diode 소자들로 구성되어 있다. FIN-Diode 구조들은, 제1 FIN-Diode 소자 양극이 제1 패드(pad)로 연결되고, 음극은 제2 FIN-Diode 양극으로 연결되도록 구성될 수 있다. 이는 일렬로 또는 직렬 구성으로 계속될 수 있다. 직렬 내의 각 단계를 위하여, 복수의 병렬 FIN-Diode 소자들이 일련의 FIN-Diode들의 각 "단계"를 위하여 배치될 수 있다. 이 일렬들(strings)은 입력 패드와 전원 공급기 사이, 두 개의 공통 전원 공급기 패드들(예를 들어, VDD1 및 VDD1) 사이, 임의의 두 비유사 전원 공급기 패드(예를 들어, VCC 및 VDD) 사이, 임의의 그라운드 레일들(예를 들어, VSS1 및 VSS2) 사이 및 임의의 비유사 그라운드 레일(ground rails)(예를 들어, VSS 및 VEE) 사이에 배치될 수 있다. 이 FIN-Diode 직렬 소자들은, 두 패드 사이에 양방향 전류 흐름을 허용하기 위하여 백투백(back-to-back) 구성 또는 단일 직렬 일렬(single series string)로서 구성될 수 있다. 전원 공급기들로의 입력 패드를 위하여, 전형적으로, 단일 FIN-Diode 일렬만이 단방향 전류 흐름을 위하여 존재할 것이다. For voltage tolerance, the ESD network shown in FIG. 7 is now comprised of FIN-Diode elements in series configuration, including the FIN-Diode 75 within the dashed line 72. The FIN-Diode structures may be configured such that a first FIN-Diode device anode is connected to a first pad and a cathode is connected to a second FIN-Diode anode. This may continue in line or in series configuration. For each step in the series, a plurality of parallel FIN-Diode elements can be placed for each "step" of the series of FIN-Diodes. These strings are between the input pad and the power supply, between two common power supply pads (eg, VDD1 and VDD1), and between any two dissimilar power supply pads (eg, VCC and VDD). May be disposed between any ground rails (eg, VSS1 and VSS2) and between any dissimilar ground rails (eg, VSS and VEE). These FIN-Diode series devices can be configured as a back-to-back configuration or as a single series string to allow bidirectional current flow between the two pads. For input pads to power supplies, typically, only a single FIN-Diode line will be present for unidirectional current flow.

HBM 및 충전 디바이스 모델(CDM) 이벤트를 위하여, FIN-Diode 소자로 이루어진 ESD 회로, FIN-저항(FIN-R) 소자 및 FINFET은 ESD 결과를 개선하는데 사용될 수 있다. 도 9는 FIN-Diode 소자(75), FIN-저항(94) 및 게이트가 그라운드에 연결된 FINFET(96)을 이용하는 ESD 보호를 제공하기 위한 회로의 예이다. 예시적으로, FIN-Diodes의 게이트 전압은, ESD 전압 자체에 의해서가 아니라, 기준 네트워크에 의해서 제공된다. 이는 다이오드들(75)의 전류 용량을 더 잘 제어하게 한다. For HBM and Charging Device Model (CDM) events, ESD circuits consisting of FIN-Diode devices, FIN-resistance (FIN-R) devices and FINFETs can be used to improve ESD results. 9 is an example of a circuit for providing ESD protection using FIN-Diode element 75, FIN-resistance 94 and FINFET 96 with a gate connected to ground. By way of example, the gate voltage of the FIN-Diodes is provided by the reference network, not by the ESD voltage itself. This allows better control of the current capacity of the diodes 75.

추가적으로, ESD 보호는 저항 안정된 FIN-R-FET 소자를 이용하여 제공될 수 있다. 이 회로는 두 가지 방식으로 구현될 수 있다. 첫째로, FINFET과 직렬인 FIN-R 저항을 이용한다. ESD 보호를 제공하기 위하여, 복수의 병렬 FIN-R 저항이 복수의 FINFET 디바이스와 직렬로 배치된다. 또 다른 구현은 ESD 보호를 위하여 복수의 병렬 FIN-R-FET 구조를 사용할 수 있다. 전술한 이 구조들은 더 높은 스냅백(snapback) 전압 또는 전압 허용 오차를 위하여 캐스코드(cascode) 구성으로 배치될 수 있다. ESD 보호를 위하여, FIN-Diode 소자들의 경우에서와 마찬가지로, FIN-R 저항 소자들을 가진 FINFET들의 일련의 단계들은 각 단계가 소자들의 병렬 세트를 포함하도록 연결될 수 있다. In addition, ESD protection can be provided using a resistor stabilized FIN-R-FET device. This circuit can be implemented in two ways. First, use a FIN-R resistor in series with the FINFET. To provide ESD protection, a plurality of parallel FIN-R resistors are placed in series with the plurality of FINFET devices. Another implementation may use multiple parallel FIN-R-FET structures for ESD protection. These structures described above can be arranged in a cascode configuration for higher snapback voltage or voltage tolerances. For ESD protection, as in the case of FIN-Diode devices, a series of steps of FINFETs with FIN-R resistor elements can be connected such that each step comprises a parallel set of devices.

본 발명에 따라 구성된 디바이스들은, ESD 사용으로 한정되지 않으며, 디지털, 아날로그 및 무선 주파수(RF) 회로들과 같은 회로 내의 전통적 역할에 사용될 수도 있다. 본 발명은 실리콘 웨이퍼 및 사용될 수 있는 SiGe 합금 또는 GaAs와 같은 다른 웨이퍼들에 한정되지 않는다. 이 구조들은, SiGe 증착된 또는 성장된(grown) 필름들을 이용하여, 스트레인 실리콘 필름(strained silicon film) 상에 배치될 수 있다. 이 구조들은 실리콘 온 인슐레이터(SOI), RF SOI 및 극도로 얇은(ultra thin) SOI(TUSOI)에 적합하다. Devices configured in accordance with the present invention are not limited to ESD use and may be used in traditional roles within circuitry such as digital, analog and radio frequency (RF) circuits. The invention is not limited to silicon wafers and other wafers such as SiGe alloys or GaAs that can be used. These structures can be disposed on a strained silicon film, using SiGe deposited or grown films. These structures are suitable for silicon on insulators (SOIs), RF SOIs, and ultra thin SOIs (TUSOIs).

본 발명은 하나의 바람직한 실시예로서 설명되었지만, 본 기술분야의 당업자들은 본 발명이 이하의 청구범위의 사상 및 범위 내에서 다양한 버전들로 실시될 수 있음을 인식할 것이다. While the invention has been described as one preferred embodiment, those skilled in the art will recognize that the invention may be practiced in various versions within the spirit and scope of the following claims.

본 발명은 집적 회로 전자 디바이스들 및 이들의 제조에 응용할 수 있다. The present invention is applicable to integrated circuit electronic devices and their manufacture.

Claims (14)

집적 회로 내의 구조로서,As a structure in an integrated circuit, 반도체를 포함하고 두께가 10nm ~ 100nm인 기다란 수직 핀 부재(elongated vertical fin member) - 상기 기다란 수직 핀 부재는 벌크 반도체 기판(bulk semiconductor substrate)으로부터 돌출되어 있으며, 상면 및 대향하는 두 기다란 측면(two opposite elongated sides)을 가짐 - 를 포함하며,Elongated vertical fin member comprising a semiconductor and having a thickness of 10 nm to 100 nm-the elongated vertical fin member protrudes from a bulk semiconductor substrate, the top and two opposite sides being opposite with elongated sides-, 제1 전극은 상기 기다란 수직 핀 부재의 제1 단에 형성되어 있고,The first electrode is formed at the first end of the elongated vertical pin member, 상기 제1 전극과 반대 극성의 제2 전극은, 상기 기다란 수직 핀 부재의 상기 제1 단과 대향하는 제2 단에 형성되어 있으며,The second electrode of the opposite polarity to the first electrode is formed at a second end facing the first end of the elongated vertical pin member, 상기 제1 및 상기 제2 전극은, 상기 제1 및 제2 전극 사이의 상기 중심부 내의 도펀트 농도(dopant concentration)보다 더 큰 전극 농도로 도핑되어 있는 구조.Wherein the first and second electrodes are doped with an electrode concentration that is greater than a dopant concentration in the central portion between the first and second electrodes. 제1항에 있어서,The method of claim 1, 상기 전극들 중 하나는 p+로 도핑되고, 상기 전극들 중 다른 하나는 n+로 도핑된 구조.One of the electrodes is doped with p + and the other of the electrodes is doped with n + . 청구항 3은(는) 설정등록료 납부시 포기되었습니다.Claim 3 was abandoned when the setup registration fee was paid. 제1항에 있어서,The method of claim 1, 상기 전극들 중 하나는 p+로 도핑되고, 상기 중심부는 p-로 도핑되며, 상기 전극들 중 다른 하나는 n+로 도핑된 구조.Wherein one of the electrodes is doped with p + , the central portion is doped with p , and the other of the electrodes is doped with n + . 제2항에 있어서,The method of claim 2, 제1 전극에 인접한 상기 중심부의 제1 일부분(sub-portion)은 상기 제1 전극과 동일한 극성으로 그리고 더 낮은 농도로 도핑되고, 상기 제2 전극에 인접한 상기 중심부의 제2 일부분은 상기 제2 전극과 동일한 극성으로 그리고 더 낮은 농도로 도핑된 구조.A first sub-portion of the central portion adjacent to the first electrode is doped with the same polarity and at a lower concentration as the first electrode, and the second portion of the central portion adjacent to the second electrode is the second electrode. A doped structure with the same polarity and at a lower concentration. 청구항 5은(는) 설정등록료 납부시 포기되었습니다.Claim 5 was abandoned upon payment of a set-up fee. 제2항에 있어서,The method of claim 2, 상기 도펀트들(dopants)은 p+/p-/n-/n+ 순서로 정렬된 구조.The dopant in (dopants) are p + / p - the structure arranged in a / n + sequence - / n. 제1항에 있어서,The method of claim 1, 상기 두 측면의 중심부들에 근접하고 상기 상면의 중심부 위에 배치된 게이트를 더 포함하며,A gate close to the centers of the two sides and disposed above the center of the top surface, 상기 게이트는 유전체 게이트 층(dielectric gate layer)에 의해 상기 수직 부재로부터 분리되어 있는 구조.The gate is separated from the vertical member by a dielectric gate layer. 집적 회로의 외부 터미널(external terminal)에 붙어 있으며 제1항에 따른 구조를 포함하는 정전 방전 보호 회로(electrostatic discharge protection circuit; ESD).An electrostatic discharge protection circuit (ESD) attached to an external terminal of an integrated circuit and comprising the structure according to claim 1. 제7항에 있어서,The method of claim 7, wherein 두 디바이스를 더 포함하며,Two more devices, 상기 외부 터미널은 제1 디바이스의 양극 및 또 다른 디바이스의 음극으로 연결되어 있는 ESD 보호 회로.And the external terminal is connected to the positive electrode of the first device and the negative electrode of another device. 제7항에 있어서,The method of claim 7, wherein 상기 기판은 매립된 인슐레이터(insulator)의 층을 갖는 SOI 기판이며, 상기 수직 핀 부재는 상기 매립된 인슐레이터 층 위에 직접 배치된 ESD 보호 회로.The substrate is an SOI substrate having a layer of buried insulator, and the vertical fin member is disposed directly on the buried insulator layer. 청구항 10은(는) 설정등록료 납부시 포기되었습니다.Claim 10 was abandoned upon payment of a setup registration fee. 제7항에 있어서,The method of claim 7, wherein 상기 기판은 벌크 기판(bulk substrate)이며, 상기 수직 부재는 상기 벌크 기판 위에 직접 배치된 ESD 보호 회로.The substrate is a bulk substrate, and the vertical member is disposed directly on the bulk substrate. 제7항에 있어서,The method of claim 7, wherein 외부 터미널 및 전압 터미널 사이에 병렬로 연결된 복수의 FIN-Diode 구조를 포함하는 ESD 보호 회로.ESD protection circuitry comprising a plurality of FIN-Diode structures connected in parallel between an external terminal and a voltage terminal. 제7항에 있어서,The method of claim 7, wherein 두 외부 터미널 사이에 직렬 구성으로 된 적어도 하나의 FIN-Diode 구조를 포함하는 ESD 보호 회로.ESD protection circuitry that includes at least one FIN-Diode structure in series between two external terminals. 제7항에 있어서,The method of claim 7, wherein 적어도 하나의 FIN-Diode 구조 및 적어도 하나의 FIN-R 저항 소자를 포함하는 ESD 보호 회로.ESD protection circuitry comprising at least one FIN-Diode structure and at least one FIN-R resistive element. 청구항 14은(는) 설정등록료 납부시 포기되었습니다.Claim 14 was abandoned when the registration fee was paid. 제7항에 있어서,The method of claim 7, wherein 적어도 하나의 FIN-Diode 구조, 적어도 하나의 FIN-R 저항 소자 및 적어도 하나의 FINFET 소자를 포함하는 ESD 보호 회로.ESD protection circuitry comprising at least one FIN-Diode structure, at least one FIN-R resistive element and at least one FINFET element.
KR1020057009829A 2005-05-31 2002-12-03 Lateral lubistor structure and method KR100735000B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020057009829A KR100735000B1 (en) 2005-05-31 2002-12-03 Lateral lubistor structure and method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020057009829A KR100735000B1 (en) 2005-05-31 2002-12-03 Lateral lubistor structure and method

Publications (2)

Publication Number Publication Date
KR20050089805A KR20050089805A (en) 2005-09-08
KR100735000B1 true KR100735000B1 (en) 2007-07-04

Family

ID=37272134

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057009829A KR100735000B1 (en) 2005-05-31 2002-12-03 Lateral lubistor structure and method

Country Status (1)

Country Link
KR (1) KR100735000B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9093492B2 (en) 2012-11-29 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Diode structure compatible with FinFET process

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5610790A (en) * 1995-01-20 1997-03-11 Xilinx, Inc. Method and structure for providing ESD protection for silicon on insulator integrated circuits
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5610790A (en) * 1995-01-20 1997-03-11 Xilinx, Inc. Method and structure for providing ESD protection for silicon on insulator integrated circuits
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture

Also Published As

Publication number Publication date
KR20050089805A (en) 2005-09-08

Similar Documents

Publication Publication Date Title
US7173310B2 (en) Lateral lubistor structure and method
US6410962B2 (en) Structure for SOI wafers to avoid electrostatic discharge
US6611041B2 (en) Inductor with patterned ground shield
US9466536B2 (en) Semiconductor-on-insulator integrated circuit with back side gate
US5629544A (en) Semiconductor diode with silicide films and trench isolation
US6521959B2 (en) SOI semiconductor integrated circuit for eliminating floating body effects in SOI MOSFETs and method of fabricating the same
US6706569B2 (en) SOI semiconductor integrated circuit for eliminating floating body effects in SOI MOSFETs and method of fabricating the same
US7696565B2 (en) FinFET body contact structure
US6455894B1 (en) Semiconductor device, method of manufacturing the same and method of arranging dummy region
TWI515878B (en) Semiconductor-on-insulator structure, method of removing unwanted accumulated majority-type carriers from the channel of a semiconductor-on-insulator active device, and method of fabricatiing an integrated circuit
JP3965064B2 (en) Method for forming an integrated circuit having a body contact
US6610262B1 (en) Depletion mode SCR for low capacitance ESD input protection
US20020022328A1 (en) Method of forming PID protection diode for SOI wafer
US6498058B1 (en) SOI pass-gate disturb solution
US6664140B2 (en) Methods for fabricating integrated circuit devices using antiparallel diodes to reduce damage during plasma processing
JP2003037254A (en) Soi substrate having etching blocking film, manufacturing method therefor, soi integrated circuit produced on the same and method for producing the soi integrated circuit by using the same
TWI248193B (en) Electrostatic discharge device
WO2004051749A1 (en) Lateral lubistor structure and method
US6844596B2 (en) Si-MOS high-frequency semiconductor device
JP2001308330A (en) Semiconductor integrated circuit device
US6346446B1 (en) Methods of forming features of integrated circuits using modified buried layers
US6153912A (en) SOI with conductive metal substrate used as VSS connection
US5683918A (en) Method of making semiconductor-on-insulator device with closed-gate electrode
JP2001230423A (en) Soi mosfet device and manufacturing method thereof
US20050036252A1 (en) Low triggering N MOS transistor for ESD protection working under fully silicided process without silicide blocks

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20120525

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130524

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee