KR100637772B1 - High Selectivity CMP slurry for STI Process in Semiconductor manufacture - Google Patents

High Selectivity CMP slurry for STI Process in Semiconductor manufacture Download PDF

Info

Publication number
KR100637772B1
KR100637772B1 KR1020040048390A KR20040048390A KR100637772B1 KR 100637772 B1 KR100637772 B1 KR 100637772B1 KR 1020040048390 A KR1020040048390 A KR 1020040048390A KR 20040048390 A KR20040048390 A KR 20040048390A KR 100637772 B1 KR100637772 B1 KR 100637772B1
Authority
KR
South Korea
Prior art keywords
isothiazolin
chloro
dichloro
slurry composition
isothiazoline
Prior art date
Application number
KR1020040048390A
Other languages
Korean (ko)
Other versions
KR20050122754A (en
Inventor
오창일
이길성
김태규
김원래
Original Assignee
제일모직주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 제일모직주식회사 filed Critical 제일모직주식회사
Priority to KR1020040048390A priority Critical patent/KR100637772B1/en
Priority to PCT/KR2004/002318 priority patent/WO2006001558A1/en
Priority to TW093128562A priority patent/TWI329668B/en
Publication of KR20050122754A publication Critical patent/KR20050122754A/en
Application granted granted Critical
Publication of KR100637772B1 publication Critical patent/KR100637772B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

본 발명은 당류를 포함하는 고선택비 CMP 슬러리 조성물에 관한 것으로, 보다 상세하게는 금속산화물, 계면활성제, 당류, pH 조절제, 방부제, 안정제 및 탈이온수를 포함하는 반도체 STI 공정용 고선택비 CMP 슬러리 조성물에 관한 것이다. 본 발명의 슬러리 조성물은 실리콘 나이트라이드(SiN)에 대한 실리콘 옥사이드(SiO2) 제거속도의 고선택비를 제공하고, 높은 제어특성으로 CMP 공정에 매우 바람직하게 사용될 수 있으며, 연마 후 발생하는 스크래치가 적어 연마품질을 우수하게 관리할 수 있고, 디싱 등의 현상이 억제되며, 넓은 pH 영역에서 효과적일 뿐 아니라, 저장안정성 면에서도 우수한 CMP 슬러리 조성물을 제공하는데 있다.The present invention relates to a high selectivity CMP slurry composition comprising a saccharide, and more particularly, to a high selectivity CMP slurry for a semiconductor STI process including a metal oxide, a surfactant, a sugar, a pH adjuster, a preservative, a stabilizer, and deionized water. It relates to a composition. The slurry composition of the present invention provides a high selectivity ratio of silicon oxide (SiO 2 ) removal rate to silicon nitride (SiN), can be very preferably used in a CMP process with high control characteristics, and scratches generated after polishing In order to provide excellent CMP slurry composition, the polishing quality can be excellently managed, the dishing can be suppressed, the pH is not only effective in a wide pH range, and the storage stability is excellent.

당류, 고선택비, CMP, 슬러리, 금속산화물, 계면활성제, pH 조절제, 방부제, 안정제Sugars, high selectivity, CMP, slurry, metal oxides, surfactants, pH adjusters, preservatives, stabilizers

Description

반도체 STI 공정용 고선택비 CMP 슬러리 조성물{High Selectivity CMP slurry for STI Process in Semiconductor manufacture}High Selectivity CMP slurry for STI Process in Semiconductor manufacture}

도1은 화학적 기계적 연마 (CMP : Chemical Mechanical Polishing) 장치의 개략도이다. 1 is a schematic diagram of a Chemical Mechanical Polishing (CMP) apparatus.

도2는 (1)범용 CMP 슬러리(선택비 3~4) 및 (2)고선택비 CMP 슬러리(선택비 30 이상)로 연마한 소자의 단면도이다.Fig. 2 is a cross-sectional view of a device polished with (1) general purpose CMP slurry (selectivity 3 to 4) and (2) high selectivity CMP slurry (selectivity 30 or more).

도3은 STI 공정에서 (1)고선택비 CMP 슬러리(선택비 30 이상) 및 (2)범용 CMP 슬러리(선택비 3~4)를 사용한 소자의 단면도이다.3 is a cross-sectional view of a device using (1) a high selectivity CMP slurry (selection ratio 30 or more) and (2) a general purpose CMP slurry (selectivity 3 to 4) in an STI process.

도4는 디싱(Dishing)을 갖는 소자의 단면도이다.4 is a cross-sectional view of the device with dishing.

도5는 이로우젼(Erosion)을 갖는 소자의 도면이다.5 is a diagram of a device having an Erosion.

도6은 디싱량을 측정하는 방법을 보여주는 도면이다.6 is a view showing a method of measuring a dishing amount.

도면 부호에 대한 설명Explanation of reference numerals

1: 연마테이블 2: 연마패드 1: polishing table 2: polishing pad

3: 연마헤드 4: 슬러리공급관3: polishing head 4: slurry feed pipe

10: 반도체 기판10: semiconductor substrate

20: 실리콘 나이트라이드20: silicon nitride

30: 실리콘 옥사이드30: silicon oxide

최근, 반도체장치 제조기술의 발달은 미세 공정기술을 기본으로 하여 추진되어 오고 있으며, 특히 소자간을 분리하는 소자분리막의 축소는 미세화기술에 있어 중요한 항목 중의 하나로 대두되고 있다.Recently, the development of semiconductor device manufacturing technology has been promoted based on the fine process technology, and in particular, the reduction of the device isolation film separating the devices has emerged as one of the important items in the miniaturization technology.

종래의 소자분리기술로는 반도체 기판 상에 두꺼운 산화막을 성장시켜 소자분리막을 형성하는 로커스(LOCOS, LOCal Oxidation of Silicone) 기술이 통상적으로 사용되었으나, 상기 LOCOS 기술은 소자분리막의 측면확산 및 버즈비크(bird's beak)에 의해 활성영역이 감소된다는 단점이 있다. 따라서 소자설계치수가 서브미크론(submicron) 이하로 줄어드는 대용량의 메모리소자에 있어서는 상기 LOCOS 기술의 적용이 불가능하기 때문에 새로운 소자분리기술이 필요하게 되었다.As a conventional device isolation technology, a LOCOS (LOCal Oxidation of Silicone) technology is commonly used to grow a thick oxide film on a semiconductor substrate to form a device isolation film. However, the LOCOS technology has a side diffusion and a buzz bee There is a disadvantage that the active area is reduced by bird's beak. Therefore, the LOCOS technology cannot be applied to a large-capacity memory device whose device design dimension is reduced to less than a submicron, and thus, a new device isolation technology is required.

따라서 반도체 장치의 고밀도화를 위해 종래의 LOCOS법 대신에 이용되는 기술로서, 구체적으로는 실리콘 기판(wafer)에 실리콘 나이트라이드를 붙인 다음 얕은 트랜치(trench)를 형성하고 여기에 화학기상증착법(chemical vapor deposition: CVD)을 이용하여 산화막을 퇴적시킨 후, 도1과 같은 화학 기계적 연마(CMP, chemical mechanical polishing) 기술을 통하여 광역평탄화를 실현하는 STI(Shallow Trench Isolation) 공정을 도입하게 되었다.Therefore, a technique used in place of the conventional LOCOS method for increasing the density of semiconductor devices, specifically, silicon nitride is attached to a silicon wafer, and then a shallow trench is formed, and chemical vapor deposition is applied thereto. After depositing the oxide film using CVD, the Shtre Trench Isolation (STI) process was introduced to realize wide-area leveling through a chemical mechanical polishing (CMP) technique as shown in FIG.

STI CMP 에서는 연마방지층으로서 사용되는 실리콘 나이트라이드(SiN)에 우 선하여 실리콘 옥사이드(SiO2)를 선택적으로 제거할 수 있는 고선택비 슬러리 조성물을 사용하는 것이 매우 유익하다. 이상적으로는, STI CMP 에 의한 실리콘 나이트라이드(SiN)의 제거속도는 0에 근접한데 반해, STI CMP 에 의한 실리콘 옥사이드(SiO2)의 제거속도는 가능한 한 빠른 것을 의미하는 것이다.In STI CMP, it is very advantageous to use a high selectivity slurry composition capable of selectively removing silicon oxide (SiO 2 ) in preference to silicon nitride (SiN) used as an anti-polishing layer. Ideally, the removal rate of silicon nitride (SiN) by STI CMP is close to zero, whereas the removal rate of silicon oxide (SiO 2) by STI CMP is as fast as possible.

"선택비"란 용어는 CMP 공정 동안 동일한 슬러리에 의한 실리콘 나이트라이드(SiN) 제거속도 대 실리콘 옥사이드(SiO2) 제거속도의 비를 기술하는데 사용된다. 선택비는 실리콘 옥사이드(SiO2)의 제거속도(통상적으로 Å/min으로 표현됨)를 실리콘 나이트라이드(SiN)의 제거속도로 나눔으로서 측정된다. 종래의 CMP 슬러리 조성물은 종종 약 10 이하, 전형적으로는 약 4 정도의 선택비를 나타낸다.The term “selectivity ratio” is used to describe the ratio of silicon nitride (SiN) removal rate to silicon oxide (SiO 2) removal rate by the same slurry during the CMP process. The selectivity is measured by dividing the removal rate of silicon oxide (SiO 2) (typically expressed in μs / min) by the removal rate of silicon nitride (SiN). Conventional CMP slurry compositions often exhibit selectivity of about 10 or less, typically about 4.

현재 사용되는 범용 CMP 슬러리 조성물의 실리콘 옥사이드에 대한 실리콘 나이트라이드의 제거 선택비는 약 4 정도로 낮기 때문에 실제 공정에서는 실리콘 나이트라이드가 식각 허용 범위 이상으로 연마되고 있다. 결과적으로, 실리콘 나이트라이드 패턴은 CMP 공정 중에 웨이퍼 부위별로 균일하게 제거되지 않을 수 있어, 웨이퍼 전반에 걸쳐 실리콘 나이트라이드의 두께 변화 폭이 매우 클 수 밖에 없다. 도5에서는 배선 밀집 영역의 연마가, 배선 고립 영역 등 배선 밀도가 낮은 영역에 비하여 과잉으로 연마가 진행되어, 배선 밀집 영역의 표면이 다른 영역보다 움푹하게 들어간 상태인 이로우젼(Erosion)을 갖는 소자의 단면을 보여준다. Since the removal selectivity of silicon nitride to silicon oxide in current general purpose CMP slurry compositions is as low as about 4, silicon nitride is polished beyond the etch tolerance in practical processes. As a result, the silicon nitride pattern may not be uniformly removed for each wafer during the CMP process, and thus the thickness variation of silicon nitride is very large throughout the wafer. In Fig. 5, the polishing of the wiring dense area is excessively performed compared to the area of the wiring density, such as the wiring isolation area, so that the surface of the wiring dense area is recessed than other areas. Shows the cross section of.

이것은 도2에서와 같이 반도체 기판의 표면이 밀도가 큰 패턴과 밀도가 작은 패턴을 동시에 가지는 경우에 특히 문제가 될 수 있다. 즉, 배선이 밀집된 곳에서 는 오버 폴리싱으로 인한 실리콘 나이트라이드의 손실이 발생하고, 배선이 넓은 영역에 걸쳐 있는 경우는 언더 폴리싱으로 인해 실리콘 나이트라이드 표면에 남아있는 실리콘 옥사이드가 존재하게 된다. This may be a problem especially when the surface of the semiconductor substrate has a high density pattern and a low density pattern as shown in FIG. That is, where the wiring is dense, silicon nitride is lost due to overpolishing. When the wiring is over a large area, silicon oxide remains on the silicon nitride surface due to underpolishing.

이러한 현상은 도3에서와 같이 후속 소자 제조공정의 마진을 감소시키며 결과적으로 트랜지스터 및 소자의 특성을 열화시킨다. 따라서, 실리콘 나이트라이드 식각 종료층 패턴들은 CMP에 의해서 산화막을 제거한 후에도 균일한 두께를 가지는 것이 바람직하다.This phenomenon reduces the margin of subsequent device fabrication processes as in FIG. 3 and consequently degrades the transistor and device characteristics. Accordingly, the silicon nitride etch finish layer patterns may have a uniform thickness even after the oxide film is removed by CMP.

따라서, STI 공정에서는 CMP 후 웨이퍼 상의 기포 감소를 통한 덴트(Dent) 감소 및 CMP 후 실리콘 나이트라이드 연마제거량 최소화 특성에 의한 CMP 공정마진 증가 등의 개선을 위해 실리콘 옥사이드의 실리콘 나이트라이드에 대한 높은 연마 선택비 특성을 갖는 고선택비 슬러리 조성물 적용에 대한 요구가 증대되어지고 있다.Therefore, in the STI process, the choice of high polishing of silicon oxide on silicon nitride for improvement of dent reduction through bubble reduction on wafer after CMP and increase of CMP process margin by minimizing silicon nitride removal after CMP There is an increasing demand for the application of high selectivity slurry compositions having specific properties.

이러한 방법에 적합한 슬러리 조성물로서 WO99/43761호 공보에는, 물, 산화세륨,및 -COOH, -COOMx기 (Mx는 H원자와 치환되어 염을 형성할 수 있는 원자 내지는 작용기), -SO3H, SO3MY기(MY는 H원자와 치환되어 염을 형성할 수 있는 원자 내지는 작용기) 중 적어도 1종의 작용기를 가지는 수용성 유기화합물을 포함하는 반도체 장치 슬러리 조성물, 및 필요에 따라 상기 슬러리 조성물에 킬레이트제를 첨가한 상기 조성물을 개시하며, 상기 슬러리 조성물을 이용한 STI 형성 방법도 함께 제안하였다. 그러나, 상기 공보에 기재된 이러한 슬러리 조성물을 사용함에 있어서는, 높은 선택비가 실현가능하고 웨이퍼 표면의 손상이 적다는 이점이 있는 반면, 연마 후의 웨이퍼 세정성은 충분치 않았다.WO 99/43761 publications as slurry compositions suitable for this method include water, cerium oxide, and -COOH, -COOM x groups (M x is an atom or functional group which can be substituted with H atoms to form salts), -SO 3 A semiconductor device slurry composition comprising a water-soluble organic compound having at least one functional group among H and SO 3 M Y groups (M Y is an atom or a functional group which may be substituted with an H atom to form a salt), and if necessary, The above composition in which a chelating agent is added to the slurry composition is disclosed, and a method of forming STI using the slurry composition is also proposed. However, in using such a slurry composition described in the above publication, while there is an advantage that a high selectivity ratio is feasible and damage to the wafer surface is small, wafer cleaning after polishing is not sufficient.

미국 특허 제 5,738,800호 공보에는, 물, 지립(연마용 입자), 계면활성제, 두개 이상의 관능기를 포함하여 산화 규소 및 질화 규소와 착물(complex)을 형성하는 착화제를 포함한 조성물과 이것을 사용한 STI의 형성 방법이 개시되어 있다. 이 방법에서는 슬러리 내의 착화제와 관련을 가지고 사용되는 계면활성제가 입자의 분산안정성을 위한 일반적인 기능으로 사용되는 것이 아니라, 질화 규소의 연마속도에 영향을 주는 것으로 믿고 있으나, 그 상호작용 자체에 대해선 구체적으로 언급하고 있지 않다. 상기 조성물의 경우 범용 슬러리에 비해 우수한 선택비를 나타내지만, 6∼7 정도의 좁은 pH 영역에서만 작용한다는 제한이 있다. 또한 계면활성제의 첨가는 필수인 것으로 명기되어 있으며, 구체적으로는 그 연마 조성물에 플루오르화수소계 계면활성제를 약 0.1% ∼약 0.5% 첨가하여 사용한다. 그러나, 계면 활성제에는 강한 계면활성작용이나 발포성이 있어, 계면 활성제를 포함하는 슬러리가 반드시 반도체 장치 연마용 슬러리 조성물로서 적합하다고는 말할 수 없다.U.S. Patent No. 5,738,800 discloses a composition comprising water, abrasive grains (abrasive particles), a surfactant, a complexing agent comprising two or more functional groups to form a complex with silicon oxide and silicon nitride and the formation of STIs using the same. A method is disclosed. In this method, it is believed that the surfactant used in conjunction with the complexing agent in the slurry is not used as a general function for the dispersion stability of the particles but affects the polishing rate of silicon nitride, but the interaction itself is specific. It is not mentioned. In the case of the composition shows an excellent selectivity compared to the general-purpose slurry, but there is a limit to operate only in a narrow pH range of about 6-7. In addition, it is specified that the addition of the surfactant is essential. Specifically, about 0.1% to about 0.5% of a hydrogen fluoride surfactant is added to the polishing composition and used. However, the surfactant has a strong surfactant action and foamability, and it cannot be said that the slurry containing the surfactant is always suitable as a slurry composition for polishing a semiconductor device.

일본 특허 출원 공개 제 2000-17195호 공보에는, 산화세륨 입자, 암모늄 아크릴레이트와 메틸 아크릴레이트의 공중합체 및 물을 함유하는 산화세륨 슬러리가 개시되어 있다. 상기 슬러리는 비교적 안정성이 우수하여, 제조후 3일 이상 동안 방치하는 경우 조차도 2개 층으로 분리되지 않는다. 그러나, 패턴화된 기판 위에 형성된 절연 필름층이 이 슬러리를 사용하여 상기와 같이 연마될 경우, 오목부 내의 실리콘 옥사이드가 과잉으로 연마되어, 기판상의 실리콘 나이트라이드 평면에 대하여 오목부 내의 실리콘 옥사이드의 중앙부가 움푹 들어간 상태인 디싱이 깊게 발생되었고 평탄한 표면이 얻어질 수가 없다.Japanese Unexamined Patent Application Publication No. 2000-17195 discloses a cerium oxide slurry containing cerium oxide particles, a copolymer of ammonium acrylate and methyl acrylate, and water. The slurry is relatively stable and does not separate into two layers even when left for at least 3 days after preparation. However, when the insulating film layer formed on the patterned substrate is polished as described above using this slurry, the silicon oxide in the recess is excessively polished, so that the center portion of the silicon oxide in the recess with respect to the silicon nitride plane on the substrate is Deep dishing occurred deeply and a flat surface could not be obtained.

일본 특허 제3130279호는 세리아(Ceria) 등의 연마제 및 상기 연마제와 연계된 전하에 대해 상이한 이온성의 전하를 가진 고분자 전해질을 함유하는 것을 특징으로 하는 연마용 슬러리 조성물 (여기서, 고분자 전해질의 분자량은 약 500 내지 약 10,000 이고 연마제에 대한 고분자 전해질의 양은 약 5중량% 내지 약 50중량% 임)이 개시되어 있다. 이 슬러리 조성물에 따른, 패턴화되지 않은 기판 위에 형성된 절연 필름 층은 우수한 연마 속도로 연마될 수 있다. 그러나, 패턴화된 기판 위에 형성된 절연 필름 층을 이 슬러리 조성물을 사용하여 상기와 같이 연마할 경우, 디싱이 깊게 발생되었고, 평탄한 표면이 얻어질 수 없었다. 또한, 연마제 분산액의 상태와 관련하여, 조성물은 제조후 약 1시간 동안 남겨둔 후에는 2개 층으로 분리되기 시작한다는 것이 발견되었다.Japanese Patent No. 3130279 discloses a polishing slurry composition comprising an abrasive such as Ceria and a polymer electrolyte having a different ionic charge with respect to the charge associated with the abrasive, wherein the molecular weight of the polymer electrolyte is about And from about 5% to about 50% by weight of the polymer electrolyte relative to the abrasive. According to this slurry composition, the insulating film layer formed on the unpatterned substrate can be polished at an excellent polishing rate. However, when the insulating film layer formed on the patterned substrate was polished as described above using this slurry composition, dishing occurred deeply, and a flat surface could not be obtained. In addition, with respect to the state of the abrasive dispersion, it was found that the composition began to separate into two layers after being left for about 1 hour after preparation.

미국 특허 제 5,759,917호 공보에는 반도체 IC 제조공정 중 정지층(Stopping Layer)인 질화 규소에 대해 오버필(Overfill)층인 산화 규소를 선택적으로 연마하는 슬러리를 개시하고 있다. 본 슬러리는 카르복실산, 염, 수용성 세리아 화합물로 구성되며 3∼11 정도의 pH 범위를 갖는다. 상기 특허에서는 5∼100 까지의 선택비를 나타낼수 있다고는 하지만, 보고된 가장 높은 선택비의 예는 34.89에 불과하며, 실시예 대부분의 경우 20 이하의 선택비를 보여주는 한계를 갖는다.U.S. Patent No. 5,759,917 discloses a slurry for selectively polishing an overfill layer of silicon oxide against a silicon nitride, which is a stopping layer, in a semiconductor IC manufacturing process. This slurry consists of carboxylic acid, salt, water-soluble ceria compound, and has a pH range of about 3-11. Although the patent can show selectivity from 5 to 100, the highest reported selectivity example is only 34.89, and in most cases there is a limit showing a selectivity of 20 or less.

유럽 특허 제 0 786 504 A2호 공보에는 질화 규소 입자, 물 그리고 산을 포함하는 CMP 슬러리 조성물을 개시하고 있다. 본 슬러리 조성물은 질화 규소에 대한 산화규소의 높은 연마 선택비를 나타낸다. 보고된 실시예 중 가장 높은 것은 32.5 인데, 실시예 대부분의 경우 20이하의 선택비를 보여주는 한계를 갖는다.EP 0 786 504 A2 discloses a CMP slurry composition comprising silicon nitride particles, water and an acid. This slurry composition exhibits a high polishing selectivity of silicon oxide to silicon nitride. The highest of the reported examples is 32.5, which in most cases has a limit showing a selectivity of 20 or less.

유럽 특허 제 0 846 740 A1호 공보에는 연마입자, 500∼10,000 가량의 분자량을 갖는 고분자 전해질, 예를 들면 폴리에틸이민(polyethylenimine)을 포함하는 STI 공정용 CMP 슬러리 조성물을 개시하고 있다. 본 슬러리의 pH는 9∼11 사이를 유지해야하는데, 어느 정도의 질화 규소와 산화 규소 간 선택비를 나타내는지에 관해서는 아무런 정보를 갖고있지 않다.EP 0 846 740 A1 discloses a CMP slurry composition for an STI process comprising abrasive particles, a polymer electrolyte having a molecular weight of about 500 to 10,000, for example polyethylenimine. The pH of this slurry should be maintained between 9 and 11, and it has no information on how much silicon nitride and silicon oxide exhibits a selectivity ratio.

유럽 특허 제 0 853 335 A2호 공보에는 범용 CMP 슬러리, 즉 전형적인 콜로이달 실리카에 TMAH(tetramethyl ammonium hydroxide) 및 과산화수소가 첨가된 혼합물을 포함하는 STI용 CMP 슬러리가 개시되어 있다. 본 슬러리는 전형적으로 4 정도를 갖는 선택비를 30 정도까지 향상시킬 수 있다고 보고되어 있다. 다만 슬러리는 11∼12.9 정도의 좁은 pH 영역을 유지해야만 한다.EP 0 853 335 A2 discloses a general purpose CMP slurry, ie a CMP slurry for STI comprising a mixture of tetramethyl ammonium hydroxide (TMAH) and hydrogen peroxide added to a typical colloidal silica. It is reported that this slurry can improve the selectivity, typically having about 4, to about 30. However, the slurry should maintain a narrow pH range of 11 to 12.9.

미국 특허 제 6,616,514호 공보에는 연마입자, 물 그리고 분리되지 않는 세개 이상의 히드록실 그룹을 갖는 유기 폴리올을 포함하는 CMP 슬러리 조성물을 개시하고 있다. 본 슬러리 조성물에서 세리아 연마입자는 연마제로서 사용되고, 유기 폴리올은 만니톨, 솔비톨, 만노스, 자일리톨, 솔보스, 슈크로스 그리고 덱스트린의 그룹 중 하나 이상의 선택된 것으로 한다. 또한 상기 슬러리는 넓은 pH 영역, 즉 2∼12에 이르는 영역에서 질화 규소와 산화 규소 간의 고선택비를 나타내는 것으로 보고되고 있으나, 조성물에 포함된 유기 폴리올의 경우 생분해성 물질로서 pH 2∼9 영역에서 쉽게 부패하여 저장안정성이 현저히 떨어지는 결과를 초래하게 된다. 따 라서 실제 사용 가능한 pH 영역은 9∼12 에 불과한 한계를 갖는다.US Pat. No. 6,616,514 discloses a CMP slurry composition comprising abrasive particles, water and an organic polyol having three or more hydroxyl groups that do not separate. The ceria abrasive particles in the slurry composition are used as abrasives, and the organic polyol is selected from at least one of the group of mannitol, sorbitol, mannose, xylitol, sorbose, sucrose and dextrin. In addition, the slurry is reported to exhibit a high selectivity ratio between silicon nitride and silicon oxide in a wide pH range, that is, in a range of 2 to 12, but in the case of the organic polyol included in the composition in a pH range of 2 to 9 as a biodegradable material It easily rots, resulting in a marked drop in storage stability. Therefore, the practical pH range is only 9-12.

아래의 몇 가지 참고문헌에는 STI 공정에 사용되는 CMP 슬러리 조성물에 대해 언급하고 있다. 예로, A High Oxide : Nitride Selectivity CMP Slurry for Shallow Trench Isolation, by Sharath Hosali and Ray Lavoie, in Electromechanical Society Proceedings Volume 98-7 (1998), pages 218∼234 에서는 CMP 공정에 의해 산화 규소와 질화 규소 간의 선택비를 증가시키는 슬러리 조성물이 개시되어 있다. 상기 슬러리 조성물은 연마제인 산화세륨과 질화 규소의 연마속도를 억제하는 비공개의 고유 약액을 포함한다. 상기 문헌은 블랭킷 실리콘 웨이퍼에서 고선택비를 얻을 수 있다고 보고하고 있으나, 패턴 실리콘 웨이퍼에서 범용 슬러리와 비교했을 때 선택비 측면에서 거의 동일하다는 결과를 보고하고 있다.Some references below refer to CMP slurry compositions used in STI processes. For example, A High Oxide: Nitride Selectivity CMP Slurry for Shallow Trench Isolation, by Sharath Hosali and Ray Lavoie, in Electromechanical Society Proceedings Volume 98-7 (1998), pages 218-234, select between silicon oxide and silicon nitride by the CMP process. Slurry compositions for increasing the ratio are disclosed. The slurry composition includes a closed inherent chemical liquid that suppresses the polishing rate of cerium oxide and silicon nitride as an abrasive. The literature reports that high selectivity can be obtained in blanket silicon wafers, but reports that results are almost the same in terms of selectivity compared to general purpose slurries in patterned silicon wafers.

다른 문헌으로, Application of Ceria-based High Selectivity Slurry to STI CMP For Sub 0.18㎛ CMOS Technologies, by Ki-Sik Choi, Sang-Ick Lee, Chang-il Kim, Chul-Woo Nam, Sam-Dong Kim, and Chung-Tae Kim, CMP-MIC Conference, Feb. 11-12, 1999, pages 307-313 에서는 STI를 형성하는 공정에서 세리아 기반의 CMP 슬러리 조성물을 사용하는 것을 개시하고 있으나, 슬러리 조성물의 제조와 관련한 어떤 특별한 정보도 공개되어 있지 않다. 상기 문헌은 디싱이라고 알려진 현상, 즉 CMP 공정 중 질화 규소인 연마방지층(Stopping Layer)의 상부 표면층 하부의 트랜치 영역에 채워진 산화 규소에 얕은 침하를 형성하는 것을 최소화하기 위하여 더미 패턴 실리콘 웨이퍼가 필요함을 보고하고 있다. 또한, 상기 문헌은 세리아 연마제에 의해 발생하는 스크래치와 관련된 몇 가지 문제점이 있으며 이는 여과방법을 수정함으로서 개선할 수 있음을 보고하고 있다.In another document, Application of Ceria-based High Selectivity Slurry to STI CMP For Sub 0.18 μm CMOS Technologies, by Ki-Sik Choi, Sang-Ick Lee, Chang-il Kim, Chul-Woo Nam, Sam-Dong Kim, and Chung -Tae Kim, CMP-MIC Conference, Feb. 11-12, 1999, pages 307-313 disclose the use of ceria-based CMP slurry compositions in the process of forming STIs, but no particular information is disclosed regarding the preparation of slurry compositions. The document reports that a dummy pattern silicon wafer is needed to minimize the formation of a shallow settlement in the silicon oxide filled in the trench region below the top surface layer of silicon nitride during the CMP process, namely dishing. Doing. The document also reports that there are some problems associated with scratches caused by ceria abrasives that can be improved by modifying the filtration method.

또 다른 문헌으로, A Production-Proven Shallow Trench Isolation(STI) Solution Using Novel CMP Concepts, by Raymond R. Jin, Jeffery David, Bob Abbassi, Tom Osterheld, and Fritz Redeker, CMP-MIC Conference on Feb. 11-12, 1999, pages 314-321 에서는 디싱을 줄이는 더미 패턴 웨이퍼의 사용에 문제점이 있음을 개시하고 있다. 이의 해결방법은 저선택비 혹은 무선택비의 슬러리 조성물을 적용하는 것인데 시스템, 장비, 연마헤드의 조합에 따른 CMP 공정으로 디싱을 최소화할 수 있다.In another publication, A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts, by Raymond R. Jin, Jeffery David, Bob Abbassi, Tom Osterheld, and Fritz Redeker, CMP-MIC Conference on Feb. 11-12, 1999, pages 314-321 discloses a problem with the use of dummy pattern wafers to reduce dishing. The solution is to apply a slurry composition of low selectivity or no selectivity, which can minimize dishing by the CMP process according to the combination of system, equipment, and polishing head.

마지막으로 다른 문헌으로, A Wide Margin CMP and Clean Process For Shallow Trench Isolation Applications, by Brad Withers, Eugen Zhoa, Rahul Jairath, CMP-MIC Conference on Feb. 19-20, 1998, pages 319-327, 에서는 공정비용과 블럭 마스크, 패턴 레지스트 에치, 고선택비 재료, 더미 엑티브 영역 관련 공정 등의 필요로 기인한 복잡성을 문제로 언급하고 있다. 그러나 이들 문제를 해결할 수 있는 방법은 없다고 보고하고 있다.Finally, in other literature, A Wide Margin CMP and Clean Process For Shallow Trench Isolation Applications, by Brad Withers, Eugen Zhoa, Rahul Jairath, CMP-MIC Conference on Feb. 19-20, 1998, pages 319-327, address issues of complexity due to processing costs and the need for processes involving block masks, pattern resist etch, high selectivity materials, and dummy active regions. But there is no way to solve these problems.

상기 언급한 바와 같이, STI CMP 공정에서는, 산화 규소막과 질화 규소막간의 연마속도 선택비가 크고, 연마면의 손상이 적으며, 세정성이 양호하고, 디싱 등의 현상이 억제되며, 넓은 pH 영역에서 효과적인 슬러리 조성물이 강력히 요청되고 있다.As mentioned above, in the STI CMP process, the polishing rate selectivity between the silicon oxide film and the silicon nitride film is large, the damage of the polishing surface is small, the cleaning property is good, the phenomenon of dishing is suppressed, and the wide pH range There is a strong need for effective slurry compositions.

상술한 문제점을 해결하기 위해, 본 발명은 실리콘 나이트라이드(SiN)에 대 한 실리콘 옥사이드(SiO2) 제거속도의 고선택비를 제공하고, 높은 제어특성으로 CMP 공정에 매우 바람직하게 사용될 수 있으며, 연마 후 발생하는 스크래치가 적어 연마품질을 우수하게 관리할 수 있고, 디싱 등의 현상이 억제되며, 넓은 pH 영역에서 효과적일 뿐 아니라, 저장안정성 면에서도 우수한 반도체 장치 연마용 슬러리 조성물을 제공하는데 있다.In order to solve the above problems, the present invention provides a high selectivity ratio of silicon oxide (SiO 2 ) removal rate to silicon nitride (SiN), and can be very preferably used in a CMP process with high control characteristics. The present invention provides a slurry composition for polishing a semiconductor device excellent in storage stability due to less scratches generated after polishing, excellent management of polishing quality, suppression of dishing and the like, and effective in a wide pH range.

본 발명은 당류를 포함하는 고선택비 CMP 슬러리 조성물에 관한 것으로, 보다 구체적으로 금속산화물, 계면활성제, 당류, pH 조절제, 방부제, 안정제 및 탈이온수를 포함하는 CMP 슬러리 조성물에 관한 것이다. The present invention relates to a high selectivity CMP slurry composition comprising sugars, and more particularly to a CMP slurry composition comprising metal oxides, surfactants, sugars, pH adjusters, preservatives, stabilizers and deionized water.

바람직하게는 본 발명의 슬러리 조성물은, 탈이온수를 용매로 하며, 금속산화물 0.1~20중량%, 계면활성제 0.01~3중량%, 당류 0.001~5중량%, pH 조절제 0.001~5중량%, 방부제 0.0001~1중량% 및 안정제 0.00001~1중량% 포함하며, 상기 당류로는 갈락토즈(Galactose), 아라비노즈(Arabinose), 리보오즈(Ribose), 자이로오즈(Xylose), 말티톨(Maltitol), 락토즈(Lactose), 말토즈(Maltose), 풀루란(Pullulan)으로 이루어진 군중에서 선택된 1종 이상을 포함하는 것을 특징으로 한다.  Preferably, the slurry composition of the present invention is a deionized water as a solvent, 0.1-20% by weight metal oxide, 0.01-3% by weight surfactant, 0.001-5% by weight sugar, 0.001-5% by weight pH adjuster, preservative 0.0001 ~ 1% by weight and stabilizer 0.00001 ~ 1% by weight, the sugars include galactose (Galactose), arabinose (Arabinose), ribose (Ribose), gyroose (Xylose), maltitol (Maltitol), lactose ( Lactose), Maltose (Maltose), Pullulan (Pullulan) is characterized in that it comprises one or more selected from the crowd.

본 발명에 사용된 금속산화물은 CMP 공정시 물리적 연마작용을 하는 연마제로서 본 발명의 목적을 저해하지 않는 한 그 종류에 특별히 제한받지 않으며, 예를 들면 발연법 또는 졸-겔(Sol-Gel)법으로 제조된 실리카(SiO2), 알루미나(Al2O3), 세리아(CeO2), 지르코니아(ZrO2), 또는 티타니아(TiO2) 등을 사용할 수 있다. 단, 금속산화물의 1차 평균 입자크기는 10~100nm, 2차 평균 입자크기는 50~400nm인 것이 바람직하다. 첨가량은 전체 슬러리 조성물 대비 0.1~20중량%의 범위가 바람직하 다.The metal oxide used in the present invention is not particularly limited as long as it does not impair the object of the present invention as an abrasive which performs physical polishing in the CMP process. For example, the fume method or the Sol-Gel method Silica (SiO 2 ), alumina (Al 2 O 3), ceria (CeO 2), zirconia (ZrO 2), or titania (TiO 2) may be used. However, it is preferable that the primary average particle size of a metal oxide is 10-100 nm, and a secondary average particle size is 50-400 nm. The amount of addition is preferably in the range of 0.1 to 20% by weight relative to the total slurry composition.

본 발명에 사용된 계면활성제는 분산 안정화를 위한 것으로 분산 안정화가 이루어지면 본 발명의 CMP 슬러리 조성물이 장기적으로도 균일한 연마품질을 유지할 수 있다.   The surfactant used in the present invention is for dispersion stabilization. When dispersion stabilization is achieved, the CMP slurry composition of the present invention can maintain a uniform polishing quality even in the long term.

본 발명에서 사용할 수 있는 음이온성 계면활성제로는 카르복시산 (carboxylic acid)과 그의 염, 설퍼릭 에스터(sulfuric ester)와 그의 염, 설포닉산(sulfonic acid)과 그의 염, 또는 포스포릭 에스터(phosphoric ester)와 그의 염을 예로 들 수 있고; 양이온성 계면활성제로는 제 1급 아민(primary amine)과 그의 염, 제 2급 아민(secondary amine)과 그의 염, 제 3급 아민(tertiary amine)과 그의 염, 또는 제 4급 아민(quarternary amine)과 그의 염을 예로 들 수 있으며; 비이온성 계면활성제는 폴리에틸렌글리콜(polyethyleneglycol)형 또는 폴리히드록시 알코올(polyhydroxy alcohol)형을 예로 들 수 있다. 그 첨가량은 0.01~3 중량%가 바람직하다.  Anionic surfactants that can be used in the present invention include carboxylic acid and salts thereof, sulfuric esters and salts thereof, sulfonic acid and salts thereof, or phosphoric esters. And salts thereof; Cationic surfactants include primary amines and salts thereof, secondary amines and salts thereof, tertiary amines and salts thereof, or quaternary amines. ) And salts thereof; The nonionic surfactant may be a polyethyleneglycol type or a polyhydroxy alcohol type. As for the addition amount, 0.01-3 weight% is preferable.

본 발명에 사용된 당류는 갈락토즈(Galactose), 아라비노즈(Arabinose), 리보오즈(Ribose), 자이로오즈(Xylose), 말티톨(Maltitol), 락토즈(Lactose), 말토즈(Maltose), 풀루란(Pullulan)을 사용하는 것이 바람직한데, 그 첨가량은 0.001~5중량%가 바람직하다. 상기 첨가량이 0.001 중량% 미만이거나 5중량%를 초과하는 경우는 필요한 선택비를 나타낼 수 없는 문제점이 있다.  The sugars used in the present invention are galactose, arabinose, ribose, ribose, xylose, maltitol, lactose, maltose, pullulan It is preferable to use (Pullulan), but the addition amount is preferably 0.001 to 5% by weight. If the added amount is less than 0.001% by weight or more than 5% by weight, there is a problem in that the necessary selectivity cannot be represented.

본 발명에 있어 당류 첨가시 고선택비를 나타내는 이유는 당류에는 친수성의 히드록시(OH)기가 공지의 다른 물질에 비해 다량 포함되어 있고 이들 히드록시 그룹이 SiN과 친화력이 우수해 결과적으로 SiN을 연마로부터 보호하는 보호층을 형성하기 때문으로 여겨진다.  In the present invention, the reason for the high selectivity when sugars are added is that sugars contain a large amount of hydrophilic hydroxy (OH) groups compared with other known substances, and these hydroxy groups have excellent affinity with SiN, resulting in polishing of SiN. It is considered because it forms a protective layer to protect from.

본 발명에 사용된 pH 조절제는 황산, 염산, 질산, 아세트산, 수산화 나트륨, 수산화 칼륨, 수산화 암모늄 또는 염기성 아민을 사용하는 것이 바람직한데, 그 첨가량은 0.001~5 중량%로 하는 것이 본 발명의 효과를 달성하는데 적합하다.  As the pH adjusting agent used in the present invention, it is preferable to use sulfuric acid, hydrochloric acid, nitric acid, acetic acid, sodium hydroxide, potassium hydroxide, ammonium hydroxide or basic amine, and the addition amount thereof is 0.001 to 5% by weight. Suitable to achieve.

본 발명에 사용되는 방부제로는 트리스(히드록시메틸) 니트로메탄 (tris(hydroxymethyl)nitromethane), 헥사하이드로-1,3,5-트리스(히드록시에틸)-S-트리아진(hexahydro-1,3,5-tris(hydroxyethyl)-S-triazine), 헥사하이드로-1,3,5-트리에틸-S-트리아진(hexahydro-1,3,5-triethyl-S-triazine), 1-(3-클로로알릴)-3,4,7-트리아자-1-아조니아아다만탄클로라이드(1-(3-chloroallyl)-3,4,7-triaza-1-azoniaadamantanechloride), 4-(2-니트로부틸)-몰폴린(4-(2-nitrobutyl)-morpholine), 4,4-(2-에틸-2-니트로트리메틸렌)-디몰폴린(4,4-(2-ethyl-2-nitrotrimethylene)-dimorpholine), 소디움-2-피리딘티올-1-옥사이드(sodium-2-pyridinethiol-1-oxide), 1,2-벤즈이소티아졸린-3-온(1,2-benzisothiazolin-3-one), 5-클로로-2-메틸-4-이소티아졸린-3-온(5-chloro-2-methyl-4-isothiazolin-3-one), 2-메틸-4-이소티아졸린-3-온(2-methyl-4-isothiazolin-3-one), 5-클로로-2-페네틸-3-이소티아졸린(5-chloro-2-penetyl-3-isothiazolin), 4-브로모-2-n-도데실 -3-이소티아졸린(4-bromo-2-n-dodecyl-3-isothiazolin), 4,5-디클로로-2-n-옥틸-3-이소티아졸린(4,5-dichloro-2-n-octyl-3-isothiazolin), 4-메틸-5-클로로-2-(4'-클로로벤질)-3-이소티아졸린(4-methyl-5-chloro-2-(4'-chlorobenzil)-3- isothiazolin), 4,5-디클로로-2-(4'-클로로벤질)-3-이소티아졸린(4,5-dichloro-2-(4'-chlorobenyl)- 3-isothiazolin), 4,5-디클로로-2-(4'-클로로페닐)-3-이소티아졸린(4,5-dichloro-2-(4'-chlorophenyl)-3-isothiazolin), 4,5-디클로로-2-(2'-메톡시-3'-클로로페닐)-3-이소티아졸린(4,5-dichloro-2-(2'-methoxy-3'-chlorophenyl )-3-isothiazolin), 4,5-디브로모-2-(4'-클로로벤질)-3-이소티아졸린(4,5-dibromo-2-(4'-chlorobenzil)-3-isothiazolin), 4-메틸-5-클로로-2-(4'-히드록시페닐)-3-이소티아졸린(4-methyl-5-chloro-2-(4'-hydroxyphenyl)-3- isothiazolin), 5-디클로로-2-n-헥실-3-이소티아졸린(4,5-dichloro-2-n-hexyl- 3-isothiazolin), 5-클로로-2-(3',4'-디클로로페닐)-3-이소티아졸린(5-chloro-2- (3',4'-dichlorophenyl)-3-isothiazolin), 6-아세톡시-2,4-디메틸-디옥산(6-acetoxy-2,4-dimethyl-dioxane), 2,2-디브로모-3-니트릴로프로피온(2,2-dibromo-3-nitrilopropion) 또는 요오드(I2)를 예로 들수 있고, 첨가량은 0.0001~1 중량%인 것이 바람직하다.  Preservatives used in the present invention include tris (hydroxymethyl) nitromethane, hexahydro-1,3,5-tris (hydroxyethyl) -S-triazine (hexahydro-1,3 , 5-tris (hydroxyethyl) -S-triazine, hexahydro-1,3,5-triethyl-S-triazine, hexahydro-1,3,5-triethyl-S-triazine, 1- (3- Chloroallyl) -3,4,7-triaza-1-azoniaadamantanechloride (1- (3-chloroallyl) -3,4,7-triaza-1-azoniaadamantanechloride), 4- (2-nitrobutyl ) -Morpholine (4- (2-nitrobutyl) -morpholine), 4,4- (2-ethyl-2-nitrotrimethylene) -dimorpholine (4,4- (2-ethyl-2-nitrotrimethylene) -dimorpholine ), Sodium-2-pyridinethiol-1-oxide, 1,2-benzisothiazolin-3-one, 5- 5-chloro-2-methyl-4-isothiazolin-3-one, 2-methyl-4-isothiazolin-3-one -4-isothiazolin-3-one), 5-chloro-2-phenethyl-3-isothiazoline (5-chloro-2-penet yl-3-isothiazolin), 4-bromo-2-n-dodecyl-3-isothiazolin, 4-bromo-2-n-dodecyl-3-isothiazolin, 4,5-dichloro-2-n- Octyl-3-isothiazoline (4,5-dichloro-2-n-octyl-3-isothiazolin), 4-methyl-5-chloro-2- (4'-chlorobenzyl) -3-isothiazoline (4 -methyl-5-chloro-2- (4'-chlorobenzil) -3- isothiazolin), 4,5-dichloro-2- (4'-chlorobenzyl) -3-isothiazoline (4,5-dichloro-2 -(4'-chlorobenyl)-3-isothiazolin), 4,5-dichloro-2- (4'-chlorophenyl) -3-isothiazoline (4,5-dichloro-2- (4'-chlorophenyl)- 3-isothiazolin), 4,5-dichloro-2- (2'-methoxy-3'-chlorophenyl) -3-isothiazoline (4,5-dichloro-2- (2'-methoxy-3'- chlorophenyl) -3-isothiazolin), 4,5-dibromo-2- (4'-chlorobenzyl) -3-isothiazoline (4,5-dibromo-2- (4'-chlorobenzil) -3-isothiazolin ), 4-methyl-5-chloro-2- (4'-hydroxyphenyl) -3-isothiazoline (4-methyl-5-chloro-2- (4'-hydroxyphenyl) -3- isothiazolin), 5 -Dichloro-2-n-hexyl-3-isothiazoline (4,5-dichloro-2-n-hexyl-3-isothiazolin), 5- Lolo-2- (3 ', 4'-dichlorophenyl) -3-isothiazoline (5-chloro-2- (3', 4'-dichlorophenyl) -3-isothiazolin), 6-acetoxy-2,4 -Dimethyl-dioxane (6-acetoxy-2,4-dimethyl-dioxane), 2,2-dibromo-3-nitrilopropion (2,2-dibromo-3-nitrilopropion) or iodine (I2) It is preferable that the addition amount is 0.0001 to 1 weight%.

상기 첨가량이 0.0001 중량% 미만인 경우 필요한 방부효과를 나타낼 수 없는 문제점이 있고, 1 중량%를 초과하는 경우는 연마 성능이 저하되고 폐액 처리가 곤란한 문제점이 있다. If the added amount is less than 0.0001% by weight, there is a problem that can not exhibit the required antiseptic effect, if it exceeds 1% by weight has a problem that the polishing performance is lowered and the waste liquid treatment is difficult.

본 발명에 사용된 안정제는 소디움 브로메이트(sodium bromate, NaBrO3), 마그네슘 클로라이드(magnesium chloride), 마그네슘 나이트레이트(magnesium nitrate) 및 코퍼 나이트레이트 트리하이드레이트(copper nitrate trihydrate), 프로필렌 글리콜(Propylene Glycol)을 사용할 수 있는데, 0.00001~1 중량%로 첨가하는 것이 바람직하다.   Stabilizers used in the present invention include sodium bromate (NaBrO3), magnesium chloride, magnesium nitrate and copper nitrate trihydrate, propylene glycol (Propylene Glycol) Although it can use, it is preferable to add in 0.00001-1 weight%.

상기 첨가량이 0.00001 중량% 미만인 경우 장기적으로 안정적인 방부효과를 볼 수 없는 문제점이 있고, 1 중량%를 초과하는 경우는 산안정성이 저하되는 문제점이 있다. If the added amount is less than 0.00001% by weight, there is a problem in that long-term stable preservation effect is not seen, and if it exceeds 1% by weight, acid stability is lowered.

본 발명은 다른 실시예로 금속산화물, 계면활성제, pH조절제 및 탈이온수를 보유하는 제1용기 및 계면활성제, 당류, pH조절제, 방부제, 안정제 및 탈이온수를 보유하는 제2용기를 포함하는 CMP 슬러리 조성물 제조용 패키지를 제공한다. 상기 패키지는 사용시에 제1용기 및 제2용기의 내용물을 혼합하므로써 슬러리 조성물을 제조할 수 있다.  In another embodiment, the present invention provides a CMP slurry comprising a first container having a metal oxide, a surfactant, a pH adjuster, and deionized water and a second container containing a surfactant, a sugar, a pH adjuster, a preservative, a stabilizer, and deionized water. Provided is a package for preparing the composition. The package can produce a slurry composition by mixing the contents of the first and second containers when in use.

이것은 제1용기 및 제2용기를 미리 혼합한 상태로 둘 경우 시간의 경과에 따른 입자의 분산안정성이 급격히 떨어져, 스크래치 발생, RR(연마속도)변화 등의 문제점이 발생하게 되기 때문이다. 또한, 슬러리 제조 및 사용시 이송라인에 스캐일 등의 문제가 발생할 가능성이 매우 높다. 따라서, 제1용기와 제2용기를 분리하여 유지하다가 사용직전 혼합하여 사용하는 것이다.This is because when the first and second containers are mixed in advance, the dispersion stability of the particles rapidly decreases over time, causing problems such as scratching and RR (polishing speed) change. In addition, there is a high possibility of problems such as scale in the transfer line during slurry production and use. Therefore, the first container and the second container are separated from each other and then mixed and used immediately before use.

이하 실시예를 들어 본 발명을 보다 구체적으로 설명하나 하기 실시예들은 단지 설명을 위한 것으로서 본 발명의 보호 범위를 제한하는 것은 아니다.  Hereinafter, the present invention will be described in more detail with reference to the following examples, but the following examples are merely illustrative and are not intended to limit the protection scope of the present invention.

실시예1Example 1

(1) 슬러리 조성물의 제조(1) Preparation of Slurry Composition

5 중량% 세리아 서스펜젼 300g을 탈이온수 900g과 혼합한 후 교반하여 1차 혼합물을 제조하였다. 상기 1차 혼합물에 하기와 같은 조성비의 용액 900g을 투입한 후 교반하여 연마용 슬러리 조성물 제조를 완성하였다.  300 g of 5 wt% ceria suspension was mixed with 900 g of deionized water, followed by stirring to prepare a primary mixture. 900 g of a solution having the following composition ratio was added to the primary mixture, followed by stirring to complete the preparation of the polishing slurry composition.

[용액의 조성비][The composition ratio of solution]

갈락토즈 0.45gGalactose 0.45g

디에틸렌글리콜 (diethyleneglycol) 0.91gDiethyleneglycol 0.91g

황산 (surfuric acid) 0.91gSulfuric acid 0.91g

5-클로로-2-메틸-4-이소티아졸린-3-온 (5-chloro-2-methyl-4-isothiazolin-3-one)5-chloro-2-methyl-4-isothiazolin-3-one (5-chloro-2-methyl-4-isothiazolin-3-one)

0.03g                                                                      0.03 g

소디움 브로메이트 (sodium bromate, NaBrO3) 0.01gSodium bromate (NaBrO3) 0.01 g

프로필렌 글리콜 (propyleneglycol) 0.23gPropyleneglycol 0.23g

탈이온수 (deionized water) 897.46gDeionized water 897.46g

(2) 연마평가(2) Polishing evaluation

상기 슬러리 조성물로 후술한 방법 및 조건에 따라 연마평가를 실시하였고 결과를 표1에 나타내었다. The slurry composition was subjected to polishing evaluation according to the methods and conditions described below, and the results are shown in Table 1.

도1에서 연마패드로 IC1000/SubaⅣ CMP 패드(Rodel사)를 붙인 정반상(연마테이블 및 연마패드)에, 기판 부착용 멤브레인을 접착한 연마헤드에, TEOS-플라즈마 CVD 법으로 제작한 산화규소막이 형성된 직경 8인치의 실리콘 웨이퍼를 산화규소막을 아래로 하여 장착한 뒤 아래의 연마조건과 같이 세팅하였다.  In Fig. 1, a silicon oxide film produced by the TEOS-plasma CVD method was formed on a polishing head on which a substrate-attaching membrane was attached to a surface plate (polishing table and polishing pad) to which an IC1000 / SubaIV CMP pad (Rodel) was attached as a polishing pad. A silicon wafer of 8 inches in diameter was mounted with the silicon oxide film down and set as the polishing conditions below.

정반상에 슬러리 조성물을 200ml/min으로 1분간 회전시켜 산화규소를 연마하였다. 연마 후 웨이퍼를 연마헤드로부터 떼어내어, 탈이온수, 희석된 불산, 희석된 암모니아수 순으로 세정한 후 스핀 드라이로 물방울을 제거하였다. 이후 n&k-1500 (n&k사)을 이용하여 연마 전후의 막 두께 변화를 측정하고 연마속도를 계산하였다.Silicon oxide was polished by rotating the slurry composition at 200 ml / min for 1 minute on the surface plate. After polishing, the wafer was removed from the polishing head, washed with deionized water, diluted hydrofluoric acid, and diluted ammonia water, followed by spin drying to remove water droplets. Then, using n & k-1500 (n & k), the thickness change before and after polishing was measured and the polishing rate was calculated.

저압 CVD 법으로 제작한 질화규소막을 산화규소와 동일한 조건으로 연마하고, 연마 전후의 막 두께 변화를 측정하고 연마속도를 계산하였다.  The silicon nitride film produced by the low pressure CVD method was polished under the same conditions as silicon oxide, the thickness change before and after polishing was measured and the polishing rate was calculated.

절연막 표면에서의 스크래치를 Surfscan-6420 (KLA-Tencor사)로 상세히 관찰하였다.  Scratch on the surface of the insulating film was observed in detail with Surfscan-6420 (KLA-Tencor).

또한, 배선의 폭을 200A, 배선간의 폭을 1000A의 간격으로 형성시킨 STI 패턴을 연마한 후, 배선간에 채워진 실리콘 옥사이드가 오목하게 연마된 중간점의 높이에 대해 배선상부인 실리콘 나이트라이드 상부면과의 높이 차이인 디싱량을 구하여 평탄성을 평가하였다. 도6에서 옵티컬 막두께 측정장비 Opti-Probe 2600 (Therma Wave사)을 사용하여 연마 전 두께 A를 측정한 후, 연마 후 두께 B를 측정하여 이의 차이로부터 디싱량을 결정하였다.  After polishing the STI pattern in which the width of the wiring is 200 A and the width between the wirings is 1000 A, the upper surface of the silicon nitride, which is the upper part of the wiring, is formed with respect to the height of the intermediate point where the silicon oxide filled between the wirings is concavely polished. The flatness was evaluated by calculating the amount of dishing, which is the difference in height of. In Fig. 6, the optical film thickness measuring device Opti-Probe 2600 (Therma Wave Co., Ltd.) was used to measure thickness A before polishing, and then measured thickness B after polishing to determine the dishing amount from the difference.

[연마기 및 측정기기][Polishing machine and measuring equipment]

연마기 : UNIPLA-211 & UNICLEAN (쎄미콘테크사) Polishing Machine: UNIPLA-211 & UNICLEAN (Semicon Tech)

연마패드 : IC1000/SubaⅣ Stacked (Rodel사) Polishing Pad: IC1000 / SubaⅣ Stacked (Rodel)

웨이퍼 : PE-TEOS 8" 블랭킷 웨이퍼 (15000Å) Wafer: PE-TEOS 8 "Blanket Wafer (15000Å)

두께 측정기 : n&k-1500 (n&k사) Thickness gauge: n & k-1500 (n & k)

Defect 측정기 : Surfscan-6420 (KLA-Tencor사) Defect Tester: Surfscan-6420 (KLA-Tencor)

디싱량 측정기 : Opti-Probe 2600 (Therma Wave사)  Dishing meter: Opti-Probe 2600 (Therma Wave)

[연마조건][Polishing condition]

스핀들(Spindle) 회전수 : 70 rpmSpindle Speed: 70 rpm

플레이튼(Platen) 회전수 : 24 rpmPlaten RPM: 24 rpm

웨이퍼(Wafer) 압력 : 3.5 psiWafer Pressure: 3.5 psi

리테이너 링(Retainer Ring) 압력 : 8.0 psiRetainer Ring Pressure: 8.0 psi

컨디셔너 링(Conditioner Ring) 압력 : 4.0 psiConditioner Ring Pressure: 4.0 psi

다이렉트 라인(Direct Line) 압력 : 3.5 psiDirect Line Pressure: 3.5 psi

홀더(Holder) 압력 : 0.0 psiHolder pressure: 0.0 psi

홀더갭(Holder Gap) 간격 : 6.7 mmHolder gap spacing: 6.7 mm

슬러리 조성물 유량 : 200 ml/minSlurry Composition Flow Rate: 200 ml / min

온도 : 25℃Temperature: 25 ℃

실시예2Example 2

1차 혼합물에 투입되는 용액 중의 당류로 갈락토즈 대신 아라비노즈를 사용하는 것을 제외하고, 실시예1과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시하였다.A slurry composition was prepared under the same conditions and methods as in Example 1 except that arabinose was used instead of galactose as the sugar in the solution added to the primary mixture, and polishing evaluation was performed.

실시예3Example 3

1차 혼합물에 투입되는 용액 중의 당류로 갈락토즈 대신 리보오즈를 사용하는 것을 제외하고, 실시예1과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시하였다.A slurry composition was prepared under the same conditions and methods as in Example 1 except that ribose was used instead of galactose as the sugar in the solution added to the primary mixture, and polishing evaluation was performed.

실시예4Example 4

1차 혼합물에 투입되는 용액 중의 당류로 갈락토즈 대신 자이로오즈를 사용 하는 것을 제외하고, 실시예1과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시하였다.A slurry composition was prepared under the same conditions and methods as in Example 1 except that gyroose was used instead of galactose as a sugar in the solution added to the primary mixture, and polishing evaluation was performed.

실시예5Example 5

1차 혼합물에 투입되는 용액 중의 당류로 갈락토즈 대신 말티톨을 사용하는 것을 제외하고, 실시예1과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시하였다.A slurry composition was prepared under the same conditions and methods as in Example 1 except that maltitol was used instead of galactose as the sugar in the solution added to the primary mixture, and polishing evaluation was performed.

실시예6Example 6

1차 혼합물에 투입되는 용액 중의 당류로 갈락토즈 대신 락토즈를 사용하는 것을 제외하고, 실시예1과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시하였다.A slurry composition was prepared under the same conditions and methods as in Example 1 except that lactose was used instead of galactose as the sugar in the solution added to the primary mixture, and polishing evaluation was performed.

실시예7Example 7

1차 혼합물에 투입되는 용액 중의 당류로 갈락토즈 대신 말토즈를 사용하고, 황산의 첨가량을 1.29g 사용하는 것을 제외하고, 실시예1과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시하였다.A slurry composition was prepared under the same conditions and methods as in Example 1 except that maltose was used instead of galactose as the sugar in the solution added to the primary mixture, and 1.29 g of sulfuric acid was added. It was.

실시예8Example 8

1차 혼합물에 투입되는 용액 중의 당류로 갈락토즈 대신 말토즈를 사용하고, 황산 대신 1중량%의 KOH를 0.12g 사용하는 것을 제외하고, 실시예1과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시하였다.A slurry composition was prepared in the same conditions and methods as in Example 1, except that maltose was used instead of galactose as the sugar in the solution added to the primary mixture, and 0.12 g of 1 wt% KOH was used instead of sulfuric acid. Polishing evaluation was performed.

실시예9Example 9

1차 혼합물에 투입되는 용액 중의 당류로 갈락토즈 대신 말토즈를 사용하고, 황산 대신 1중량%의 KOH를 0.52g 사용하는 것을 제외하고, 실시예1과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시하였다.A slurry composition was prepared under the same conditions and methods as in Example 1, except that maltose was used instead of galactose as the sugar in the solution added to the primary mixture, and 0.52 g of 1 wt% KOH was used instead of sulfuric acid. Polishing evaluation was performed.

실시예10Example 10

1차 혼합물에 투입되는 용액 중의 당류로 갈락토즈 대신 풀루란을 사용하는 것을 제외하고, 실시예1과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시하였다.A slurry composition was prepared under the same conditions and methods as in Example 1 except that pullulan was used instead of galactose as the sugar in the solution added to the primary mixture, and polishing evaluation was performed.

비교예1Comparative Example 1

1차 혼합물에 투입되는 용액 중의 당류 대신 DIW(Deionized Water, 탈이온수)를 사용하는 것을 제외하고, 실시예1과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시하였다.A slurry composition was prepared under the same conditions and methods as in Example 1 except that DIW (Deionized Water) was used instead of sugars in the solution added to the primary mixture, and polishing evaluation was performed.

비교예2Comparative Example 2

1차 혼합물에 투입되는 용액 중의 당류 대신 Darvan C(암모늄 폴리메타아크릴레이트 수용액)를 1g 사용하는 것을 제외하고, 실시예1과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시하였다.A slurry composition was prepared under the same conditions and methods as in Example 1 except that 1 g of Darvan C (aqueous ammonium polymethacrylate aqueous solution) was used instead of the sugar in the solution added to the primary mixture, and polishing evaluation was performed.

비교예3Comparative Example 3

1차 혼합물에 투입되는 용액 중의 당류 대신 HPC(히드록시프로필셀룰로오스)를 사용하는 것을 제외하고, 실시예1과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시하였다.A slurry composition was prepared under the same conditions and methods as in Example 1 except that HPC (hydroxypropyl cellulose) was used instead of sugar in the solution added to the primary mixture, and polishing evaluation was performed.

비교예4Comparative Example 4

1차 혼합물에 투입되는 용액 중의 당류 대신 콜로이달 실리카를 15g 사용하 는 것을 제외하고, 실시예1과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시하였다.A slurry composition was prepared under the same conditions and methods as in Example 1 except that 15 g of colloidal silica was used instead of the sugar in the solution added to the primary mixture, and polishing evaluation was performed.

물질명Substance pHpH 첨가량 (g)Amount (g) SiO2 연마속도 (Å/min)SiO2 polishing rate (Å / min) SiN 연마속도 (Å/min)SiN Polishing Speed (Å / min) 선택비Selectivity 스크래치 (EA, 0.2∼5㎛)Scratch (EA, 0.2 ~ 5㎛) 디싱량 (Å)Dishing amount 기포 발생Bubble outbreak 실시예 1Example 1 갈락토즈Galactose 6.06.0 0.450.45 62716271 7171 88.988.9 1515 8080 없음none 실시예 2Example 2 아라비노즈Arabinose 6.06.0 0.450.45 61836183 7979 78.378.3 2727 5050 없음none 실시예 3Example 3 리보오즈Ribose 6.06.0 0.450.45 66876687 133133 50.450.4 2323 110110 없음none 실시예 4Example 4 자이로오즈Gyro 6.06.0 0.450.45 62776277 8686 72.672.6 3535 9090 없음none 실시예 5Example 5 말티톨Maltitol 6.06.0 0.450.45 65296529 6464 102.3102.3 5151 6060 없음none 실시예 6Example 6 락토즈Lactose 6.06.0 0.450.45 54255425 180180 30.230.2 4444 110110 없음none 실시예 7Example 7 말토즈Maltose 4.04.0 0.450.45 58665866 106106 55.155.1 2626 7070 없음none 실시예 8Example 8 말토즈Maltose 7.07.0 0.450.45 64206420 124124 51.751.7 2121 120120 없음none 실시예 9Example 9 말토즈Maltose 10.010.0 0.450.45 52315231 8484 62.362.3 1818 9090 없음none 실시예 10Example 10 풀루란Pullulan 6.06.0 0.450.45 51705170 3737 139.7139.7 3636 7070 없음none 비교예 1Comparative Example 1 DIWDIW 6.06.0 0.450.45 57325732 15411541 3.73.7 3131 900900 없음none 비교예 2Comparative Example 2 Darvan CDarvan c 6.06.0 1.001.00 33183318 8888 37.737.7 7272 250250 없음none 비교예 3Comparative Example 3 HPCHPC 6.06.0 0.450.45 55725572 109109 51.051.0 5353 300300 발생Occur 비교예 4Comparative Example 4 콜로이달 실리카Colloidal silica 6.06.0 1515 87168716 12341234 7.17.1 203203 740740 없음none

막 두께 측정결과로부터, TEOS-플라즈마 CVD 법으로 제작한 산화규소막과 저압 CVD법으로 제작한 질화규소막이 웨이퍼 전면에 걸쳐 균일한 두께로 되어 있는 것을 알수 있었다.From the film thickness measurement results, it was found that the silicon oxide film produced by the TEOS-plasma CVD method and the silicon nitride film produced by the low pressure CVD method had a uniform thickness over the entire wafer surface.

상기표로부터 당류를 첨가했을 때 연마 슬러리 조성물의 선택비가 높고, 스크래치는 적게 발생하며, 디싱량에 있어서도 현저한 감소를 보임을 알 수 있다. 또한, 연마 후 웨이퍼 표면에서의 기포 발생도 없었다.It can be seen from the above table that the addition of sugars results in a high selectivity of the polishing slurry composition, less scratches, and a significant decrease in dishing amount. Also, no bubbles were generated on the wafer surface after polishing.

실시예11Example 11

(1) 슬러리 조성물의 제조(1) Preparation of Slurry Composition

5 중량% 세리아 서스펜젼 300g을 탈이온수 900g과 혼합한 후 교반하여 1차 혼합물을 제조하였다. 상기 1차 혼합물에 하기 조성비를 가진 용액 900g을 투입한 후 교반하여 슬러리 조성물 제조를 완성하였다.  300 g of 5 wt% ceria suspension was mixed with 900 g of deionized water, followed by stirring to prepare a primary mixture. 900 g of the solution having the following composition ratio was added to the first mixture, followed by stirring to complete the preparation of the slurry composition.

[용액의 조성비][The composition ratio of solution]

말토즈 (saccharides) 0.45gMaltose (saccharides) 0.45g

디에틸렌글리콜 (diethyleneglycol) 0.91gDiethyleneglycol 0.91g

황산 (surfuric acid) 0.91gSulfuric acid 0.91g

1,2-벤즈이소티아졸린-3-온(1,2-benzisothiazolin-3-one, BI) 0.03g1,2-benzisothiazolin-3-one (1,2-benzisothiazolin-3-one, BI) 0.03 g

마그네슘 클로라이드(magnesium chloride, MC) 0.01g0.01 g magnesium chloride (MC)

프로필렌 글리콜 (propyleneglycol) 0.23gPropyleneglycol 0.23g

탈이온수 (deionized water) 897.46gDeionized water 897.46g

(2) 연마평가(2) Polishing evaluation

상기 슬러리 조성물을 상온에서 6개월 방치한 뒤, 실시예1과 동일한 조건 및 방법에 따라 연마평가를 실시하였고 결과를 표2에 나타내었다.  After leaving the slurry composition at room temperature for 6 months, polishing evaluation was performed according to the same conditions and methods as in Example 1, and the results are shown in Table 2.

균수는 배양지 위에 슬러리 2ml를 골고루 펴 바른 것을 데시케이터에서 30℃, 3일 동안 방치한 후 발생한 반응포인트의 개수를 센 것이다. The number of bacteria is counting the number of reaction points generated after leaving 2 ml of the slurry evenly on the culture paper and leaving it at 30 ° C. for 3 days in a desiccator.

실시예12Example 12

1차 혼합물에 투입되는 용액 중의 방부제로 BI 대신 5-클로로-2-메틸-4-이소티아졸린-3-온(5-chloro-2-methyl-4-isothiazolin-3-one, CMI)을, 안정제로 MC 대신 마그네슘 나이트레이트(magnesium nitrate, MN)을 사용하는 것을 제외하고, 실 시예11과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시하였다.5-chloro-2-methyl-4-isothiazolin-3-one (CMI) was used instead of BI as a preservative in the solution added to the primary mixture. A slurry composition was prepared under the same conditions and methods as in Example 11, except that magnesium nitrate (MN) was used instead of MC as a stabilizer, and polishing evaluation was performed.

실시예13Example 13

1차 혼합물에 투입되는 용액 중의 방부제로 BI 대신 2-메틸-4-이소티아졸린-3-온( 2-methyl-4-isothiazolin-3-one, MI)을, 안정제로 MC 대신 코퍼 나이트레이트 트리하이드레이트(copper nitrate trihydrate, CNT)를 사용하는 것을 제외하고, 실시예11과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시하였다.2-methyl-4-isothiazolin-3-one (MI) instead of BI as preservative in the solution added to the primary mixture and copper nitrate tree instead of MC as stabilizer A slurry composition was prepared under the same conditions and methods as in Example 11 except that hydrate (copper nitrate trihydrate (CNT)) was used, and polishing evaluation was performed.

비교예5Comparative Example 5

1차 혼합물에 투입되는 용액에서 방부제로 하이드록시 퍼록사이드(hydroxy peroxide, HP)를 사용하고, 안정제는 사용하지 않는 것을 제외하고, 실시예11과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시하였다.A slurry composition was prepared under the same conditions and methods as in Example 11, except that hydroxy peroxide (HP) was used as a preservative and a stabilizer was not used in the solution added to the primary mixture. Was carried out.

비교예6Comparative Example 6

1차 혼합물에 투입되는 용액에서 방부제로 테트라메틸암모늄클로라이드(tetramethylammoniumchloride, TMACl)를 사용하고, 안정제는 사용하지 않는 것을 제외하고, 실시예11과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시하였다.Tetramethylammonium chloride (TMACl) was used as a preservative in the solution added to the primary mixture, and a slurry composition was prepared under the same conditions and methods as in Example 11, except that no stabilizer was used. Was carried out.

비교예7Comparative Example 7

1차 혼합물에 투입되는 용액에서 안정제 MC를 사용하지 않는 것을 제외하고, 실시예11과 동일한 조건 및 방법으로 슬러리 조성물을 제조하고, 연마평가를 실시 하였다.A slurry composition was prepared under the same conditions and methods as in Example 11 except that the stabilizer MC was not used in the solution added to the primary mixture, and polishing evaluation was performed.

방부제antiseptic 안정제stabilizator pHpH SiO2연마속도 (Å/min)SiO 2 polishing rate (Å / min) 스크래치 (EA, 0.2∼5㎛)Scratch (EA, 0.2 ~ 5㎛) 균수 (EA)Number of bacteria (EA) 실시예11Example 11 BIBI MCMC 6.06.0 62106210 00 00 실시예12Example 12 CMICMI MNMN 6.06.0 60016001 00 00 실시예13Example 13 MIMI CNTCNT 6.06.0 61556155 00 00 비교예5Comparative Example 5 HPHP ×× 6.06.0 63226322 1515 220220 비교예6Comparative Example 6 TMAClTMACl ×× 6.06.0 62486248 66 5353 비교예7Comparative Example 7 BIBI ×× 6.06.0 59225922 1111 6464

상기표로부터 슬러리 조성물을 6개월간 방치한 후 웨이퍼 연마에 사용한 경우, 본 발명에서 예시된 방부제를 포함하지 않거나, 안정제를 포함하지 않은 슬러리 조성물은 본 발명에서 제시된 방부제 및 안정제를 포함하는 슬러리 조성물과 비교하여 SiO2 연마속도에는 큰 변화가 없었으나, 스크래치는 증가하게 됨을 알 수 있었다.When the slurry composition was used for polishing the wafer after leaving the slurry composition for 6 months from the above table, the slurry composition containing no preservatives or stabilizers exemplified in the present invention was compared with the slurry composition containing the preservatives and stabilizers set forth in the present invention. As a result, there was no significant change in the SiO 2 polishing rate, but the scratch was increased.

또한, 균수를 비교해 보더라도 본 발명의 방부제와 안정제를 포함하는 슬러리 조성물은 매우 안정한 상태를 유지함을 확인할 수 있었다.In addition, even when comparing the number of bacteria it was confirmed that the slurry composition containing the preservative and stabilizer of the present invention maintains a very stable state.

본 발명의 CMP 슬러리 조성물은 STI CMP 공정에서 반도체 디바이스의 표면으로부터 실리콘 나이트라이드에 우선하여 실리콘 옥사이드를 선택적으로 제거하는데 효과적이다. 또한, 연마 후 발생하는 스크래치가 적어 연마품질을 우수하게 관리할 수 있고, 디싱 등의 현상이 억제되며, 넓은 pH 영역에서 효과적일 뿐 아니라, 저장안정성 면에서도 우수하다.The CMP slurry composition of the present invention is effective in selectively removing silicon oxide over silicon nitride from the surface of a semiconductor device in an STI CMP process. In addition, since the scratches generated after polishing are less, the polishing quality can be managed well, the phenomenon such as dishing is suppressed, and it is effective not only in a wide pH range but also in terms of storage stability.

Claims (5)

탈이온수를 용매로 하며, 금속산화물 0.1~20중량%, 계면활성제 0.01~3중량%, 당류 0.001~5중량%, pH 조절제 0.001~5중량%, 방부제 0.0001~1중량% 및 안정제 0.00001~1중량% 포함하며, 상기 당류로는 갈락토즈(Galactose), 아라비노즈(Arabinose), 리보오즈(Ribose), 자이로오즈(Xylose), 말티톨(Maltitol), 락토즈(Lactose), 말토즈(Maltose), 풀루란(Pullulan)으로 이루어진 군중에서 선택된 1종 이상을 포함하는 것을 특징으로 하는 CMP 슬러리 조성물.Deionized water is used as a solvent, metal oxides 0.1 to 20% by weight, surfactants 0.01 to 3% by weight, sugars 0.001 to 5% by weight, pH regulators 0.001 to 5% by weight, preservatives 0.0001 to 1% by weight and stabilizers 0.00001 to 1% by weight %, The sugars include galactose, arabinose, arabinose, ribose, gyroose, maltitol, lactose, maltose, maltose CMP slurry composition, characterized in that it comprises one or more selected from the group consisting of (Pullulan). 제 1항에 있어서, 상기 금속산화물은 발연법 또는 졸-겔(Sol-Gel)법으로 제조된 실리카(SiO2), 알루미나(Al2O3), 세리아(CeO2), 지르코니아(ZrO2), 및 티타니아(TiO2)로 구성된 군으로부터 선택되는 것을 특징으로 하는 CMP 슬러리 조성물.The method of claim 1, wherein the metal oxide is silica (SiO 2 ), alumina (Al2O3), ceria (CeO2), zirconia (ZrO2), and titania (TiO2) prepared by the fume method or the Sol-Gel method. CMP slurry composition, characterized in that it is selected from the group consisting of. 제 1항에 있어서, 상기 계면활성제는 카르복실산(carboxylic acid)과 그의 염, 설퍼릭 에스터(sulfuric ester)와 그의 염, 설포닉산(sulfonic acid)과 그의 염, 및 포스포릭 에스터(phosphoric ester)와 그의 염으로 이루어진 군에서 선택된 음이온성 계면활성제; The method of claim 1, wherein the surfactant is a carboxylic acid and salts thereof, sulfuric esters and salts thereof, sulfonic acid and salts thereof, and phosphoric esters. Anionic surfactants selected from the group consisting of; 제 1급 아민(primary amine)과 그의 염, 제 2급 아민(secondary amine) 과 그의 염, 제 3급 아민(tertiary amine)과 그의 염 및 제 4급 아민(quarternary amine)과 그의 염으로 이루어진 군에서 선택된 양이온성 계면활성제; 또는 Group consisting of primary amines and salts thereof, secondary amines and salts thereof, tertiary amines and salts thereof, and quaternary amines and salts thereof Cationic surfactants selected from; or 폴리에틸렌글리콜(polyethyleneglycol)형 계면활성제 및 폴리히드록시 알콜(polyhydroxy alcohol)형 계면활성제로 이루어진 군에서 선택된 비이온성 계면 활성제인 것을 특징으로 하는 CMP 슬러리 조성물.CMP slurry composition, characterized in that the non-ionic surfactant selected from the group consisting of polyethylene glycol (polyethyleneglycol) type surfactant and polyhydroxy alcohol type surfactant. 제 1항에 있어서, 상기 pH 조절제는 황산, 염산, 질산, 아세트산, 수산화 나트륨, 수산화 칼륨, 수산화 암모늄 및 염기성 아민으로 구성된 군으로부터 선택된 1종 이상인 것이며; The method of claim 1, wherein the pH adjusting agent is one or more selected from the group consisting of sulfuric acid, hydrochloric acid, nitric acid, acetic acid, sodium hydroxide, potassium hydroxide, ammonium hydroxide and basic amine; 상기 방부제는 트리스(히드록시메틸)니트로메탄 (tris(hydroxymethyl)nitromethane), 헥사하이드로-1,3,5-트리스(히드록시에틸)-S-트리아진(hexahydro-1,3,5-tris(hydroxyethyl)-S-triazine), 헥사하이드로-1,3,5-트리에틸-S-트리아진(hexahydro-1,3,5-triethyl-S-triazine), 1-(3-클로로알릴)-3,4,7-트리아자-1-아조니아아다만탄클로라이드(1-(3-chloroallyl)-3,4,7-triaza-1-azoniaadamantane chloride), 4-(2-니트로부틸)-몰폴린(4-(2-nitrobutyl)-morpholine), 4,4-(2-에틸-2-니트로트리메틸렌)-디몰폴린(4,4-(2-ethyl-2-nitrotrimethylene) -dimorpholine), 소디움-2-피리딘티올-1-옥사이드(sodium-2-pyridinethiol-1-oxide), 1,2-벤즈이소티아졸린-3-온(1,2-benzisothiazolin-3-one), 5-클로로-2-메틸-이소티아졸린-3-온(5-chloro-2-methyl-4-isothiazolin-3-one), 2-메틸-4-이소티아졸린-3-온(2-methyl-4-isothiazolin-3-one), 5-클로로-2-페테틸-3-이소티아졸린(5-chloro-2-penetyl-3-isothiazolin), 4-브로모- 2-n-도데실-3-이소티아졸린(4-bromo-2-n-dodecyl-3-isothiazolin), 4,5-디클로로-2-n-옥틸-3-이소티아졸린(4,5-dichloro-2-n-octyl-3-isothiazolin), 4-메틸-5-클로로-2-(4'-클로로벤질)-3-이소티아졸린(4-methyl-5-chloro-2-(4'-chlorobenzil)-3-isothiazolin), 4,5-디클로로-2-(4'-클로로벤질)-3-이소티아졸린(4,5-dichloro -2-(4'-chlorophenyl) -3-isothiazolin), 4,5-디클로로-2-(4'-클로로페닐)-3-이소티아졸린(4,5-dichloro-2-(4'-chlorophenyl) -3-isothiazolin), 4,5-디클로로-2-(2'-메톡시-3'-클로로페닐)-3-이소티아졸린(4,5-dichloro-2- (2'-methoxy-3'-chlorophenyl)-3-isothiazolin), 4,5-디브로모-2-(4'-클로로벤질)-3-이소티아졸린 (4,5-dibromo-2- (4'-chlorobenzil) -3- isothiazolin), 4-메틸-5-클로로-2-(4'-히드록시페닐)-3-이소티아졸린(4-methyl-5-chloro-2-(4'-hydroxyphenyl)-3- isothiazolin), 5-디클로로-2-n-헥실-3-이소티아졸린(4,5-dichloro-2-n-hexyl- 3-isothiazolin), 5-클로로-2-(3',4'-디클로로페닐)-3-이소티아졸린(5-chloro-2- (3',4'-dichlorophenyl) -3-isothiazolin), 6-아세톡시-2,4-디메틸-디옥산(6-acetoxy-2,4-dimethyl-dioxane), 2,2-디브로모-3-니트릴로프로피온(2,2-dibromo-3-nitrilopropion) 및 요오드(I2)로 구성된 군으로부터 선택된 1종 이상인 것이고; The preservative includes tris (hydroxymethyl) nitromethane, hexahydro-1,3,5-tris (hydroxyethyl) -S-triazine (hexahydro-1,3,5-tris ( hydroxyethyl) -S-triazine), hexahydro-1,3,5-triethyl-S-triazine, hexahydro-1,3,5-triethyl-S-triazine, 1- (3-chloroallyl) -3 , 4,7-triaza-1-azoniaadamantane chloride (1- (3-chloroallyl) -3,4,7-triaza-1-azoniaadamantane chloride), 4- (2-nitrobutyl) -morpholine (4- (2-nitrobutyl) -morpholine), 4,4- (2-ethyl-2-nitrotrimethylene) -dimorpholine (4,4- (2-ethyl-2-nitrotrimethylene) -dimorpholine), sodium- 2-pyridinethiol-1-oxide, 1,2-benzisothiazolin-3-one, 5-chloro-2- Methyl-isothiazolin-3-one (5-chloro-2-methyl-4-isothiazolin-3-one), 2-methyl-4-isothiazolin-3-one (2-methyl-4-isothiazolin-3 -one), 5-chloro-2-petetyl-3-isothiazolin, 5-chloro-2-penetyl-3-isothiazolin, 4- Lomo-2-n-dodecyl-3-isothiazoline (4-bromo-2-n-dodecyl-3-isothiazolin), 4,5-dichloro-2-n-octyl-3-isothiazoline (4, 5-dichloro-2-n-octyl-3-isothiazolin), 4-methyl-5-chloro-2- (4'-chlorobenzyl) -3-isothiazoline (4-methyl-5-chloro-2- ( 4'-chlorobenzil) -3-isothiazolin), 4,5-dichloro-2- (4'-chlorobenzyl) -3-isothiazoline (4,5-dichloro-2- (4'-chlorophenyl) -3- isothiazolin), 4,5-dichloro-2- (4'-chlorophenyl) -3-isothiazoline (4,5-dichloro-2- (4'-chlorophenyl) -3-isothiazolin), 4,5-dichloro -2- (2'-methoxy-3'-chlorophenyl) -3-isothiazoline (4,5-dichloro-2- (2'-methoxy-3'-chlorophenyl) -3-isothiazolin), 4, 5-dibromo-2- (4'-chlorobenzyl) -3-isothiazoline (4,5-dibromo-2- (4'-chlorobenzil) -3- isothiazolin), 4-methyl-5-chloro- 2- (4'-hydroxyphenyl) -3-isothiazoline (4-methyl-5-chloro-2- (4'-hydroxyphenyl) -3- isothiazolin), 5-dichloro-2-n-hexyl-3 Isothiazoline (4,5-dichloro-2-n-hexyl-3-isothiazolin), 5-chloro-2- (3 ', 4'- 5-chloro-2- (3 ', 4'-dichlorophenyl) -3-isothiazolin), 6-acetoxy-2,4-dimethyl-dioxane (6-acetoxy-2 , 4-dimethyl-dioxane), 2,2-dibromo-3-nitrilopropion (2,2-dibromo-3-nitrilopropion) and iodine (I 2 ); 상기 안정제는 소디움 브로메이트(sodium bromate, NaBrO3), 마그네슘 클로라이드(magnesium chloride), 마그네슘 나이트레이트(magnesium nitrate) 및 코퍼 나이트레이트 트리하이드레이트(copper nitrate trihydrate), 프로필렌 글리콜(Propylene Glycol)로 이루어지는 군으로부터 선택된 1종 이상인 것을 특징으로 하는 CMP 슬러리 조성물.The stabilizer is selected from the group consisting of sodium bromate (NaBrO 3 ), magnesium chloride, magnesium nitrate and copper nitrate trihydrate, propylene glycol CMP slurry composition, characterized in that at least one selected. 삭제delete
KR1020040048390A 2004-06-25 2004-06-25 High Selectivity CMP slurry for STI Process in Semiconductor manufacture KR100637772B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020040048390A KR100637772B1 (en) 2004-06-25 2004-06-25 High Selectivity CMP slurry for STI Process in Semiconductor manufacture
PCT/KR2004/002318 WO2006001558A1 (en) 2004-06-25 2004-09-13 High selectivity cmp slurry composition for sti process in semiconductor manufacture
TW093128562A TWI329668B (en) 2004-06-25 2004-09-21 High selectivity cmp slurry composition for sti process in semiconductor manufacture

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040048390A KR100637772B1 (en) 2004-06-25 2004-06-25 High Selectivity CMP slurry for STI Process in Semiconductor manufacture

Publications (2)

Publication Number Publication Date
KR20050122754A KR20050122754A (en) 2005-12-29
KR100637772B1 true KR100637772B1 (en) 2006-10-23

Family

ID=35781949

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040048390A KR100637772B1 (en) 2004-06-25 2004-06-25 High Selectivity CMP slurry for STI Process in Semiconductor manufacture

Country Status (3)

Country Link
KR (1) KR100637772B1 (en)
TW (1) TWI329668B (en)
WO (1) WO2006001558A1 (en)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100731112B1 (en) * 2006-07-24 2007-06-22 동부일렉트로닉스 주식회사 Cmp slurry for removing photoresist
KR100814416B1 (en) 2006-09-28 2008-03-18 삼성전자주식회사 High planarity slurry composition and method of chemical mechanical polishing using the same
KR100829594B1 (en) 2006-10-10 2008-05-14 삼성전자주식회사 Slurry composition for chemical mechanical polishing and method of manufacturing a semiconductor memory device using the same
KR100930171B1 (en) 2006-12-05 2009-12-07 삼성전기주식회사 White light emitting device and white light source module using same
KR101481573B1 (en) 2008-02-12 2015-01-14 삼성전자주식회사 Slurry composition for chemical mechanical polishing and process of chemical mechanical polishing
KR101094662B1 (en) * 2008-07-24 2011-12-20 솔브레인 주식회사 Chemical mechanical polishing composition including a stopping agent of poly-silicon polishing
CN103210047B (en) * 2010-09-08 2018-07-17 巴斯夫欧洲公司 The diazene * dioxide of the substitution containing N and/or the aqueous polishing composition of N '-hydroxyls-diazene * oxide salts
US20130200038A1 (en) * 2010-09-08 2013-08-08 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates for electrical, mechanical and optical devices
CN102952466A (en) * 2011-08-24 2013-03-06 安集微电子(上海)有限公司 Chemical-mechanical polishing liquid
JP6375623B2 (en) * 2014-01-07 2018-08-22 日立化成株式会社 Abrasive, abrasive set, and substrate polishing method
CN105934487B (en) * 2014-01-31 2018-10-26 巴斯夫欧洲公司 Include chemically mechanical polishing (CMP) composition of polyaminoacid
JP7045171B2 (en) * 2017-11-28 2022-03-31 花王株式会社 Abrasive liquid composition
KR102543679B1 (en) * 2017-11-30 2023-06-16 솔브레인 주식회사 Chemical-mechanical polishing slurry composition and method for manufacturing semiconductor by using the same
US11072726B2 (en) 2018-06-29 2021-07-27 Versum Materials Us, Llc Low oxide trench dishing chemical mechanical polishing
US11078417B2 (en) * 2018-06-29 2021-08-03 Versum Materials Us, Llc Low oxide trench dishing chemical mechanical polishing
US11549034B2 (en) * 2018-08-09 2023-01-10 Versum Materials Us, Llc Oxide chemical mechanical planarization (CMP) polishing compositions
US11180678B2 (en) 2018-10-31 2021-11-23 Versum Materials Us, Llc Suppressing SiN removal rates and reducing oxide trench dishing for Shallow Trench Isolation (STI) process
US11326076B2 (en) * 2019-01-25 2022-05-10 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with low abrasive concentration and a combination of chemical additives
US11608451B2 (en) * 2019-01-30 2023-03-21 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with tunable silicon oxide and silicon nitride removal rates
CN114929822A (en) * 2019-12-04 2022-08-19 弗萨姆材料美国有限责任公司 High oxide film removal rate Shallow Trench Isolation (STI) Chemical Mechanical Planarization (CMP) polishing
KR20230017304A (en) * 2020-05-29 2023-02-03 버슘머트리얼즈 유에스, 엘엘씨 Low dishing oxide CMP polishing composition for shallow trench isolation applications and method of making the same
KR20240062240A (en) * 2022-10-28 2024-05-09 솔브레인 주식회사 Chemical-mechanical polishing slurry composition and method for manufacturing semiconductor by using the same

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000109802A (en) * 1998-10-08 2000-04-18 Hitachi Chem Co Ltd Polishing agent for cmp and polishing of substrate
JP4866503B2 (en) * 1998-12-28 2012-02-01 日立化成工業株式会社 Metal polishing liquid material and metal polishing liquid
CN100336179C (en) * 2002-04-30 2007-09-05 日立化成工业株式会社 Polishing fluid and polishing method
TWI307712B (en) * 2002-08-28 2009-03-21 Kao Corp Polishing composition
US20040065021A1 (en) * 2002-10-04 2004-04-08 Yasuhiro Yoneda Polishing composition

Also Published As

Publication number Publication date
TW200600569A (en) 2006-01-01
KR20050122754A (en) 2005-12-29
TWI329668B (en) 2010-09-01
WO2006001558A1 (en) 2006-01-05

Similar Documents

Publication Publication Date Title
KR100637772B1 (en) High Selectivity CMP slurry for STI Process in Semiconductor manufacture
TWI516582B (en) Mixed abrasive polishing compositions
KR102240249B1 (en) Composite abrasive particles for chemical mechanical planarization composition and method of using same
TWI244496B (en) Prevention of precipitation defects on copper interconnects during CMP by use of solutions containing organic compounds with silica adsorption and copper corrosion inhibiting properties
RU2588620C2 (en) Aqueous polishing composition and method for chemical-mechanical polishing of substrates, containing film based on silicon oxide dielectric and based on polycrystalline silicon
US6616514B1 (en) High selectivity CMP slurry
KR101419156B1 (en) Polishing liquid for cmp and polishing method using the same
JP6434501B2 (en) CMP composition having high removal rate and low defects selective to oxides and nitrides
TWI613284B (en) Honing fluid and honing method for CMP
KR101603361B1 (en) Chemical-mechanical polishing compositions and methods of making and using the same
KR100714246B1 (en) Cmp abrasive and substrate polishing method
CN104284960A (en) CMP compositions selective for oxide and nitride with high removal rate and low defectivity
TW201839077A (en) Self-stopping polishing composition and method for bulk oxide planarization
JP2018532828A (en) Method and composition for processing a dielectric substrate
EP3584298B1 (en) Polishing method using a polishing composition
US7708900B2 (en) Chemical mechanical polishing slurry compositions, methods of preparing the same and methods of using the same
JP2018504770A (en) CMP composition showing reduced dishing in polishing STI wafers
US20120214307A1 (en) Chemical-mechanical polishing liquid, and semiconductor substrate manufacturing method and polishing method using said polishing liquid
US8512593B2 (en) Chemical mechanical polishing slurry compositions, methods of preparing the same and methods of using the same
EP2092034B1 (en) Chemical mechanical polishing slurry compositions, methods of preparing the same and methods of using the same
KR20180091936A (en) Method of polishing low-k substrate
JP7041135B2 (en) Oxide and nitride selective CMP compositions with improved dishing and pattern selectivity
CN103831706A (en) Chemico-mechanical polishing technology
JP2017526160A (en) CMP composition selective to oxide over polysilicon and nitride with high removal rate and low defectivity
KR100684877B1 (en) Slurry compositions and favrication method of semiconductor device including chemical mechanical polishing process using the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120924

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130913

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140917

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150918

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160922

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170920

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180917

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20191001

Year of fee payment: 14