KR100611008B1 - Wafer cleaning method in the semiconductor processing - Google Patents

Wafer cleaning method in the semiconductor processing Download PDF

Info

Publication number
KR100611008B1
KR100611008B1 KR1020050099562A KR20050099562A KR100611008B1 KR 100611008 B1 KR100611008 B1 KR 100611008B1 KR 1020050099562 A KR1020050099562 A KR 1020050099562A KR 20050099562 A KR20050099562 A KR 20050099562A KR 100611008 B1 KR100611008 B1 KR 100611008B1
Authority
KR
South Korea
Prior art keywords
cleaning
wafer
silicon
furnace
semiconductor process
Prior art date
Application number
KR1020050099562A
Other languages
Korean (ko)
Inventor
박동훈
Original Assignee
동부일렉트로닉스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동부일렉트로닉스 주식회사 filed Critical 동부일렉트로닉스 주식회사
Priority to KR1020050099562A priority Critical patent/KR100611008B1/en
Application granted granted Critical
Publication of KR100611008B1 publication Critical patent/KR100611008B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

본 발명은 반도체 공정 중 습식 세정방법에 관한 것으로서, 상세하게는 고전압 이온주입(HV NWELL & HV PWELL Implant) 후의 웨이퍼 세정 (Pre Furnace Cleaning)단계에서 HF 침적을 행하지 않음으로써 웨이퍼 세정공정 중에 발생한 이물질이 후속 고온 공정인 웰 어닐닝(Well Anneal)에서 실리콘(Si) 계면과 반응하여 실리콘 피트(Pit)의 형태로 전이되는 것을 방지하여 반도체의 수율 향상 및 신뢰성 향상을 도모할 수 있는 반도체 공정에서 웨이퍼 세정방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a wet cleaning method in a semiconductor process, and in particular, foreign matters generated during a wafer cleaning process by not depositing HF in a pre-furnace cleaning step after a high voltage ion implantation (HV NWELL & HV PWELL Implant). Wafer cleaning in the semiconductor process that can improve the yield and reliability of the semiconductor by preventing the transition to the form of silicon pits by reacting with the silicon (Si) interface in the subsequent high temperature process (Well Anneal) It is about a method.

웨이퍼 세정(Wafer Cleaning), 표준세정1(SC-1), 표준세정2(SC-2), 실리콘(Si), 피트(Pit) Wafer Cleaning, Standard Cleaning 1 (SC-1), Standard Cleaning 2 (SC-2), Silicon (Si), Pit

Description

반도체 공정에서 웨이퍼 세정방법{ Wafer cleaning Method in the semiconductor processing}Wafer cleaning method in the semiconductor processing

도 1은 종래의 반도체 공정에서 세정방법의 과정을 나타내는 도면.1 is a view showing a process of a cleaning method in a conventional semiconductor process.

도 2는 종래의 반도체 공정에서 세정방법에 의한 실리콘 피트(Pit)의 발생을 개략적으로 나타내는 도면.2 is a view schematically showing generation of silicon pits by a cleaning method in a conventional semiconductor process.

도 3(a)는 본 발명에 따른 반도체 공정에서 웨이퍼 세정방법의 하나의 실시예의 과정을 나타내는 도면.Figure 3 (a) is a view showing the process of one embodiment of a wafer cleaning method in a semiconductor process according to the present invention.

도 3(b)는 본 발명에 따른 반도체 공정에서 웨이퍼 세정방법에 관한 다른 실시예의 과정을 나타내는 도면.Figure 3 (b) is a view showing a process of another embodiment of the wafer cleaning method in the semiconductor process according to the present invention.

도 4는 본 발명에 따른 반도체 공정에서 웨이퍼 세정방법에 의한 실리콘 피트가 방지되는 모습을 나타내는 도면이다.4 is a view showing a silicon pit prevented by a wafer cleaning method in a semiconductor process according to the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

110: 제로 옥사이드(Zero Oxide)110: zero oxide

112: 잔여 패드 옥시이드(Remain Pad Oxide) 112: Remain Pad Oxide

120:고전압 N웰 이온주입(HV NWELL Implant)120: high voltage N well ion implantation (HV NWELL Implant)

130:고전압 P웰 이온주입(HV PWELL Implant)130: high voltage P well ion implantation (HV PWELL Implant)

140:실리콘 기판 150:오염물140: silicon substrate 150: contaminants

본 발명은 반도체 공정 중 습식 세정방법에 관한 것으로서, 상세하게는 고전압 이온주입(HV NWELL & HV PWELL Implant) 후의 웨이퍼 세정공정(Pre Furnace Cleaning) 중에 발생한 이물질이 후속 고온 공정인 웰 어닐닝(Well Anneal)에서 실리콘(Si) 계면과 반응하여 실리콘 피트(Pit)의 형태로 전이되는 것을 방지하여 반도체의 수율 향상 및 신뢰성 향상을 도모할 수 있는 반도체 공정에서 웨이퍼 세정방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a wet cleaning method in a semiconductor process, and in particular, foreign matters generated during a pre-furnace cleaning process after high voltage ion implantation (HV NWELL & HV PWELL Implant) are well annealed (Well Anneal). The present invention relates to a wafer cleaning method in a semiconductor process capable of preventing a reaction with a silicon (Si) interface and transitioning into a silicon pit, thereby improving semiconductor yield and reliability.

일반적으로 반도체 공정에서 사용되는 세정 방법에는 크게 건식 세정(dry cleaning)과 습식 세정(wet cleaning)으로 나누어지며, 습식 세정방법에는 SPM(Sulfuric PerOxide Mixture) 클리닝, DHF(Dilute Hydrofluoric Acid) 클리닝, RCA 클리닝 방법 등이 있다.Generally, the cleaning method used in the semiconductor process is largely divided into dry cleaning and wet cleaning, and the wet cleaning method includes Sulfuric PerOxide Mixture (SPM) cleaning, Dilute Hydrofluoric Acid (DHF) cleaning, and RCA cleaning. Method and the like.

그런데 RCA 클리닝 방법은 습식 세정 방법의 대명사로서 1970년대 미국 RCA사의 W.kern 등에 의해 제안되었으며, 현재까지 반도체 제조공정으로 널리 사용되고 있다. RCA 클리닝 방법은 공통적으로 과산화수소(H2O2)를 근간으로 사용하며, 크게 2가지 방법, 즉 SC-1(Standard Clean-1), SC-2(Standard Clean-2) 방법이 있다. 이하, 첨부된 도면을 참조하여 종래의 반도체 공정에서의 세정방법을 상세히 설명한다.However, the RCA cleaning method was proposed by W. Kern of RCA, USA in the 1970s as a synonym for a wet cleaning method, and is widely used as a semiconductor manufacturing process. RCA cleaning method commonly uses hydrogen peroxide (H 2 O 2 ) as a basis, and there are two main methods, SC-1 (Standard Clean-1) and SC-2 (Standard Clean-2). Hereinafter, a cleaning method in a conventional semiconductor process will be described in detail with reference to the accompanying drawings.

도 1은 종래의 반도체 공정에서 RCA 클리닝 방법을 나타내는 도면이다.1 is a view showing an RCA cleaning method in a conventional semiconductor process.

종래의 반도체 공정의 세정방법에 의하면 고전압 이온주입(HNWELL & HPWELL Implant)시킨 웨이퍼를 세정(Pre Furnace Cleaning)을 진행하고 웰 어닐링(WELL Anneal)된 웨이퍼를 웨이퍼 세정(Pre Furnace Cleaning)을 행한다.According to the cleaning method of the conventional semiconductor process, the wafer subjected to high voltage ion implantation (HNWELL & HPWELL Implant) is subjected to pre-furnace cleaning, and the well-annealed wafer is subjected to wafer cleaning (pre-furnace cleaning).

우선 고전압 이온주입(HNWELL & HPWELL Implant)시킨 웨이퍼를 세정(Pre Furnace Cleaning)을 설명한다. 이는 SC-1(Standard Clean-1)을 행하는 단계, HF침적, 건조(Dry)단계로 진행되는 세정공정을 포함한다.First, pre-furnace cleaning of a wafer subjected to high voltage ion implantation (HNWELL & HPWELL Implant) will be described. This includes a cleaning process that proceeds to SC-1 (Standard Clean-1), HF deposition, and Dry.

SC-1은 암모니아(NH4OH), 과산화수소(H2O2) 그리고 물(H2O)을 약 1:1:5의 비율로 이루어진 혼합용액을 이용하여 약 80℃에서 파티클(Particle), 유기오염물 등 오염물을 제거하기 위한 세정방법이다. 다음으로 불소(HF) 침적을 상온에서 약 1800초 정도 행한다. HF는 희석된 상태(1%HF-H2O)로 사용한다. 불소(HF) 침적은 실리콘 산화물(SiO2)의 대표적인 식각 방법이다. 불소(HF) 침적에 의하여 실리콘 산화물 식각 후 웨이퍼를 회전 건조(Spin Dry)한다.SC-1 is a mixture of ammonia (NH 4 OH), hydrogen peroxide (H 2 O 2 ) and water (H 2 O) in a ratio of about 1: 1: 5. It is a cleaning method for removing contaminants such as organic contaminants. Next, fluorine (HF) deposition is performed at room temperature for about 1800 seconds. HF is used in a diluted state (1% HF-H 2 O). Fluoride (HF) deposition is a typical method of etching silicon oxide (SiO 2 ). The wafer is spin dried after silicon oxide etching by fluorine (HF) deposition.

다음으로 웰 어닐링(WELL Anneal)된 웨이퍼를 웨이퍼 세정(Pre Furnace Cleaning)을 설명한다. 이는 SC-1(Standard Clean-1)을 행하는 단계, HF침적, SC-2(Standard Clean-2), 건조단계 순서로 세정공정이 진행된다.Next, the wafer annealed wafer (WELL Annealed) will be described in the wafer (Pre Furnace Cleaning). The cleaning process is performed in the order of SC-1 (Standard Clean-1), HF deposition, SC-2 (Standard Clean-2), and drying step.

SC-1(Standard Clean-1)을 행하는 단계, HF침적 및 건조단계는 상기 기술한 내용과 동일하다.Performing SC-1 (Standard Clean-1), HF deposition and drying step is the same as described above.

SC-2(Standard Clean-2)는 염산(HCl), 과산화수소 그리고 물을 약 1:1:6의 비율로 혼합한 용액으로 상온에서 천이성 금속 오염물을 제거하는 세정방법이다.SC-2 (Standard Clean-2) is a solution of hydrochloric acid (HCl), hydrogen peroxide and water in a ratio of about 1: 1: 6 to remove transition metal contaminants at room temperature.

그런데 종래의 반도체 세정방법에서 희석 HF(1%HF-HO2) 사용을 하는 경우에는 친수성(hydrophilic) 표면이었던 실리콘 웨이퍼가 HF 침적으로 산화막이 제거됨에 따라 소수성(hydrophobic)으로 변한다. 그런데 소수성 표면은 활성화되어 있기 때문에 약품이나 공정 환경으로부터 파티클이나 유기물 등에 오염되어 이물질이 생기는 문제가 있다.However, in the case of using dilute HF (1% HF-HO 2 ) in the conventional semiconductor cleaning method, the silicon wafer, which was a hydrophilic surface, becomes hydrophobic as the oxide film is removed by HF deposition. However, since the hydrophobic surface is activated, there is a problem that foreign substances are generated by being contaminated with particles or organic substances from chemicals or process environments.

또한 희석 HF 침적 처리과정에서는 Cu와 같이 Si 보다 이온화 경향이 작은 금속이 실리콘 웨이퍼 표면에 석출되어 이물질로 작용하는 문제가 있었다.In addition, during the dilution HF deposition process, a metal having a smaller ionization tendency than Si, such as Cu, was deposited on the surface of the silicon wafer, thereby causing foreign matter.

특히 문제되는 것은 고전압 이온주입(HV NWELL & HV PWELL Implant) 후의 웨이퍼 세정공정(Pre Furnace Cleaning)에서 발생한 상기의 이물질이 후속 웰 어닐닝(Well Anneal)인 고온 공정에서 실리콘(Si) 웨이퍼 계면과 반응하여 실리콘 피트(pit)의 형태로 전이되어 반도체의 수율 및 신뢰성를 저하하는 문제가 생기는 것이다.Particularly problematic is that the foreign matter generated during the pre-furnace cleaning after high voltage ion implantation (HV NWELL & HV PWELL Implant) reacts with the silicon (Si) wafer interface in a high temperature process which is subsequent well annealing. This results in the transfer of silicon pit into the form of a problem that lowers the yield and reliability of the semiconductor.

즉, 종래기술에 따른 세정방법에 의하면 고전압 디바이스(High Voltage Device)에 있어 고전압이온주입(High Voltage NWELL & PWELL Implant) 후 웰 어닐닝(WELL Anneal) 전 진행되는 웨이퍼 세정(Pre Furnace Cleaning)시 장비 부분에 문제가 있거나 또는 케미컬(Chemical)에 이상이 있을 경우 이물질이 발생하고 이것은 후속 공정인 어닐링이라는 장시간의 고온 공정을 거치는 동안 실리콘(Si)과 반응하여 피트(Pit) 형태의 디펙트(Defect)를 만들어내게 된다.That is, according to the cleaning method according to the prior art, the equipment at the time of pre-furnace cleaning performed before the well annealing after the high voltage ion injection in the high voltage device. If there is a problem with the part or if there is a chemical problem, a foreign substance is generated and it reacts with the silicon (Si) during a long time high temperature process called annealing, which is a subsequent process. Will create a.

이하, 이러한 문제점을 도면 2를 참조하여 상세히 설명한다.Hereinafter, such a problem will be described in detail with reference to FIG. 2.

도 2는 종래의 반도체 공정에서 세정방법에 의한 실리콘 피트의 발생을 개략적으로 나타내는 도면이다.2 is a view schematically showing generation of silicon pits by a cleaning method in a conventional semiconductor process.

도 2(a)는 고전압 디바이스(High Voltage Device)에 있어 고전압이온주입(High Voltage NWELL & PWELL Implant)된 상태의 웨이퍼를 나타내는 도면으로서, 실리콘 기판(40) 위에 제로 옥사이드(Zero Oxide)(10)를 형성한 후 고전압 N웰 이온주입(HV NWELL Implant)(20)과 고전압 P웰 이온주입(HV PWELL Implant)(30)을 행한 결과를 나타내는 도면이다.FIG. 2A illustrates a wafer in a state in which a high voltage NWELL & PWELL implant is performed in a high voltage device, and a zero oxide 10 is formed on a silicon substrate 40. After the formation, the high voltage N well ion implantation (HV NWELL Implant) 20 and the high voltage P well ion implantation (HV PWELL Implant) 30 are shown.

도 2(b)는 고전압 이온주입(HNWELL & HPWELL Implant)(20,30)을 시킨 웨이퍼를 웨이퍼 세정(Pre Furnace Cleaning) 하였을 때 장비 부분(Part)에 문제가 있거나 케미컬(Chemical)에 이상이 있을 경우 실리콘 웨이퍼 표면에 이물질(50)이 발생하는 모습을 나타내는 도면이다.FIG. 2 (b) shows that there is a problem in the equipment part or a chemical problem when the wafers subjected to high voltage ion implantation (HNWELL & HPWELL Implant) 20 and 30 are subjected to wafer cleaning (Pre Furnace Cleaning). In this case, the foreign material 50 is generated on the surface of the silicon wafer.

도 2(c)는 상기 도 2(b)의 웨이퍼를 어닐링이라는 장시간의 고온 공정을 거친 경우 실리콘 피트(60) 형태의 디펙트(Defect)를 만들어내는 모습을 도시한 것이다. 실리콘 피트(60)는 실리콘 웨이퍼 상에 패인 곳이 생성되는 것을 말한다. FIG. 2 (c) illustrates a defect in the form of silicon pits 60 when the wafer of FIG. 2 (b) is subjected to a high temperature process such as annealing. The silicon pits 60 refer to the fact that the pits are created on the silicon wafer.

즉, 종래의 반도체 공정에서의 세정방법에 의하면 고전압 이온주입(HV NWELL & HV PWELL Implant) 후의 웨이퍼 세정공정(Pre Furnace Cleaning)에서 발생한 이물질이 후속 웰 어닐닝(Well Anneal)인 고온 공정에서 실리콘(Si) 계면과 반응하여 실리콘 피트의 형태로 전이되어 반도체의 수율 및 신뢰성을 저하시키는 문제가 있었다.That is, according to the cleaning method in the conventional semiconductor process, foreign matters generated during the pre-furnace cleaning after the high voltage ion implantation (HV NWELL & HV PWELL Implant) are silicon in the high temperature process of subsequent well annealing. There was a problem of reacting with the Si) interface to transfer into a silicon pit to reduce the yield and reliability of the semiconductor.

따라서 본 발명은 고전압 이온주입(HV NWELL & HV PWELL Implant) 후의 웨이퍼 세정공정(Pre Furnace Cleaning)에서 이물질의 발생을 최소화하고, 나아가 발생된 이물질이 후속 웰 어닐닝(Well Anneal)인 고온 공정에서 실리콘 피트(Pit)형태로 발생하는 것을 세정공정의 개선을 통하여 미리 방지함으로써 반도체 제품의 수율 향상 및 신뢰성에 기여하고자 함에 그 목적이 있다.Therefore, the present invention minimizes the generation of foreign matters in the pre-furnace cleaning process after the HV NWELL & HV PWELL Implant, and furthermore, the foreign matters generated are silicon in the high temperature process of the subsequent well annealing. The purpose of the present invention is to contribute to improving the yield and reliability of semiconductor products by preventing the occurrence of pits in advance by improving the cleaning process.

상기의 목적을 달성하기 위하여 본 발명에 따른 반도체 공정에서 웨이퍼 세정방법은 고전압 이온주입(HNWELL & HPWELL Implant)된 웨이퍼를 패드 산화층(Pad Oxide)이 남아있도록 웨이퍼 세정 (Pre Furnace Cleaning)하는 단계; 및 상기 세정 된 웨이퍼를 웰 어닐링(WELL Anneal)한 후 상기 패드 산화층을 세정 (Pad Oxide Pre Furnace Cleaning)하는 단계; 를 포함하는 것을 특징으로 한다.In order to achieve the above object, a wafer cleaning method in a semiconductor process according to the present invention includes: pre-furnace cleaning a wafer of high voltage ion implanted (HNWELL & HPWELL Implant) so that a pad oxide layer remains; And pad an oxide pre-furnace cleaning after well-annealing the cleaned wafer. Characterized in that it comprises a.

또한, 상기 웨이퍼 세정 (Pre Furnace Cleaning)하는 단계는 75~85℃에서 SC-1(Standard Clean-1) 세정단계; 및 상기 웨이퍼 세정(Pre Furnace Cleaning) 된 웨이퍼를 건조(Dry)하는 단계;를 포함할 수 있다.In addition, the wafer cleaning (Pre Furnace Cleaning) step is SC-1 (Standard Clean-1) cleaning step at 75 ~ 85 ℃; And drying the wafer, wherein the wafer is pre-furnace cleaned.

또한, 상기 패드 산화층 세정 (Pad Oxdeideide Pre Furnace Cleaning)하는 단계는 75~85℃에서 상기 웨이퍼를 SC-1 세정하는 단계; 상기 SC-1 세정 된 웨이퍼를 상온에서 불소(HF) 침적하는 단계; 상기 HF 침적된 웨이퍼를 상온에서 SC-2 (Standard Clean-2)하는 단계; 및 상기 SC-2 된 웨이퍼를 건조(Dry)하는 단계;를 포함할 수 있다.In addition, the pad oxide layer cleaning (Pad Oxideide Pre Furnace Cleaning) step may be a step of SC-1 cleaning the wafer at 75 ~ 85 ℃; Depositing fluorine (HF) at room temperature on the SC-1 cleaned wafer; SC-2 (Standard Clean-2) at room temperature on the HF deposited wafer; And drying the SC-2 wafer.

또한, 상기 HF 침적단계는 상온에서 1800~2200 초 행할 수 있다.In addition, the HF deposition step may be performed at room temperature 1800 ~ 2200 seconds.

또한, 상기 패드 산화층 세정 후에 완충 산화막 식각(Buffer Oxide Etch)하는 단계;를 더 포함할 수 있다.The method may further include buffer oxide etching after the pad oxide layer cleaning.

이와 같은 본 발명에 의하면 고전압 이온주입(HV NWELL & HV PWELL Implant) 후의 웨이퍼 세정공정(Pre Furnace Cleaning)에서 이물질이 발생하는 것을 미리 예방하며, 장비부분이나 케미컬에 존재하는 이물질이 후속 웰 어닐닝(Well Anneal)인 고온 공정에서 실리콘(Si) 계면과 반응하여 실리콘 피트의 형태로 전이되는 것을 효과적으로 방지하여 반도체의 수율 및 신뢰성을 현저히 향상시키는 장점이 있다.According to the present invention, foreign matters are prevented from occurring during the pre-furnace cleaning after the high voltage ion implantation (HV NWELL & HV PWELL Implant), and foreign matter present in the equipment part or the chemical is subsequently well annealed ( In the high temperature process, which is a well anneal, it effectively prevents the transition to the form of silicon pits by reacting with the silicon (Si) interface, thereby significantly improving the yield and reliability of the semiconductor.

이하, 첨부된 도면을 참조하여 본 발명에 따른 바람직한 일 실시 예를 상세히 설명한다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 3(a)는 본 발명에 따른 반도체 공정에서 웨이퍼 세정방법의 세정과정을 나타내는 도면이다.3A is a view illustrating a cleaning process of a wafer cleaning method in a semiconductor process according to the present invention.

본 발명의 바람직한 실시예에 따르면 고전압 이온주입(HNWELL & HPWELL Implant)된 웨이퍼를 세정(Pre Furnace Cleaning)하는 단계, 웰 어닐링(Well Anneal)된 패드 산화층 세정(Pad Oxide Furnace Cleaning) 단계 순으로 세정공정이 진행된다.According to a preferred embodiment of the present invention, the cleaning process is performed in the order of pre-furnace cleaning of the wafers subjected to high voltage ion implantation (HNWELL & HPWELL Implant), followed by well-annealed pad oxide oxide cleaning. This is going on.

우선, 고전압 이온주입(HNWELL & HPWELL Implant)된 웨이퍼를 세정(Pre Furnace Cleaning)하는 단계를 설명한다. 이는 SC-1(Standard Clean-1)세정단계, 건조단계(Dry) 순서로 진행된다. 이하 이를 상세히 설명한다.First, a step of pre-furnace cleaning of a wafer subjected to high voltage ion implantation (HNWELL & HPWELL Implant) will be described. This is done in the order of SC-1 (Standard Clean-1) cleaning step and Drying step (Dry). This will be described in detail below.

본 발명에 따른 SC-1(Standard Clean-1) 세정단계는 암모니아(NH4OH), 과산화수소(H2O2) 그리고 물(H2O)을 각각 100:90~110:450~550의 비율 된 혼합용액을 이용하여 파티클(Particle), 유기오염물 등 오염물을 제거하기 위한 세정방법을 말한다. 바람직하게는 암모니아(NH4OH), 과산화수소(H2O2) 그리고 물(H2O)을 각각 100:100:500의 비율로 함이 좀더 바람직하다.SC-1 (Standard Clean-1) cleaning step according to the present invention is a ratio of ammonia (NH 4 OH), hydrogen peroxide (H 2 O 2 ) and water (H 2 O) 100: 90 ~ 110: 450 ~ 550, respectively Refers to a cleaning method for removing contaminants such as particles and organic contaminants using the prepared mixed solution. Preferably, ammonia (NH 4 OH), hydrogen peroxide (H 2 O 2 ), and water (H 2 O) are more preferably in a ratio of 100: 100: 500.

한편, 본 발명에 따른 SC-1 세정의 온도는 75~85℃, 바람직하게는 약 80℃의 다소 높은 온도에서는 진행되는 데 이는 세정액이 충분히 활성화되고 낮은 온도에서 과산화수소의 분해가 급속히 진행되는 것을 억제하기 위함이다.On the other hand, the temperature of the SC-1 cleaning according to the present invention proceeds at a rather high temperature of 75-85 ° C., preferably about 80 ° C., which prevents the cleaning solution from being sufficiently activated and rapidly decomposing hydrogen peroxide at low temperatures. To do this.

다음으로 건조단계(Dry)를 설명하면, 건조단계(Dry)는 통상적인 회전건조(Spin Dry)를 사용해도 되며, 특히 본 발명에서는 IPA(Isopropyl Alcohol, 이소프로필 알코올)를 사용하는 것이 좀 더 바람직하다.Next, when the drying step (Dry) is described, the drying step (Dry) may use conventional spin drying, and in the present invention, it is more preferable to use IPA (Isopropyl Alcohol, isopropyl alcohol). Do.

그 다음으로 웰 어닐링(Well Anneal)된 패드 산화층 세정(Pad Oxide Furnace Cleaning) 단계를 설명한다. 이는 75~85℃에서 SC-1 세정단계, 상온에서 HF 침적단계, 상온에서 SC-2 세정단계 및 건조단계(Dry) 순서로 세정공정이 진행된다.The well annealed Pad Oxide Furnace Cleaning step will now be described. This is a cleaning process in the order of SC-1 cleaning step at 75 ~ 85 ℃, HF deposition step at room temperature, SC-2 cleaning step and drying step (Dry) at room temperature.

SC-1 세정단계는 고전압 이온주입(HNWELL & HPWELL Implant)된 웨이퍼를 세정(Pre Furnace Cleaning)하는 단계의 내용과 동일하다.SC-1 cleaning step is the same as the step of pre-furnace cleaning (HNWELL & HPWELL Implant) wafer.

본 발명에 따른 HF 침적은 상온에서 1800~2000초 정도 행하는 것이 바람직하다. 이는 종래 기술에 따른 세정방법에서 고전압 이온주입(HNWELL & HPWELL Implant)된 웨이퍼를 세정(Pre Furnace Cleaning) 단계에서 HF 침적을 약 1800초 행했던 것과 가장 큰 차이가 있는 것이다. 즉, 본 발명에 따른 HF 침적은 고전압 이온주입(HNWELL & HPWELL Implant)된 웨이퍼를 세정(Pre Furnace Cleaning) 단계에서는 행하지 않고 패드 산화층 세정단계에서만 진행을 하며, 상온에서 1800~2200초 , 바람직하게는 약 2000초 정도 행한다. 이는 남아 있는 패드 산화층(Pad Oxide)이 더 성장하므로 식각을 위해 다소 오버 에치를 함이 바람직하기 때문이다.HF deposition according to the present invention is preferably carried out at room temperature for 1800 ~ 2000 seconds. This is the biggest difference from the HF deposition about 1800 seconds in the pre-furnace cleaning step of the high voltage ion implanted (HNWELL & HPWELL Implant) in the cleaning method according to the prior art. That is, the HF deposition according to the present invention is not performed in the pre-furnace cleaning step of the high voltage ion implanted (HNWELL & HPWELL Implant) step, but proceeds only in the pad oxide layer cleaning step, preferably 1800 to 2200 seconds at room temperature, preferably It takes about 2000 seconds. This is because the remaining pad oxide layer (Pad Oxide) is further grown, it is preferable to slightly over-etch for etching.

다음으로 SC-2 세정을 설명한다. 본 발명에 따른 SC-2(Standard Clean-2)는 염산(HCl), 과산화수소 그리고 물을 약 100:90~110:550~650의 비율로, 바람직하게는 100:100;600의 비율로 혼합한 용액으로 상온에서 천이성 금속 오염물을 제거하는 세정방법이다. SC-2 세정은 구성화학 용액의 이름을 통칭해 HPM(Hydrochloric PerOxide Mixture)라고 부른다.Next, SC-2 cleaning is demonstrated. SC-2 (Standard Clean-2) according to the present invention is a mixture of hydrochloric acid (HCl), hydrogen peroxide and water in a ratio of about 100: 90 ~ 110: 550 ~ 650, preferably in a ratio of 100: 100; 600 It is a cleaning method that removes transitional metal contaminants at room temperature with a solution. SC-2 rinsing is collectively called the Hydrochloric PerOxide Mixture (HPM).

다음으로 건조단계(Dry)는 통상적인 회전건조(Spin Dry)를 사용해도 되며, IPA(Isopropyl Alcohol, 이소프로필 알코올)를 사용하는 것이 좀 더 바람직하다.Next, the drying step (Dry) may use a conventional spin dry, it is more preferable to use IPA (Isopropyl Alcohol, isopropyl alcohol).

통상적으로 건조단계(Dry)를 거치면 세정은 마무리가 되며, 그 다음 반도체 공정에서 실리콘 웨이퍼의 보호를 위해 패드 산화층(Pad Oxide)을 형성하게 된다.Typically, the cleaning is finished by drying (Dry), and then a pad oxide layer is formed to protect the silicon wafer in the semiconductor process.

한편, 도 3(b) 본 발명에 따른 반도체 공정에서 웨이퍼 세정방법에 관한 다른 실시예를 나타내는 도면이다.FIG. 3B is a view showing another embodiment of the wafer cleaning method in the semiconductor process according to the present invention.

도 3(b)의 실시예는 상기 도 3(a)의 패드 산화층 세정(Pad Oxide Furnace Cleaning) 후에 완충 산화막 식각(Buffer Oxdeideide Etch)단계를 더 진행함에 특징이 있다.The embodiment of FIG. 3 (b) is further characterized by further performing a buffer oxide etching process after pad oxide burn cleaning of FIG. 3 (a).

보통 산화막(SiO2)의 식각에는 HF가 효과적이다. 그러나 순수 HF는 산화막(SiO2)을 너무 빨리 식각하기 때문에 식각 시간을 조절하기가 어렵다. 한편, HF를 물로 희석하면 식각 속도는 느려지지만 수소이온이 발생되어 식각 속도가 변화되므로 이것 또한 식각 속도를 조절하기가 곤란해진다. 이러한 문제점을 해결하기 위해 HF에 NH4F(ammonium fluoride)를 섞으면 HF와 산화막의 식각 반응을 완화(buffer)시키기 때문에 식각 속도의 조절이 가능하다. 이러한 식각을 완충 산화막 식각(Buffer Oxide Etch, BOE)이라 부른다. 본 발명에 따른 HF와 NH4F의 비율은 35~45:0.3~2.0, 바람직하게는 39.1:0.49임이 바람직하다.Usually, HF is effective for etching oxide film (SiO 2 ). However, since pure HF etches the oxide layer (SiO 2 ) too quickly, it is difficult to control the etching time. On the other hand, when HF is diluted with water, the etching rate is slowed down, but hydrogen ions are generated to change the etching rate, which makes it difficult to control the etching rate. In order to solve this problem, mixing NH 4 F (ammonium fluoride) with HF buffers the etching reaction between HF and the oxide layer, thereby controlling the etching rate. This etching is called Buffer Oxide Etch (BOE). The ratio of HF and NH 4 F according to the present invention is preferably 35 to 45: 0.3 to 2.0, preferably 39.1: 0.49.

도 4는 본 발명에 따른 반도체 공정에서 웨이퍼 세정방법에 의한 실리콘 피트가 방지되는 모습을 개략적으로 나타내는 도면이다.4 is a view schematically showing how silicon pits are prevented by a wafer cleaning method in a semiconductor process according to the present invention.

도 4(a)는 고전압 디바이스(High Voltage Device)에 있어 고전압이온주입(High Voltage NWELL & PWELL Implant)된 상태의 웨이퍼를 나타내는 도면이다. 상세하게는 실리콘 기판(140) 위에 제로 옥사이드(Zero Oxide)(110)을 형성한 후 고전압 N웰 이온주입(HV NWELL Implant)(120)과 고전압 P웰 이온주입(HV PWELL Implant)(130)을 행한 상태를 나타내는 도면이다.FIG. 4A is a diagram illustrating a wafer in a state in which a high voltage NWELL & PWELL implant is performed in a high voltage device. In detail, after forming a zero oxide 110 on the silicon substrate 140, the high voltage N well implant 120 and the high voltage P well implant 130 are formed. It is a figure which shows the state which performed.

도 4(b)는 고전압 이온주입(HNWELL & HPWELL Implant)을 시킨 웨이퍼를 본 발명에 따른 웨이퍼 세정(Pre Furnace Cleaning) 하였을 때의 모습을 나타내는 도면이다. 웨이퍼 세정(Pre Furnace Cleaning)은 SC-1(Standard Clean-1)을 행하는 단계 및 건조단계를 말한다. 이때 웨이퍼 세정(Pre Furnace Cleaning)은 HF 침적 단계를 거치지 않으므로 산화막(112)이 남아 있게 된다. 그러므로 장비 부분(Part)에 문제가 있거나 케미컬(Chemical)에 이상이 있어서 이물질(150)이 발생하더라도 상기 산화막(112) 위에 존재하게 되어 직접적으로 실리콘 기판(140)과 접촉하지 않게 된다.FIG. 4 (b) is a view showing a state when a wafer subjected to high voltage ion implantation (HNWELL & HPWELL Implant) is subjected to wafer cleaning according to the present invention. Pre-furnace cleaning refers to a step of performing SC-1 (Standard Clean-1) and a drying step. At this time, since the wafer cleaning (Pre Furnace Cleaning) does not go through the HF deposition step, the oxide film 112 remains. Therefore, even if the foreign matter 150 occurs due to a problem in the equipment part or a chemical problem, it is present on the oxide film 112 and does not directly contact the silicon substrate 140.

도 4(c)는 상기 도 4(b)의 웨이퍼를 어닐링이라는 장시간의 고온 공정을 거친 경우에도 실피콘 피트(Pit) 형태의 디펙트(Defect)를 나타나지 않는 모습을 도시한 것이다.FIG. 4 (c) illustrates a defect in the form of a silicon cone pit even when the wafer of FIG. 4 b is subjected to a high temperature process such as annealing.

즉, 본 발명에 따른 반도체 공정에서 웨이퍼 세정방법에 의하면 웨이퍼 세정(Pre Furnace Cleaning) 시 발생한 이물질이 후속 웰 어닐닝(WELL Anneal)의 고온 공정에서 실리콘 계면과 반응하여 실리콘 피트(Pit)의 형태로 전이되는 것을 방지하고자 웨이퍼 세정(Pre Furnace Cleaning) 공정에서 HF를 사용하지 않아 얇은 산화층을 남기고 이 산화층을 이용하여 이물질과 실리콘 계면이 반응하는 것을 방지하도록 한다. 또한 남은 산화층은 이후 패드 산화층 세정(Pad Oxide Pre Furnace Cleaning) 이나 완충 산화막 식각(Buffer Oxdeideide Etch)을 통하여 제거하도록 하는 것이다.That is, according to the wafer cleaning method in the semiconductor process according to the present invention, foreign matter generated during wafer cleaning (Pre Furnace Cleaning) reacts with the silicon interface in a high temperature process of subsequent well annealing to form a silicon pit. In order to prevent the transition, the HF is not used in the pre-furnace cleaning process to leave a thin oxide layer and use the oxide layer to prevent the foreign matter and the silicon interface from reacting. In addition, the remaining oxide layer is then removed by pad oxide pre-furnace cleaning or buffer oxide etching.

본 발명에 따른 반도체 공정에서 웨이퍼 세정방법은 통상적인 세정장치에서 사용가능하나, 토탈 세정장치, 특히 연속다조식 토털세정장치에서 적용함이 가장 바람직하다. 토털세정장치라함은 시퀀스를 일괄 처리하는 세정장치를 말한다. 연속다조식이란 다수의 웨이퍼를 동시에 처리하는 배치(batch)방식을 의미한다. The wafer cleaning method in the semiconductor process according to the present invention can be used in a conventional cleaning apparatus, but is most preferably applied in a total cleaning apparatus, especially a continuous multi-tank total cleaning apparatus. The total cleaning device refers to a cleaning device for batch processing a sequence. Continuous multimodality refers to a batch process that processes multiple wafers simultaneously.

이상에서 설명한 바와 같이 본 발명에 따른 반도체 공정에서 웨이퍼 세정방법에 의하면, 고전압 이온주입(HV NWELL & HV PWELL Implant) 후의 웨이퍼 세정 (Pre Furnace Cleaning)단계에서 HF 침적을 행하지 않음으로써 잔여 산화막(Remain Oxide)을 존속시키게 하여 웨이퍼 표면이 소수성 환경이 되지 않도록 함으로써 이물질이 생성되는 것을 미리 방지하는 효과가 있다.As described above, according to the wafer cleaning method in the semiconductor process according to the present invention, the residual oxide film (Remain Oxide) is not carried out by performing HF deposition in the pre-furnace cleaning step after the high voltage ion implantation (HV NWELL & HV PWELL Implant). ) So that the surface of the wafer does not become a hydrophobic environment, thereby preventing the generation of foreign matters.

또한, 본 발명에 의하면 고전압 이온주입 후의 웨이퍼 세정공정에서 HF 침적을 행하지 않음으로써 잔여 산화막(Remain Oxide)을 존속시켜 이물질이 후속 웰 어닐닝(Well Anneal)인 고온 공정에서 실리콘(Si) 웨이퍼 계면과 반응하지 못하도록 하여 실리콘 피트의 형태로 전이되는 것을 차단하여 궁극적으로 반도체의 수율 및 신뢰성을 월등히 향상시키는 효과가 있게 된다.In addition, according to the present invention, by not performing HF deposition in the wafer cleaning process after the high voltage ion implantation, residual oxide film is retained so that foreign matters are separated from the silicon (Si) wafer interface in the high temperature process of subsequent well annealing. This prevents the reaction from transitioning to the form of silicon pits, which ultimately improves the yield and reliability of the semiconductor.

Claims (5)

고전압 이온주입(HNWELL & HPWELL Implant)된 웨이퍼를 패드 산화층(Pad Oxide)이 남아있도록 웨이퍼 세정 (Pre Furnace Cleaning)하는 단계; 및Pre-furnace cleaning the HNWELL & HPWELL Implanted wafer so that a pad oxide layer remains; And 상기 세정 된 웨이퍼를 웰 어닐링(WELL Anneal)한 후 상기 패드 산화층을 세정 (Pad Oxide Pre Furnace Cleaning)하는 단계;를 포함하는 것을 특징으로 하는 반도체 공정에서 웨이퍼 세정방법.And cleaning the pad oxide layer after well annealing the cleaned wafer (WELL Anneal). 제 1항에 있어서,The method of claim 1, 상기 웨이퍼 세정 (Pre Furnace Cleaning)하는 단계는The wafer cleaning (Pre Furnace Cleaning) step 75~85℃에서 SC-1(Standard Clean-1) 세정단계; 및 SC-1 (Standard Clean-1) washing step at 75 ~ 85 ℃; And 상기 웨이퍼 세정(Pre Furnace Cleaning) 된 웨이퍼를 건조(Dry)하는 단계;를 포함하는 것을 특징으로 하는 반도체 공정에서 웨이퍼 세정방법.Drying the wafer (Pre Furnace Cleaning) the wafer (Dry); Wafer cleaning method in a semiconductor process comprising a. 제 1항 또는 제 2항에 있어서,The method according to claim 1 or 2, 상기 패드 산화층 세정 (Pad Oxdeideide Pre Furnace Cleaning)하는 단계는The Pad Oxdeideide Pre Furnace Cleaning step 75~85℃에서 상기 웨이퍼를 SC-1 세정하는 단계;SC-1 cleaning the wafer at 75-85 ° C .; 상기 SC-1 세정 된 웨이퍼를 상온에서 불소(HF) 침적하는 단계;Depositing fluorine (HF) at room temperature on the SC-1 cleaned wafer; 상기 HF 침적된 웨이퍼를 상온에서 SC-2 (Standard Clean-2)하는 단계; 및  SC-2 (Standard Clean-2) at room temperature on the HF deposited wafer; And 상기 SC-2 세정 된 웨이퍼를 건조(Dry)하는 단계;를 포함하는 것을 특징으로 하는 반도체 공정에서 웨이퍼 세정방법.Drying the SC-2 cleaned wafer (Dry); Wafer cleaning method in a semiconductor process comprising a. 제 3항에 있어서,The method of claim 3, wherein 상기 HF 침적단계는 상온에서 1800~2200 초 행하는 것을 특징으로 하는 반도체 공정에서 웨이퍼 세정방법.The HF deposition step is a wafer cleaning method in a semiconductor process, characterized in that 1800 ~ 2200 seconds at room temperature. 제 3항에 있어서,The method of claim 3, wherein 상기 패드 산화층 세정 후에 HF와 NH4F를 소정 비율로 섞은 완충 산화막 식각(Buffer Oxide Etch)하는 단계;를 더 포함하는 것을 특징으로 하는 반도체 공정에서 웨이퍼 세정방법.After the pad oxide layer cleaning, HF and NH 4 F mixed in a predetermined ratio buffer oxide film (Buffer Oxide Etch); Wafer cleaning method further comprising a semiconductor process.
KR1020050099562A 2005-10-21 2005-10-21 Wafer cleaning method in the semiconductor processing KR100611008B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020050099562A KR100611008B1 (en) 2005-10-21 2005-10-21 Wafer cleaning method in the semiconductor processing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050099562A KR100611008B1 (en) 2005-10-21 2005-10-21 Wafer cleaning method in the semiconductor processing

Publications (1)

Publication Number Publication Date
KR100611008B1 true KR100611008B1 (en) 2006-08-10

Family

ID=37594198

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050099562A KR100611008B1 (en) 2005-10-21 2005-10-21 Wafer cleaning method in the semiconductor processing

Country Status (1)

Country Link
KR (1) KR100611008B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100846271B1 (en) 2006-12-29 2008-07-16 주식회사 실트론 Method for cleaning silicon wafer
KR20230019325A (en) * 2021-07-30 2023-02-08 (주)원익머트리얼즈 Thin film deposition method using organometallic compound precursor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100846271B1 (en) 2006-12-29 2008-07-16 주식회사 실트론 Method for cleaning silicon wafer
KR20230019325A (en) * 2021-07-30 2023-02-08 (주)원익머트리얼즈 Thin film deposition method using organometallic compound precursor
KR102672156B1 (en) * 2021-07-30 2024-06-05 (주)원익머트리얼즈 Thin film deposition method using organometallic compound precursor

Similar Documents

Publication Publication Date Title
US7410909B2 (en) Method of removing ion implanted photoresist
US6774047B2 (en) Method of manufacturing a semiconductor integrated circuit device
US20060272677A1 (en) Cleaning process for semiconductor substrates
CN101657889B (en) Improved process for preparing cleaned surfaces of strained silicon
KR100875164B1 (en) Method for cleaning wafer
Meuris et al. Implementation of the IMEC-cleaning in advanced CMOS manufacturing
US20050239672A1 (en) Cleaning solution of silicon germanium layer and cleaning method using the same
KR100611008B1 (en) Wafer cleaning method in the semiconductor processing
US6429144B1 (en) Integrated circuit manufacture method with aqueous hydrogen fluoride and nitric acid oxide etch
US7306681B2 (en) Method of cleaning a semiconductor substrate
US20050045202A1 (en) Method for wafer surface cleaning using hydroxyl radicals in deionized water
US20060141803A1 (en) Method of cleaning silicon nitride layer
US7727900B2 (en) Surface preparation for gate oxide formation that avoids chemical oxide formation
KR100801744B1 (en) Method for fabricating metal gate in semicondutor device
KR100714311B1 (en) Cleaning solution for a silicon surface and methods of fabricating a semiconductor device using the same
US6156126A (en) Method for reducing or avoiding the formation of a silicon recess in SDE junction regions
EP1132951A1 (en) Process of cleaning silicon prior to formation of the gate oxide
KR100865442B1 (en) Wafer cleaning method for removing stripe defect
JP2003347265A (en) Method for manufacturing semiconductor integrated circuit device
KR100613455B1 (en) manufacturing method for semiconductor device
KR20060114176A (en) Method for cleaning photoresist mask residue
KR100526046B1 (en) A fabrication method of SOI wafer
KR100234404B1 (en) Method for cleaning wafer
JP2003151965A (en) Cleaning method of semiconductor device, and manufacturing method of the same
KR20050071899A (en) Method for cleaning of semiconductor substrate

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20100722

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee