KR100549068B1 - Video processing signal supplier and control method thereof - Google Patents

Video processing signal supplier and control method thereof Download PDF

Info

Publication number
KR100549068B1
KR100549068B1 KR1020030077587A KR20030077587A KR100549068B1 KR 100549068 B1 KR100549068 B1 KR 100549068B1 KR 1020030077587 A KR1020030077587 A KR 1020030077587A KR 20030077587 A KR20030077587 A KR 20030077587A KR 100549068 B1 KR100549068 B1 KR 100549068B1
Authority
KR
South Korea
Prior art keywords
signal
horizontal
section
vertical
point data
Prior art date
Application number
KR1020030077587A
Other languages
Korean (ko)
Other versions
KR20050042927A (en
Inventor
평성욱
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020030077587A priority Critical patent/KR100549068B1/en
Publication of KR20050042927A publication Critical patent/KR20050042927A/en
Application granted granted Critical
Publication of KR100549068B1 publication Critical patent/KR100549068B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N5/00Details of television systems
    • H04N5/14Picture signal circuitry for video frequency region
    • H04N5/16Circuitry for reinsertion of dc and slowly varying components of signal; Circuitry for preservation of black or white level
    • H04N5/18Circuitry for reinsertion of dc and slowly varying components of signal; Circuitry for preservation of black or white level by means of "clamp" circuit operated by switching circuit
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N3/00Scanning details of television systems; Combination thereof with generation of supply voltages
    • H04N3/10Scanning details of television systems; Combination thereof with generation of supply voltages by means not exclusively optical-mechanical
    • H04N3/16Scanning details of television systems; Combination thereof with generation of supply voltages by means not exclusively optical-mechanical by deflecting electron beam in cathode-ray tube, e.g. scanning corrections
    • H04N3/24Blanking circuits
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N7/00Television systems
    • H04N7/01Conversion of standards, e.g. involving analogue television standards or digital television standards processed at pixel level
    • H04N7/0117Conversion of standards, e.g. involving analogue television standards or digital television standards processed at pixel level involving conversion of the spatial resolution of the incoming video signal

Landscapes

  • Engineering & Computer Science (AREA)
  • Multimedia (AREA)
  • Signal Processing (AREA)
  • Computer Graphics (AREA)
  • Controls And Circuits For Display Device (AREA)
  • Television Systems (AREA)

Abstract

본 발명은, 수평 및 수직블랭킹 구간과 백포치 페디스탈레벨 구간을 갖는 영상신호를 처리하기 위한 복수의 신호를 공급하는 영상처리신호공급장치 및 그 제어방법에 관한 것이다. 본 영상처리신호공급장치는, 사용자로부터 해상도 선택시의 해당 해상도선택신호를 입력하기 위한 해상도선택신호입력부와; 소정 주기의 클럭신호를 입력하기 위한 클럭신호입력부와; 수평 및 수직동기신호를 입력하기 위한 수평 및 수직동기신호입력부와; 수평 및 수직블랭킹신호를 출력하기 위한 수평 및 수직블랭킹신호출력부와; 클램프신호를 출력하기 위한 클램프신호출력부와; 상기 해상도선택신호입력부로부터의 해상도선택신호, 상기 클럭신호입력부로부터의 클럭신호, 상기 수평 및 수직동기신호입력부로부터의 수평 및 수직동기신호에 따라, 선택된 해상도에 해당하는 상기 영상신호의 수평 및 수직블랭킹 구간과 상기 영상신호의 백포치 페디스탈레벨 구간을 판단하고, 판단된 상기 수평 및 수직블랭킹 구간과 상기 백포치 페디스탈레벨 구간에 각각 상기 수평 및 수직블랭킹신호와 상기 클램프신호를 상기 수평 및 수직블랭킹신호출력부와 상기 클램프신호출력부를 통해 출력하는 제어부를 포함하는 것을 특징으로 한다. 이에 의하여, 각 해상도별 영상신호를 처리하기 위해 필요한 복수의 신호를 간단하고 저렴하게 공급할 수 있는 영상처리신호공급장치 및 그 제어방법을 제공할 수 있다. The present invention relates to an image processing signal supply device for supplying a plurality of signals for processing an image signal having a horizontal and vertical blanking section and a back porch pedestal level section and a control method thereof. The image processing signal supply apparatus includes: a resolution selection signal input unit for inputting a corresponding resolution selection signal at the time of resolution selection from a user; A clock signal input unit for inputting a clock signal of a predetermined period; A horizontal and vertical synchronous signal input unit for inputting horizontal and vertical synchronous signals; Horizontal and vertical blanking signal output units for outputting horizontal and vertical blanking signals; A clamp signal output unit for outputting a clamp signal; Horizontal and vertical blanking of the video signal corresponding to the selected resolution according to the resolution selection signal from the resolution selection signal input unit, the clock signal from the clock signal input unit, and the horizontal and vertical synchronization signal from the horizontal and vertical synchronization signal input unit. Determine a section and a back porch pedestal level section of the video signal, and determine the horizontal and vertical blanking signals and the clamp signal in the determined horizontal and vertical blanking sections and the back porch pedestal level sections, respectively. And a control unit outputting the signal output unit and the clamp signal output unit. Accordingly, it is possible to provide an image processing signal supply device and a control method thereof capable of supplying a plurality of signals necessary for processing image signals for each resolution simply and inexpensively.

Description

영상처리신호공급장치 및 그 제어방법{VIDEO PROCESSING SIGNAL SUPPLIER AND CONTROL METHOD THEREOF}Image processing signal supply device and its control method {VIDEO PROCESSING SIGNAL SUPPLIER AND CONTROL METHOD THEREOF}

도 1은 본 발명에 따른 영상처리신호공급장치의 제어블록도,1 is a control block diagram of an image processing signal supply apparatus according to the present invention;

도 2는 영상신호의 수평구간, 수평동기신호, 복수의 영상처리신호의 타이밍도, 2 is a timing diagram of a horizontal section of a video signal, a horizontal synchronization signal, and a plurality of video processing signals;

도 3은 영상신호의 수직구간, 수직동기신호, 수직블랭킹신호의 타이밍도,3 is a timing diagram of a vertical section, a vertical synchronization signal, and a vertical blanking signal of a video signal;

도 4는 본 발명에 따른 영상처리신호공급장치의 제어흐름도이다. 4 is a control flowchart of the image processing signal supply apparatus according to the present invention.

* 도면의 주요 부분에 대한 부호의 설명* Explanation of symbols for the main parts of the drawings

10 : 리셋신호입력부 12 : 해상도선택신호입력부10: reset signal input unit 12: resolution selection signal input unit

14 : 클럭신호입력부 16 : 수평동기신호입력부14: clock signal input unit 16: horizontal synchronous signal input unit

18 : 수직동기신호입력부 20 : 수평블랭킹신호출력부18: vertical synchronous signal input unit 20: horizontal blanking signal output unit

22 : 수직블랭킹신호출력부 24 : 클램프신호출력부22: vertical blanking signal output unit 24: clamp signal output unit

30 : 제어부 40 : 샌드캐슬펄스생성부30: control unit 40: sand castle pulse generation unit

42a, 42b, 42c : 제1저항 44a, 44b, 44c : 다이오드42a, 42b, 42c: first resistor 44a, 44b, 44c: diode

46 : 제2저항 46: second resistance

본 발명은, 영상처리신호공급장치 및 그 제어방법에 관한 것으로서, 보다 상세하게는, 각 해상도별 영상신호를 처리하기 위해 필요한 복수의 신호를 간단하고 저렴하게 공급할 수 있는 영상처리신호공급장치 및 그 제어방법에 관한 것이다.The present invention relates to an image processing signal supply apparatus and a control method thereof, and more particularly, to an image processing signal supply apparatus capable of simply and inexpensively supplying a plurality of signals required for processing an image signal for each resolution and its It relates to a control method.

일반적으로 텔레비전 수상기 등의 영상표시기기에서 귀선이란 음극선관에서 전자빔이 한 개의 주사선에서 다음의 주사선으로 또는 한 개의 필드 끝 위치에서 다음 필드의 처음 위치로 옮길 때 전자빔에 의해 그려지는 궤적으로서 플라이백 선이라고도 한다.In general, in a video display device such as a television receiver, a return line is a flyback line drawn by an electron beam when an electron beam is moved from one scan line to the next scan line or from one end of a field to the first position of the next field in a cathode ray tube. Also called.

그리고, 귀선 소거란 귀선 기간 동안에 영상펄스의 펄스 레벨을 떨어뜨리거나 음극선관에서 전자빔의 방출을 억제시켜 화면상에 귀선이 나타나지 않게 하는 것으로서, 이 기간을 귀선 소거 기간 또는 블랭킹(blanking) 기간이라고 한다.In addition, the blanking is to reduce the pulse level of the image pulse or suppress the emission of the electron beam from the cathode ray tube during the blanking period so that no blanking appears on the screen. This period is referred to as the blanking period or the blanking period. .

이와 관련하여, 귀선 소거 펄스란, 귀선의 소거를 목적으로 사용되는 펄스를 말하는데, 블랭킹 기간과 일치하는 펄스의 형태를 하고 있으므로 블랭킹 펄스라고도 하며 수평 블랭킹 펄스와 수직 블랭킹 펄스가 있다.In this regard, the blanking pulse refers to a pulse used for the purpose of erasing the blank, which is also referred to as a blanking pulse and has a horizontal blanking pulse and a vertical blanking pulse since it is in the form of a pulse coinciding with the blanking period.

한편, 영상표시기기에 수신된 영상신호의 R, G 및 B 색신호를 음극선관에 충실하게 재현시켜 표시하기 위해서는 비디오 프리앰프의 컷오프 제어단자의 전위를 자동으로 조정하게 되는데, 이 과정에서 영상신호의 페디스탈(pedestal) 레벨을 일정 전위로 유지하기 위해 클램프 펄스(Clamp Pulse)가 사용된다. On the other hand, in order to faithfully reproduce and display the R, G and B color signals of the video signal received by the video display device, the potential of the cutoff control terminal of the video preamplifier is automatically adjusted. Clamp pulses are used to maintain the pedestal level at a constant potential.

그리고, 수평 및 수직블랭킹 펄스와 클램프 펄스의 합성신호인 샌드캐슬펄스(Sand Castle Pulse : SCP)가 영상처리신호로서 사용된다.Sand Castle Pulse (SCP), which is a combination signal of horizontal and vertical blanking pulses and clamp pulses, is used as an image processing signal.

그런데, 종래의 영상표시기기에서 수평 블랭킹 펄스, 수직 블랭킹 펄스, 클램프 펄스, 샌드캐슬펄스와 같은 영상처리를 위한 신호들은 별도의 신호 공급 IC, 즉 수평 블랭킹 펄스 공급 IC, 수직 블랭킹 펄스 공급 IC, 클램프 펄스 공급 IC, 샌드캐슬펄스 공급 IC를 통해 각각 공급되어졌다. However, signals for image processing such as horizontal blanking pulses, vertical blanking pulses, clamp pulses, and sandcastle pulses in conventional image display apparatuses are provided by separate signal supply ICs, that is, horizontal blanking pulse supply ICs, vertical blanking pulse supply ICs, and clamp pulses. It was supplied through the supply IC and the sandcastle pulse supply IC, respectively.

그러나, 이 신호 공급 IC들은 찾는 데에도 어려움이 있으며 고가인 경우가 많아 회로 설계시에 불편함이 있다. However, these signal supply ICs are also difficult to find and expensive, which is inconvenient in circuit design.

따라서, 본 발명의 목적은, 각 해상도별 영상신호를 처리하기 위해 필요한 복수의 신호를 간단하고 저렴하게 공급할 수 있는 영상처리신호공급장치 및 그 제어방법을 제공하는 것이다.Accordingly, an object of the present invention is to provide an image processing signal supply device and a control method thereof, which can supply a plurality of signals necessary for processing image signals for each resolution simply and inexpensively.

상기 목적은, 본 발명에 따라, 수평 및 수직블랭킹 구간과 백포치 페디스탈레벨 구간을 갖는 영상신호를 처리하기 위한 복수의 신호를 공급하는 영상처리신호공급장치에 있어서, 사용자로부터 해상도 선택시의 해당 해상도선택신호를 입력하기 위한 해상도선택신호입력부와; 소정 주기의 클럭신호를 입력하기 위한 클럭신호입력부와; 수평 및 수직동기신호를 입력하기 위한 수평 및 수직동기신호입력부와; 수평 및 수직블랭킹신호를 출력하기 위한 수평 및 수직블랭킹신호출력부와; 클램프신호를 출력하기 위한 클램프신호출력부와; 상기 해상도선택신호입력부로부터의 해상도선택신호, 상기 클럭신호입력부로부터의 클럭신호, 상기 수평 및 수직동기신호입력부로부터의 수평 및 수직동기신호에 따라, 선택된 해상도에 해당하는 상기 영 상신호의 수평 및 수직블랭킹 구간과 상기 영상신호의 백포치 페디스탈레벨 구간을 판단하고, 판단된 상기 수평 및 수직블랭킹 구간과 상기 백포치 페디스탈레벨 구간에 각각 상기 수평 및 수직블랭킹신호와 상기 클램프신호를 상기 수평 및 수직블랭킹신호출력부와 상기 클램프신호출력부를 통해 출력하는 제어부를 포함하는 것을 특징으로 하는 영상처리신호공급장치에 의해 달성된다.The above object is, according to the present invention, in the image processing signal supply apparatus for supplying a plurality of signals for processing the image signal having a horizontal and vertical blanking section and the back porch pedestal level section, the corresponding at the time of resolution selection from the user A resolution selection signal input unit for inputting a resolution selection signal; A clock signal input unit for inputting a clock signal of a predetermined period; A horizontal and vertical synchronous signal input unit for inputting horizontal and vertical synchronous signals; Horizontal and vertical blanking signal output units for outputting horizontal and vertical blanking signals; A clamp signal output unit for outputting a clamp signal; The horizontal and vertical of the image signal corresponding to the selected resolution according to the resolution selection signal from the resolution selection signal input unit, the clock signal from the clock signal input unit, and the horizontal and vertical synchronization signal from the horizontal and vertical synchronization signal input unit. Determine a blanking section and a back porch pedestal level section of the video signal, and determine the horizontal and vertical blanking signals and the clamp signal in the determined horizontal and vertical blanking sections and the back porch pedestal level sections, respectively. And a control unit for outputting the blanking signal output unit and the clamp signal output unit.

여기서, 리셋신호를 입력하기 위한 리셋신호입력부를 더 포함하고; 상기 제어부는 상기 리셋신호입력부를 통한 리셋신호 입력시, 상기 수평 및 수직블랭킹신호, 클램프신호를 리셋시키는 것이 바람직하다.And a reset signal input unit for inputting a reset signal; The control unit may reset the horizontal and vertical blanking signals and the clamp signal when the reset signal is input through the reset signal input unit.

그리고, 상기 수평 및 수직블랭킹신호출력부와 상기 클램프신호출력부로부터 각각 출력되는 상기 수평 및 수직블랭킹신호와 상기 클램프신호를 합성하여 샌드캐슬펄스를 출력하는 샌드캐슬펄스생성부를 더 포함하는 것이 바람직하다.The apparatus may further include a sandcastle pulse generator configured to output sandcastle pulses by combining the horizontal and vertical blanking signals and the clamp signals respectively output from the horizontal and vertical blanking signal output units and the clamp signal output unit.

상기 샌드캐슬펄스생성부는 상기 수평 및 수직블랭킹신호출력부와 상기 클램프신호출력부 각각에 일단이 접속되는 복수의 제1저항과, 상기 각 제1저항의 타단에 애노드단이 접속되는 복수의 다이오드와, 일단이 상기 다이오드의 캐소드단에 동시 접속되고 타단이 접지에 접속되는 제2저항을 포함하며, 상기 제2저항의 일단을 통해 상기 샌드캐슬펄스가 출력된다.The sand castle pulse generating unit includes a plurality of first resistors having one end connected to each of the horizontal and vertical blanking signal output units and the clamp signal output unit, and a plurality of diodes having an anode end connected to the other end of each of the first resistors; And a second resistor, one end of which is simultaneously connected to the cathode of the diode and the other end of which is connected to ground, wherein the sandcastle pulse is output through one end of the second resistor.

또한, 상기 제어부는, 상기 해상도선택신호 입력시 선택된 해상도에 해당하는 소정의 수직블랭킹 구간 종료점 데이터, 수평블랭킹 구간 시작점 데이터, 수평블랭킹 구간 종료점 데이터, 백포치 페디스탈레벨 구간 시작점 데이터, 백포치 페디스탈레벨 구간 종료점 데이터를 포함하는 복수의 영상신호구간기준데이터를 각기 상이한 변수에 저장하는 것이 바람직하다.The control unit may further include predetermined vertical blanking section end point data, horizontal blanking section start point data, horizontal blanking section end point data, back porch pedestal level section start point data, and back porch pedestal corresponding to the resolution selected when the resolution selection signal is input. Preferably, the plurality of video signal section reference data including the level section end point data are stored in different variables.

상기 수직블랭킹 구간 종료점 데이터는 상기 수직동기신호가 입력된 후 상기 수직블랭킹 구간 종료점까지의 상기 수평동기신호의 입력 개수를 포함하며, 상기 수평블랭킹 구간 시작점 데이터 및 상기 수평블랭킹 구간 종료점 데이터는 상기 수평동기신호가 입력된 후 상기 수평블랭킹 구간 시작점 및 종료점까지의 상기 클럭신호의 입력 개수를 포함하며, 상기 백포치 페디스탈레벨 구간 시작점 데이터 및 상기 백포치 페디스탈레벨 구간 종료점 데이터는 상기 수평동기신호가 입력된 후 상기 백포치 페디스탈레벨 구간 시작점 및 종료점까지의 상기 클럭신호의 입력 개수를 포함한다.The vertical blanking interval end point data includes the number of inputs of the horizontal synchronization signal from the vertical synchronization signal to the vertical blanking interval end point, and the horizontal blanking interval start point data and the horizontal blanking interval end point data are the horizontal synchronization. And a number of inputs of the clock signal from the horizontal blanking interval start point and the end point after the signal is input, wherein the back porch pedestal level interval start point data and the back porch pedestal level interval end point data are inputted by the horizontal synchronization signal. And the number of inputs of the clock signal to the start point and end point of the back porch pedestal level section.

그리고, 상기 제어부는 상기 수평동기신호가 입력된 후 카운팅된 상기 클럭신호의 입력 개수를 상기 저장된 수평블랭킹 구간 시작점 데이터와 상기 수평블랭킹 구간 종료점 데이터와 비교하여, 상기 수평블랭킹 구간이라고 판단된 경우에 상기 수평블랭킹신호를 출력하는 것이 바람직하다.The controller compares the number of inputs of the clock signal counted after the horizontal synchronization signal is input with the stored horizontal blanking interval start point data and the horizontal blanking interval end point data to determine the horizontal blanking interval. It is preferable to output the horizontal blanking signal.

또한, 상기 제어부는 상기 수평동기신호가 입력된 후 카운팅된 상기 클럭신호의 입력 개수를 상기 저장된 백포치 페디스탈레벨 구간 시작점 데이터와 상기 백포치 페디스탈레벨 구간 종료점 데이터와 비교하여, 상기 백포치 페디스탈레벨 구간이라고 판단된 경우에 상기 클램프신호를 출력하는 것이 바람직하다.The controller may further compare the number of inputs of the clock signal counted after the horizontal synchronization signal is input with the stored back porch pedestal level section start point data and the back porch pedestal level section end point data. The clamp signal may be output when it is determined that the stabil level is a section.

그리고, 상기 제어부는 상기 수직동기신호 입력시부터 상기 수직동기신호가 입력된 후 카운팅된 수평동기신호의 입력 개수가 상기 저장된 수직블랭킹 구간 종료점 데이터와 동일해질 때까지의 구간동안 상기 수직블랭킹신호를 출력하는 것이 바람직하다.The control unit outputs the vertical blanking signal during the interval from the input of the vertical synchronization signal until the input number of the counted horizontal synchronization signal is equal to the stored vertical blanking interval end point data. It is desirable to.

상기 제어부는 VHDL에 의해 구현되는 것이 가능하다.The controller may be implemented by VHDL.

한편, 상기 목적은 본 발명의 다른 분야에 따르면, 수평 및 수직블랭킹 구간과 백포치 페디스탈레벨 구간을 갖는 영상신호를 처리하기 위한 복수의 신호를 공급하는 영상처리신호공급장치의 제어방법에 있어서, 사용자로부터 해상도 선택시의 해당 해상도선택신호, 소정 주기의 클럭신호, 수평 및 수직동기신호를 입력받는 단계와; 입력받은 상기 해상도선택신호, 상기 클럭신호, 상기 수평 및 수직동기신호에 따라, 선택된 해상도에 해당하는 상기 영상신호의 수평 및 수직블랭킹 구간과 상기 영상신호의 백포치 페디스탈레벨 구간을 판단하는 단계와; 판단된 상기 수평 및 수직블랭킹 구간과 상기 백포치 페디스탈레벨 구간에 각각 상기 수평 및 수직블랭킹신호와 상기 클램프신호를 출력하는 단계를 포함하는 것을 특징으로 하는 영상처리신호공급장치의 제어방법에 의해서도 달성된다.On the other hand, according to another aspect of the present invention, in the control method of the image processing signal supply apparatus for supplying a plurality of signals for processing the image signal having a horizontal and vertical blanking section and the back porch pedestal level section, Receiving a corresponding resolution selection signal, a clock signal of a predetermined period, and horizontal and vertical synchronization signals when selecting a resolution from a user; Determining horizontal and vertical blanking sections of the video signal corresponding to the selected resolution and back porch pedestal level sections of the video signal according to the resolution selection signal, the clock signal, and the horizontal and vertical synchronization signals received; ; And outputting the horizontal and vertical blanking signals and the clamp signal to the determined horizontal and vertical blanking sections and the back porch pedestal level sections, respectively. do.

여기서, 리셋신호를 입력받는 단계와; 입력받은 상기 리셋신호에 따라 상기 수평 및 수직블랭킹신호, 상기 클램프신호를 리셋시키는 단계를 더 포함하는 것이 바람직하다.Here, the step of receiving a reset signal; The method may further include resetting the horizontal and vertical blanking signals and the clamp signal according to the input reset signal.

그리고, 출력되는 상기 수평 및 수직블랭킹신호와 상기 클램프신호를 합성하여 샌드캐슬펄스를 출력하는 단계를 더 포함하는 것이 바람직하다.The method may further include synthesizing the horizontal and vertical blanking signals and the clamp signals to output sandcastle pulses.

또한, 상기 해상도선택신호 입력시 선택된 해상도에 해당하는 소정의 수직블랭킹 구간 종료점 데이터, 수평블랭킹 구간 시작점 데이터, 수평블랭킹 구간 종료점 데이터, 백포치 페디스탈레벨 구간 시작점 데이터, 백포치 페디스탈레벨 구간 종료점 데이터를 포함하는 복수의 영상신호구간기준데이터를 각기 상이한 변수에 저장하는 단계를 더 포함하는 것이 바람직하다.In addition, the predetermined vertical blanking section end point data, the horizontal blanking section start point data, the horizontal blanking section end point data, the back porch pedestal level section start point data, and the back porch pedestal level section end point data corresponding to the selected resolution when the resolution selection signal is input. The method may further include storing the plurality of video signal section reference data including different data in different variables.

상기 수직블랭킹 구간 종료점 데이터는 상기 수직동기신호가 입력된 후 상기 수직블랭킹 구간 종료점까지의 상기 수평동기신호의 입력 개수를 포함하며, 상기 수평블랭킹 구간 시작점 데이터 및 상기 수평블랭킹 구간 종료점 데이터는 상기 수평동기신호가 입력된 후 상기 수평블랭킹 구간 시작점 및 종료점까지의 상기 클럭신호의 입력 개수를 포함하며, 상기 백포치 페디스탈레벨 구간 시작점 데이터 및 상기 백포치 페디스탈레벨 구간 종료점 데이터는 상기 수평동기신호가 입력된 후 상기 백포치 페디스탈레벨 구간 시작점 및 종료점까지의 상기 클럭신호의 입력 개수를 포함한다.The vertical blanking interval end point data includes the number of inputs of the horizontal synchronization signal from the vertical synchronization signal to the vertical blanking interval end point, and the horizontal blanking interval start point data and the horizontal blanking interval end point data are the horizontal synchronization. And a number of inputs of the clock signal from the horizontal blanking interval start point and the end point after the signal is input, wherein the back porch pedestal level interval start point data and the back porch pedestal level interval end point data are inputted by the horizontal synchronization signal. And the number of inputs of the clock signal to the start point and end point of the back porch pedestal level section.

그리고, 상기 수평동기신호가 입력된 후 카운팅된 상기 클럭신호의 입력 개수를 상기 저장된 수평블랭킹 구간 시작점 데이터와 상기 수평블랭킹 구간 종료점 데이터와 비교하여, 상기 수평블랭킹 구간이라고 판단된 경우에 상기 수평블랭킹신호를 출력하는 단계를 더 포함하는 것이 바람직하다.The horizontal blanking signal is determined when the horizontal synchronization signal is input and the number of clock signals counted after the horizontal synchronization signal is input is compared with the stored horizontal blanking interval start point data and the horizontal blanking interval end point data. It is preferable to further include the step of outputting.

또한, 상기 수평동기신호가 입력된 후 카운팅된 상기 클럭신호의 입력 개수를 상기 저장된 백포치 페디스탈레벨 구간 시작점 데이터와 상기 백포치 페디스탈레벨 구간 종료점 데이터와 비교하여, 상기 백포치 페디스탈레벨 구간이라고 판단된 경우에 상기 클램프신호를 출력하는 단계를 더 포함하는 것이 바람직하다.In addition, the number of inputs of the clock signal counted after the horizontal synchronization signal is input is compared with the stored back porch pedestal level section start point data and the back porch pedestal level section end point data, and the back porch pedestal level section If it is determined that it is preferable to further include the step of outputting the clamp signal.

그리고, 상기 수직동기신호 입력시부터 상기 수직동기신호가 입력된 후 카운팅된 수평동기신호의 입력 개수가 상기 저장된 수직블랭킹 구간 종료점 데이터와 동일해질 때까지의 구간동안 상기 수직블랭킹신호를 출력하는 단계를 더 포함하는 것이 바람직하다.And outputting the vertical blanking signal for a period from the time of inputting the vertical synchronous signal until the number of input of the horizontal synchronous signal counted after the input of the vertical synchronous signal is equal to the stored end point of the vertical blanking period. It is preferable to further include.

이하에서는 첨부도면을 참조하여 본 발명에 대해 상세히 설명한다. Hereinafter, the present invention will be described in detail with reference to the accompanying drawings.

도 1은 본 발명에 따른 영상처리신호공급장치의 제어블록도이다. 이 도면에 도시된 바와 같이, 본 영상처리신호공급장치는, 리셋신호를 입력하기 위한 리셋신호입력부(10)와, 사용자로부터 해상도 선택시 발생되는 해상도선택신호를 입력하기 위한 해상도선택신호입력부(12)와, 소정 주기의 클럭신호를 입력하기 위한 클럭신호입력부(14)와, 수평동기신호 및 수직동기신호를 입력하기 위한 수평동기신호입력부(16) 및 수직동기신호입력부(18)와, 수평블랭킹신호 및 수직블랭킹신호를 출력하기 위한 수평블랭킹신호출력부(20) 및 수직블랭킹신호출력부(22)와, 클램프신호를 출력하기 위한 클램프신호출력부(24)와, 리셋신호입력부(10), 해상도선택신호입력부(12), 클럭신호입력부(14), 수평동기신호입력부(16) 및 수직동기신호입력부(18)를 통해 입력되는 복수의 입력신호에 따라 수평블랭킹신호출력부(20), 수직블랭킹신호출력부(22) 및 클램프신호출력부(24)를 통해 각각 출력되는 영상처리신호를 제어하는 제어부(30)를 포함한다. 1 is a control block diagram of an image processing signal supply apparatus according to the present invention. As shown in this figure, the image processing signal supply apparatus includes a reset signal input unit 10 for inputting a reset signal and a resolution selection signal input unit 12 for inputting a resolution selection signal generated when a resolution is selected by a user. ), A clock signal input unit 14 for inputting a clock signal of a predetermined period, a horizontal synchronous signal input unit 16 and a vertical synchronous signal input unit 18 for inputting a horizontal synchronous signal and a vertical synchronous signal, and horizontal blanking A horizontal blanking signal output section 20 and a vertical blanking signal output section 22 for outputting a signal and a vertical blanking signal, a clamp signal output section 24 for outputting a clamp signal, a reset signal input section 10, The horizontal blanking signal output unit 20 and the vertical unit according to a plurality of input signals inputted through the resolution selection signal input unit 12, the clock signal input unit 14, the horizontal synchronous signal input unit 16, and the vertical synchronous signal input unit 18. Blanking signal output Through 22 and the clamp signal output section 24, a controller 30 for controlling the image processing signal which are respectively output.

또한, 본 영상처리신호공급장치는, 수평 및 수직블랭킹신호출력부(20, 22)와 클램프신호출력부(24)로부터 각각 출력되는 수평 및 수직블랭킹신호와 클램프신호를 합성하여 샌드캐슬펄스를 출력하는 샌드캐슬펄스생성부(40)를 더 포함한다. In addition, the image processing signal supply apparatus outputs sandcastle pulses by combining horizontal and vertical blanking signals and clamp signals output from the horizontal and vertical blanking signal output units 20 and 22 and the clamp signal output unit 24, respectively. Sand castle pulse generating unit 40 further comprises.

여기서, 제어부(30)는 해상도선택신호입력부(12), 클럭신호입력부(14), 수평 및 수직동기신호입력부(16, 18)를 통해 각각 입력되는 해상도선택신호, 클럭신호, 수평 및 수직동기신호에 따라, 선택된 해상도에 해당하는 영상신호의 수평 및 수직블랭킹구간과 백포치 페디스탈레벨 구간을 판단한다. 그리고, 수평 및 수직블랭킹구간과 백포치 페디스탈레벨 구간에 각각 수평 및 수직블랭킹신호와 클램프신호를 수평 및 수직블랭킹신호출력부(20, 22)와 클램프신호출력부(24)를 통해 출력한다. Here, the control unit 30 is a resolution selection signal input through the resolution selection signal input unit 12, the clock signal input unit 14, horizontal and vertical synchronous signal input unit 16, 18, clock signal, horizontal and vertical synchronous signal, respectively The horizontal and vertical blanking sections and the back porch pedestal level sections of the video signal corresponding to the selected resolution are determined. The horizontal and vertical blanking signals and the clamp signals are output through the horizontal and vertical blanking signal output units 20 and 22 and the clamp signal output unit 24 in the horizontal and vertical blanking sections and the back porch pedestal level sections, respectively.

또한, 제어부(30)는 리셋신호입력부(10)를 통해 리셋신호 입력시, 수평블랭킹신호, 수직블랭킹신호, 클램프신호를 리셋시킨다.In addition, the controller 30 resets the horizontal blanking signal, the vertical blanking signal, and the clamp signal when the reset signal is input through the reset signal input unit 10.

제어부(30)는 VHDL(Very high speed integrated circuit Hardware Description Language)로 구현되는 것이 가능하며, 제어부(30)의 VHDL 코드의 예를 표 1에 도시하였다. The controller 30 may be implemented in a VHDL (Very High Speed Integrated Circuit Hardware Description Language), and Table 1 shows an example of the VHDL code of the controller 30.

[표 1]TABLE 1

library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity Sync_tip1 is port ( Hsync : in STD_LOGIC; -- H SYNC(수평 동기신호) Vsync : in STD_LOGIC; -- V SYNC(수직 동기신호) rst : in STD_LOGIC; -- negative reset 신호 clk : in STD_LOGIC; -- clock(20.25Mhz) sel : in STD_LOGIC_VECTOR(1 downto 0); -- 해상도 선택 신호 H_b : out STD_LOGIC; -- 수평 blanking 신호 H_c : out STD_LOGIC; -- clamp 신호 V_b : out STD_LOGIC -- 수직 blanking 신호 ); end Sync_tip1; architecture Sync_tip of Sync_tip1 is signal vcount : std_logic_vector(4 downto 0); signal V_var : std_logic_vector(4 downto 0); signal h_var_bs ,h_var_be : std_logic_vector(10 downto 0); signal h_var_cs, h_var_ce : std_logic_vector(10 downto 0); signal hcount : std_logic_vector(10 downto 0); signal hsync_1d, hsync_2d, vsync_1d, vsync_2d : std_logic; begin   library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity Sync_tip1 is port (Hsync: in STD_LOGIC;-H SYNC Vsync: in STD_LOGIC;-V SYNC (vertical sync signal) rst: in STD_LOGIC;-negative reset signal clk: in STD_LOGIC;- clock (20.25Mhz) sel: in STD_LOGIC_VECTOR (1 downto 0);-Resolution select signal H_b: out STD_LOGIC;-Horizontal blanking signal H_c: out STD_LOGIC;-Clamp signal V_b: out STD_LOGIC-Vertical blanking signal); end Sync_tip1; architecture Sync_tip of Sync_tip1 is signal vcount: std_logic_vector (4 downto 0); signal V_var: std_logic_vector (4 downto 0); signal h_var_bs, h_var_be: std_logic_vector (10 downto 0); signal h_var_cs, h_var_ce: std_logic_vector (10 downto 0); signal hcount: std_logic_vector (10 downto 0); signal hsync_1d, hsync_2d, vsync_1d, vsync_2d: std_logic; begin

Process (clk, rst, Hsync, Vsync, sel) variable hsync_start,vsync_start : std_logic; begin case (sel) is when "00" => V_var <= conv_std_logic_vector(17,5); --해상도 480p for ntsc, pal, secam h_var_bs <=conv_std_logic_vector(2,11); --blank start point h_var_be <=conv_std_logic_vector(97,11); -- blank end point h_var_cs <= conv_std_logic_vector(37,11); -- clamp start point h_var_ce <= conv_std_logic_vector(64,11);-- clamp end point when "01" => V_var <= conv_std_logic_vector(31,5); --해상도 sd 480p h_var_bs <=conv_std_logic_vector(618,11); --blank start point h_var_be <=conv_std_logic_vector(87,11); -- blank end point h_var_cs <= conv_std_logic_vector(56,11); --clamp start point h_var_ce <= conv_std_logic_vector(77,11); --clamp end point when "10" => V_var <= conv_std_logic_vector(20,5);-- 해상도 720p h_var_bs <=conv_std_logic_vector(426,11); --blank start point h_var_be <=conv_std_logic_vector(76,11); --blank end point h_var_cs <= conv_std_logic_vector(32,11); --clamp start point h_var_ce <= conv_std_logic_vector(66,11); --clamp end point when others => V_var <= conv_std_logic_vector(17,5);--해상도 1080i h_var_bs <=conv_std_logic_vector(578,11);-- blank start point h_var_be <=conv_std_logic_vector(61,11);-- blank end point h_var_cs <= conv_std_logic_vector(30,11);-- clamp start point h_var_ce <= conv_std_logic_vector(50,11); --clamp end point end case; if rst = '0' then H_b <= '0'; H_c <= '0'; V_b <= '0'; hsync_1d <= '0'; hsync_2d <= '0'; hcount <= (others => '0'); vcount <= (others => '0'); vsync_1d <= '0'; vsync_2d <= '0'; elsif (clk'event and clk = '1') then     Process (clk, rst, Hsync, Vsync, sel)                                                    variable hsync_start, vsync_start: std_logic; begin case (sel) is when "00" => V_var <= conv_std_logic_vector (17,5); Resolution 480p for ntsc, pal, secam h_var_bs <= conv_std_logic_vector (2,11); --blank start point h_var_be <= conv_std_logic_vector (97,11); blank end point h_var_cs <= conv_std_logic_vector (37,11); -clamp start point h_var_ce <= conv_std_logic_vector (64,11);-clamp end point                                                       when "01" => V_var <= conv_std_logic_vector (31,5); -Resolution sd 480p h_var_bs <= conv_std_logic_vector (618, 11); --blank start point h_var_be <= conv_std_logic_vector (87,11); blank end point h_var_cs <= conv_std_logic_vector (56, 11); --clamp start point h_var_ce <= conv_std_logic_vector (77,11); --clamp end point                                                       when "10" => V_var <= conv_std_logic_vector (20,5);-resolution 720p h_var_bs <= conv_std_logic_vector (426,11); --blank start point h_var_be <= conv_std_logic_vector (76,11); --blank end point h_var_cs <= conv_std_logic_vector (32,11); --clamp start point h_var_ce <= conv_std_logic_vector (66,11); --clamp end point when others => V_var <= conv_std_logic_vector (17,5);-Resolution 1080i h_var_bs <= conv_std_logic_vector (578,11);-blank start point h_var_be <= conv_std_logic_vector (61,11);- blank end point h_var_cs <= conv_std_logic_vector (30,11);-clamp start point h_var_ce <= conv_std_logic_vector (50,11); --clamp end point end case;                                                    if rst = '0' then H_b <= '0'; H_c <= '0'; V_b <= '0';                                                                      hsync_1d <= '0'; hsync_2d <= '0'; hcount <= (others => '0'); vcount <= (others => '0'); vsync_1d <= '0'; vsync_2d <= '0';                                              elsif (clk'event and clk = '1') then

------ hsync regeneration part hsync_1d <= hsync; hsync_2d <= hsync_1d; hsync_start := hsync_1d AND NOT hsync_2d; --H sync start point if hsync_start = '1' then hcount <= (others => '0'); else hcount <=hcount + '1'; end if; -----------1. h blanking making part if (hcount = h_var_be) then H_b <= '0'; elsif (hcount = h_var_bs) then H_b <= '1'; end if; ----------2. clamp making part if hcount = h_var_cs then H_c <= '1'; elsif hcount = h_var_ce then H_c <= '0'; end if; ------vsync regeneration part vsync_1d <= vsync; vsync_2d <= vsync_1d; vsync_start := vsync_1d AND NOT vsync_2d; --v sync start point if vsync_start = '1' then vcount <= (others => '0'); elsif hsync_start = '1' then vcount <= vcount + '1'; end if; --------------3. v blanking making part if Vsync_start = '1' then V_b <= '1'; elsif vcount = v_var then V_b <= '0'; end if; end if; end process; end Sync_tip;  ------ hsync regeneration part hsync_1d <= hsync; hsync_2d <= hsync_1d; hsync_start: = hsync_1d AND NOT hsync_2d; --H sync start point if hsync_start = '1' then hcount <= (others => '0'); else hcount <= hcount + '1'; end if; -----------One. h blanking making part if (hcount = h_var_be) then H_b <= '0'; elsif (hcount = h_var_bs) then H_b <= '1'; end if; ----------2. clamp making part if hcount = h_var_cs then H_c <= '1'; elsif hcount = h_var_ce then H_c <= '0'; end if; ------ vsync regeneration part vsync_1d <= vsync; vsync_2d <= vsync_1d; vsync_start: = vsync_1d AND NOT vsync_2d; --v sync start point if vsync_start = '1' then vcount <= (others => '0'); elsif hsync_start = '1' then vcount <= vcount + '1'; end if; -------------- 3. v blanking making part if Vsync_start = '1' then V_b <= '1'; elsif vcount = v_var then V_b <= '0'; end if; end if; end process; end Sync_tip;

표 1에 도시된 바와 같이, 제어부(30)는 사용자의 해상도 선택에 따라 해상도선택신호입력부(12)(표 1의 'sel' 참조)를 통해 00, 01, 10, 11 중 어느 하나의 값을 입력받고, NTSC, PAL, SECAM 방식의 480p, SD 480p, 720p, 1080i 등의 해상도를 판별한다. As shown in Table 1, the control unit 30 adjusts the value of any one of 00, 01, 10, 11 through the resolution selection signal input unit 12 (see 'sel' in Table 1) according to the user's resolution selection. It receives the input, and determines the resolution of NTSC, PAL, SECAM, 480p, SD 480p, 720p, 1080i and the like.

제어부(30)는 판별된 해상도에 따라 각각 기설정된 영상신호구간기준데이터로서 수직블랭킹 구간 종료점 데이터, 수평블랭킹 구간 시작점 데이터, 수평블랭킹 구간 종료점 데이터, 백포치 페디스탈레벨 구간 시작점 데이터, 백포치 페디스탈레벨 구간 종료점 데이터를 각기 상이한 변수(V_var, h_var_bs, h_var_be, h_var_cs, h_var_ce)에 저장한다.The control unit 30 is vertical blanking section end point data, horizontal blanking section start point data, horizontal blanking section end point data, back porch pedestal level section start point data, and back porch pedestal as preset image signal section reference data according to the determined resolution. The level section endpoint data is stored in different variables V_var, h_var_bs, h_var_be, h_var_cs, and h_var_ce.

여기서, 수직블랭킹 구간 종료점 데이터는 수직동기신호가 입력된 후 수직블랭킹 구간 종료점까지의 수평동기신호의 입력 개수를 포함하며, 수평블랭킹 구간 시작점 데이터 및 수평블랭킹 구간 종료점 데이터는 수평동기신호가 입력된 후 수평블랭킹 구간 시작점 및 종료점까지의 클럭신호의 입력 개수를 포함하며, 백포치 페디스탈레벨 구간 시작점 데이터 및 백포치 페디스탈레벨 구간 종료점 데이터는 수평동기신호가 입력된 후 백포치 페디스탈레벨 구간 시작점 및 종료점까지의 클럭신호의 입력 개수를 포함한다.Here, the vertical blanking section end point data includes the number of inputs of the horizontal synchronous signal from the vertical synchronous signal input to the vertical blanking section end point, and the horizontal blanking section start point data and the horizontal blanking section end point data are inputted after the horizontal synchronous signal is inputted. The number of clock signals input to the horizontal blanking section start point and the end point is included, and the back porch pedestal level section start point data and the back porch pedestal level section end point data include the back porch pedestal level section start point and the horizontal synchronization signal. It includes the number of inputs of the clock signal to the end point.

그리고, 제어부(30)는 클럭신호의 라이징(rising) 에지(edge)를 기준으로 동작하며, 수평동기신호가 들어오는 순간의 한 클럭 동안 hsync_start값이 1이 된다. The controller 30 operates based on a rising edge of the clock signal and has a hsync_start value of 1 for one clock at the moment when the horizontal synchronization signal is received.

제어부(30)는 hsync_start값이 1일 때 hcount값을 0으로 초기화하고, 다음 클럭신호의 라이징 에지마다 1씩 증가시켜 클럭신호의 입력 개수를 카운팅한다. 즉, 수평동기신호가 들어온 후 클럭신호의 입력 개수를 카운팅하는 것이다. The controller 30 initializes the hcount value to 0 when the hsync_start value is 1, and counts the number of inputs of the clock signal by increasing the value by 1 for each rising edge of the next clock signal. That is, after the horizontal synchronization signal is input, the number of inputs of the clock signal is counted.

제어부(30)는 카운팅된 클럭신호의 입력 개수가 수평블랭킹 구간 종료점 데이터와 동일하면 수평블랭킹신호출력부(20)로 0을 출력하며, 수평블랭킹 구간 시작점 데이터와 동일하면 수평블랭킹신호출력부(20)로 1을 출력한다. 이로써, 제어부(30)는 수평블랭킹 구간에 수평블랭킹신호를 출력하게 된다(도 2의 (a) 및 (c) 참조). The controller 30 outputs 0 to the horizontal blanking signal output unit 20 when the number of inputs of the counted clock signal is equal to the horizontal blanking period end point data, and the horizontal blanking signal output unit 20 when the counted clock signal is equal to the horizontal blanking start point data. Outputs 1 As a result, the controller 30 outputs a horizontal blanking signal in the horizontal blanking section (see FIGS. 2A and 2C).

또한, 제어부(30)는 수평동기신호가 들어온 후 카운팅된 클럭신호의 입력 개수가 백포치 페디스탈레벨 구간 시작점 데이터와 동일하면 클램프신호출력부(24)로 1을 출력하고, 백포치 페디스탈레벨 구간 종료점 데이터와 동일하면 클램프신호출력부(24)로 0을 출력하여, 백포치 페디스탈레벨 구간에 클램프신호를 출력한다(도 2의 (a) 및 (d)). In addition, the controller 30 outputs 1 to the clamp signal output unit 24 when the number of input clock signals counted after the horizontal synchronization signal is equal to the back porch pedestal level section start point data, and the back porch pedestal level. If equal to the section end point data, zero is output to the clamp signal output section 24, and a clamp signal is output to the back porch pedestal level section (FIGS. 2A and 2D).

그리고, 제어부(30)는 수직동기신호가 들어오는 순간의 한 클럭 동안 vsync_start값을 1로 셋팅하여 vcount값을 0으로 초기화하고, 수평동기신호가 들어올 때마다 카운팅한다. 즉, 수직동기신호가 들어온 후 수평동기신호의 입력 개수를 카운팅하는 것이다. The controller 30 initializes the vcount value to 0 by setting the vsync_start value to 1 for one clock at the moment the vertical synchronization signal is input, and counts each time the horizontal synchronization signal is input. That is, the number of inputs of the horizontal synchronous signal is counted after the vertical synchronous signal is input.

제어부(30)는 수직동기신호 입력시에 수직블랭킹신호출력부(22)로 1을 출력하고, 카운팅된 수평동기신호의 입력 개수가 수직블랭킹 구간의 종료점 데이터와 동일해지면 수직블랭킹신호출력부(22)로 0을 출력하여, 수직블랭킹 구간 동안에 수직블랭킹신호를 출력하도록 한다(도 3의 (a) 및 (c) 참조)). The controller 30 outputs 1 to the vertical blanking signal output unit 22 when the vertical synchronous signal is input, and the vertical blanking signal output unit 22 when the number of counted horizontal synchronous signals equals the end point data of the vertical blanking section. ) To output 0 to output a vertical blanking signal during the vertical blanking period (see FIGS. 3A and 3C).

여기서, 제어부(30)는 수직동기신호가 들어온 후 수평동기신호의 입력 개수 를 카운팅하는 것으로 상술하였으나, 수직동기신호가 들어온 후 클럭신호를 카운팅할 수도 있음은 물론이다. 그러나, 이 경우 많은 양의 클럭수를 세야 하기 때문에 본 실시예에서와 같이 수평동기신호의 입력 개수를 카운팅하는 것이 보다 바람직할 것이다.Here, the controller 30 counts the number of inputs of the horizontal synchronous signal after the vertical synchronous signal is input, but may also count the clock signal after the vertical synchronous signal is input. However, in this case, since a large number of clocks must be counted, it would be more desirable to count the number of inputs of the horizontal synchronization signal as in this embodiment.

표 1의 VHDL을 이용한 설계에 의해 본 영상처리신호공급장치는 리셋신호입력부(10), 해상도선택신호입력부(12), 클럭신호입력부(14), 수평 및 수직동기신호입력부(16, 18), 수평 및 수직블랭킹신호출력부(20, 22), 클램프신호출력부(24)를 갖는 프로그래머블 로직 디바이스(Programmable Logic Device : PLD)를 포함하게 된다. 이에, 간단하고 저렴하게 수평 및 수직블랭킹신호와 클램프신호를 공급할 수 있다. According to the design using the VHDL of Table 1, the image processing signal supply device includes a reset signal input unit 10, a resolution selection signal input unit 12, a clock signal input unit 14, horizontal and vertical synchronous signal input units 16, 18, A programmable logic device (PLD) having horizontal and vertical blanking signal outputs 20 and 22 and a clamp signal output 24 is included. Thus, horizontal and vertical blanking signals and clamp signals can be supplied simply and inexpensively.

샌드캐슬펄스생성부(40)는 수평 및 수직블랭킹신호출력부(20, 22)와 클램프신호출력부(24) 각각에 일단이 접속되는 복수의 제1저항(42a, 42b, 42c)과, 각 제1저항(42a, 42b, 42c)의 타단에 애노드단이 접속되는 복수의 다이오드(44a, 44b, 44c)와, 일단이 복수의 다이오드(44a, 44b, 44c) 캐소드단에 동시 접속되고 타단이 접지에 접속되는 제2저항(46)을 포함한다. The sand castle pulse generator 40 includes a plurality of first resistors 42a, 42b, and 42c having one end connected to each of the horizontal and vertical blanking signal output units 20 and 22 and the clamp signal output unit 24. A plurality of diodes 44a, 44b, 44c having an anode terminal connected to the other end of one resistor 42a, 42b, 42c, and one end thereof are simultaneously connected to the cathode terminals of the plurality of diodes 44a, 44b, 44c, and the other end is grounded. And a second resistor 46 connected to it.

이러한 회로 구성에 의해, 수평 및 수직블랭킹신호와 클램프신호가 합성되어 샌드캐슬펄스가 생성되며, 생성된 샌드캐슬펄스는 도 2의 (e)와 같은 형태로 제2저항(46)의 일단을 통해 출력된다.By this circuit configuration, horizontal and vertical blanking signals and clamp signals are synthesized to generate sandcastle pulses, and the generated sandcastle pulses are output through one end of the second resistor 46 in the form as shown in FIG. .

이러한 구성을 갖는 본 발명에 따른 영상처리신호공급장치의 제어흐름은 도 4에 도시된 바와 같다.The control flow of the image processing signal supply apparatus according to the present invention having such a configuration is as shown in FIG.

먼저, 제어부(30)는 해상도선택신호입력부(12), 클럭신호입력부(14), 수평 및 수직동기신호입력부(16, 18)를 통해 사용자로부터 해상도 선택시의 해당 해상도선택신호, 소정 주기의 클럭신호, 수평 및 수직동기신호를 입력받는다(S10). First, the control unit 30 uses the resolution selection signal input unit 12, the clock signal input unit 14, and the horizontal and vertical synchronous signal input units 16 and 18 to select a corresponding resolution selection signal when the user selects a resolution, and a clock of a predetermined period. Receive a signal, horizontal and vertical synchronization signal (S10).

그리고, 제어부(30)는 입력받은 해상도선택신호에 따라, 선택된 해상도에 해당하는 영상신호구간기준데이터로서 수직블랭킹 구간 종료점 데이터, 수평블랭킹 구간 시작점 데이터, 수평블랭킹 구간 종료점 데이터, 백포치 페디스탈레벨 구간 시작점 데이터, 백포치 페디스탈레벨 구간 종료점 데이터를 각기 상이한 변수에 저장한다(S12).Then, the controller 30 according to the input resolution selection signal, the vertical blanking section end data, the horizontal blanking section start point data, the horizontal blanking section end point data, the back porch pedestal level section as the image signal section reference data corresponding to the selected resolution The starting point data and the back porch pedestal level section ending point data are stored in different variables (S12).

제어부(30)는 수평동기신호가 입력된 후 카운팅된 클럭신호의 입력 개수를 저장된 수평블랭킹 구간 시작점 데이터와 수평블랭킹 구간 종료점 데이터와 비교하여, 수평블랭킹 구간을 판단한다(S14). The controller 30 determines the horizontal blanking section by comparing the number of inputs of the clock signal counted after the horizontal synchronization signal is input with the stored horizontal blanking section start point data and the horizontal blanking section end point data (S14).

그리고, 수평블랭킹 구간이라고 판단된 경우에 수평블랭킹신호를 출력한다(S20). When it is determined that the horizontal blanking section is performed, a horizontal blanking signal is output (S20).

한편, 제어부(30)는 수평동기신호가 입력된 후 카운팅된 클럭신호의 입력 개수를 저장된 백포치 페디스탈레벨 구간 시작점 데이터와 백포치 페디스탈레벨 구간 종료점 데이터와 비교하여, 백포치 페디스탈레벨 구간을 판단한다(S16).Meanwhile, the controller 30 compares the input number of the clock signal counted after the horizontal synchronization signal is input with the stored back porch pedestal level section start point data and the back porch pedestal level section end point data, and the back porch pedestal level section. Determine (S16).

그리고, 백포치 페디스탈레벨 구간이라고 판단된 경우에 클램프신호를 출력한다(S22).Then, when it is determined that the back porch pedestal level section, the clamp signal is output (S22).

또한, 제어부(30)는 수직동기신호 입력을 감지하고, 수직동기신호가 입력된 후 카운팅된 수평동기신호의 입력 개수가 저장된 수직블랭킹 구간의 종료점 데이터 와 동일한지를 비교하여 수직블랭킹 구간을 판단한다(S18). In addition, the controller 30 detects the vertical synchronous signal input and compares whether the number of input of the horizontal synchronous signal counted after the vertical synchronous signal is equal to the end point data of the stored vertical blanking interval determines the vertical blanking interval ( S18).

그리고, 수직블랭킹 구간이라고 판단되면 수직블랭킹신호를 출력한다(S24). If it is determined that the vertical blanking section is performed, a vertical blanking signal is output (S24).

이렇게 출력된 수평 및 수직블랭킹신호와 클램프신호는 샌드캐슬펄스생성부(40)를 통해 합성되어(S26), 샌드캐슬펄스로 출력된다(S28).The horizontal and vertical blanking signals and the clamp signals thus output are synthesized through the sandcastle pulse generator 40 (S26) and output as sandcastle pulses (S28).

또한, 도시되지는 않았으나, 제어부(30)는 리셋신호입력부(10)를 통해 리셋신호 입력시 수평 및 수직블랭킹신호와 클램프신호를 리셋시킨다.In addition, although not shown, the controller 30 resets the horizontal and vertical blanking signals and the clamp signals when the reset signal is input through the reset signal input unit 10.

이와 같이, 본 발명은 영상신호처리에 있어 각 해상도별로 필요한 수평 및 수직블랭킹신호, 클램프신호, 샌드캐슬펄스를 간단하고 저렴하게 제공할 수 있다. As described above, the present invention can easily and inexpensively provide horizontal and vertical blanking signals, clamp signals, and sandcastle pulses required for each resolution in video signal processing.

본 발명은 상술한 실시예에 한정되지 않으며 본 발명의 사상 내에서 당업자에 의한 변형이 가능함은 물론이다. The present invention is not limited to the above-described embodiment and can be modified by those skilled in the art within the spirit of the invention.

이상 설명한 바와 같이, 본 발명에 따르면, 각 해상도별 영상신호를 처리하기 위해 필요한 복수의 신호를 간단하고 저렴하게 공급할 수 있는 영상처리신호공급장치 및 그 제어방법이 제공된다.As described above, according to the present invention, there is provided an image processing signal supply device and a method of controlling the same, which can supply a plurality of signals necessary for processing image signals for each resolution simply and inexpensively.

Claims (18)

수평 및 수직블랭킹 구간과 백포치 페디스탈레벨 구간을 갖는 영상신호를 처리하기 위한 복수의 신호를 공급하는 영상처리신호공급장치에 있어서,An image processing signal supply apparatus for supplying a plurality of signals for processing an image signal having a horizontal and vertical blanking section and a back porch pedestal level section, 사용자로부터 해상도 선택시의 해당 해상도선택신호를 입력하기 위한 해상도선택신호입력부와;A resolution selection signal input unit for inputting a corresponding resolution selection signal at the time of resolution selection by the user; 소정 주기의 클럭신호를 입력하기 위한 클럭신호입력부와;A clock signal input unit for inputting a clock signal of a predetermined period; 수평 및 수직동기신호를 입력하기 위한 수평 및 수직동기신호입력부와;A horizontal and vertical synchronous signal input unit for inputting horizontal and vertical synchronous signals; 수평 및 수직블랭킹신호를 출력하기 위한 수평 및 수직블랭킹신호출력부와;Horizontal and vertical blanking signal output units for outputting horizontal and vertical blanking signals; 클램프신호를 출력하기 위한 클램프신호출력부와; A clamp signal output unit for outputting a clamp signal; 상기 해상도선택신호입력부로부터의 해상도선택신호, 상기 클럭신호입력부로부터의 클럭신호, 상기 수평 및 수직동기신호입력부로부터의 수평 및 수직동기신호에 따라, 선택된 해상도에 해당하는 상기 영상신호의 수평 및 수직블랭킹 구간과 상기 영상신호의 백포치 페디스탈레벨 구간을 판단하고, 판단된 상기 수평 및 수직블랭킹 구간과 상기 백포치 페디스탈레벨 구간에 각각 상기 수평 및 수직블랭킹신호와 상기 클램프신호를 상기 수평 및 수직블랭킹신호출력부와 상기 클램프신호출력부를 통해 출력하는 제어부를 포함하는 것을 특징으로 하는 영상처리신호공급장치..Horizontal and vertical blanking of the video signal corresponding to the selected resolution according to the resolution selection signal from the resolution selection signal input unit, the clock signal from the clock signal input unit, and the horizontal and vertical synchronization signal from the horizontal and vertical synchronization signal input unit. Determine a section and a back porch pedestal level section of the video signal, and determine the horizontal and vertical blanking signals and the clamp signal in the determined horizontal and vertical blanking sections and the back porch pedestal level sections, respectively. And a control unit outputting the signal output unit and the clamp signal output unit. 제1항에 있어서,The method of claim 1, 리셋신호를 입력하기 위한 리셋신호입력부를 더 포함하고;A reset signal input section for inputting a reset signal; 상기 제어부는 상기 리셋신호입력부를 통한 리셋신호 입력시, 상기 수평 및 수직블랭킹신호, 클램프신호를 리셋시키는 것을 특징으로 하는 영상처리신호공급장치.And the control unit resets the horizontal and vertical blanking signals and the clamp signal when the reset signal is input through the reset signal input unit. 제1항에 있어서,The method of claim 1, 상기 수평 및 수직블랭킹신호출력부와 상기 클램프신호출력부로부터 각각 출력되는 상기 수평 및 수직블랭킹신호와 상기 클램프신호를 합성하여 샌드캐슬펄스를 출력하는 샌드캐슬펄스생성부를 더 포함하는 것을 특징으로 하는 영상처리신호공급장치.And a sandcastle pulse generator for synthesizing the horizontal and vertical blanking signal and the clamp signal output from the horizontal and vertical blanking signal output unit and the clamp signal output unit, respectively, and outputting sandcastle pulses. Feeder. 제3항에 있어서,The method of claim 3, 상기 샌드캐슬펄스생성부는 상기 수평 및 수직블랭킹신호출력부와 상기 클램프신호출력부 각각에 일단이 접속되는 복수의 제1저항과, 상기 각 제1저항의 타단에 애노드단이 접속되는 복수의 다이오드와, 일단이 상기 다이오드의 캐소드단에 동시 접속되고 타단이 접지에 접속되는 제2저항을 포함하며, 상기 제2저항의 일단을 통해 상기 샌드캐슬펄스가 출력되는 것을 특징으로 하는 영상처리신호공급장치.The sand castle pulse generating unit includes a plurality of first resistors having one end connected to each of the horizontal and vertical blanking signal output units and the clamp signal output unit, and a plurality of diodes having an anode end connected to the other end of each of the first resistors; And a second resistor, one end of which is simultaneously connected to the cathode end of the diode and the other end of which is connected to ground, wherein the sandcastle pulse is output through one end of the second resistor. 제4항에 있어서, The method of claim 4, wherein 상기 제어부는, 상기 해상도선택신호 입력시 선택된 해상도에 해당하는 소정의 수직블랭킹 구간 종료점 데이터, 수평블랭킹 구간 시작점 데이터, 수평블랭킹 구간 종료점 데이터, 백포치 페디스탈레벨 구간 시작점 데이터, 백포치 페디스탈레벨 구간 종료점 데이터를 포함하는 복수의 영상신호구간기준데이터를 각기 상이한 변수에 저장하는 것을 특징으로 하는 영상처리신호공급장치.The control unit may include predetermined vertical blanking section end point data, horizontal blanking section start point data, horizontal blanking section end point data, back porch pedestal level section start point data, and back porch pedestal level section corresponding to the resolution selected when the resolution selection signal is input. And a plurality of image signal section reference data including end point data are stored in different variables. 제5항에 있어서,The method of claim 5, 상기 수직블랭킹 구간 종료점 데이터는 상기 수직동기신호가 입력된 후 상기 수직블랭킹 구간 종료점까지의 상기 수평동기신호의 입력 개수를 포함하며, 상기 수평블랭킹 구간 시작점 데이터 및 상기 수평블랭킹 구간 종료점 데이터는 상기 수평동기신호가 입력된 후 상기 수평블랭킹 구간 시작점 및 종료점까지의 상기 클럭신호의 입력 개수를 포함하며, 상기 백포치 페디스탈레벨 구간 시작점 데이터 및 상기 백포치 페디스탈레벨 구간 종료점 데이터는 상기 수평동기신호가 입력된 후 상기 백포치 페디스탈레벨 구간 시작점 및 종료점까지의 상기 클럭신호의 입력 개수를 포함하는 것을 특징으로 하는 영상처리신호공급장치.The vertical blanking interval end point data includes the number of inputs of the horizontal synchronization signal from the vertical synchronization signal to the vertical blanking interval end point, and the horizontal blanking interval start point data and the horizontal blanking interval end point data are the horizontal synchronization. And a number of inputs of the clock signal from the horizontal blanking interval start point and the end point after the signal is input, wherein the back porch pedestal level interval start point data and the back porch pedestal level interval end point data are inputted by the horizontal synchronization signal. And a number of inputs of the clock signal to a start point and an end point of the back porch pedestal level section after being input. 제6항에 있어서,The method of claim 6, 상기 제어부는 상기 수평동기신호가 입력된 후 카운팅된 상기 클럭신호의 입력 개수를 상기 저장된 수평블랭킹 구간 시작점 데이터와 상기 수평블랭킹 구간 종료점 데이터와 비교하여, 상기 수평블랭킹 구간이라고 판단된 경우에 상기 수평블 랭킹신호를 출력하는 것을 특징으로 하는 영상처리신호공급장치. The control unit compares the number of inputs of the clock signal counted after the horizontal synchronization signal is input with the stored horizontal blanking section start point data and the horizontal blanking section end point data, and determines that the horizontal blanking section is the horizontal blanking section. And an image processing signal supply device for outputting a ranking signal. 제7항에 있어서,The method of claim 7, wherein 상기 제어부는 상기 수평동기신호가 입력된 후 카운팅된 상기 클럭신호의 입력 개수를 상기 저장된 백포치 페디스탈레벨 구간 시작점 데이터와 상기 백포치 페디스탈레벨 구간 종료점 데이터와 비교하여, 상기 백포치 페디스탈레벨 구간이라고 판단된 경우에 상기 클램프신호를 출력하는 것을 특징으로 하는 영상처리신호공급장치.The controller compares the number of inputs of the clock signal counted after the horizontal synchronization signal is input with the stored back porch pedestal level section start point data and the back porch pedestal level section end point data, and the back porch pedistal level. And outputting the clamp signal when it is determined to be a section. 제8항에 있어서,The method of claim 8, 상기 제어부는 상기 수직동기신호 입력시부터 상기 수직동기신호가 입력된 후 카운팅된 수평동기신호의 입력 개수가 상기 저장된 수직블랭킹 구간 종료점 데이터와 동일해질 때까지의 구간동안 상기 수직블랭킹신호를 출력하는 것을 특징으로 하는 영상처리신호공급장치.The control unit outputs the vertical blanking signal during the interval from the input of the vertical synchronization signal until the number of input of the horizontal synchronization signal counted after the input of the vertical synchronization signal is equal to the stored end data of the vertical blanking interval. An image processing signal supply device. 제1항 내지 제9항 중 어느 한 항에 있어서,The method according to any one of claims 1 to 9, 상기 제어부는 VHDL에 의해 구현되는 것을 특징으로 하는 영상처리신호공급장치.The control unit is an image processing signal supply device, characterized in that implemented by VHDL. 수평 및 수직블랭킹 구간과 백포치 페디스탈레벨 구간을 갖는 영상신호를 처 리하기 위한 복수의 신호를 공급하는 영상처리신호공급장치의 제어방법에 있어서,In the control method of the image processing signal supply device for supplying a plurality of signals for processing the image signal having a horizontal and vertical blanking section and a back porch pedestal level section, 사용자로부터 해상도 선택시의 해당 해상도선택신호, 소정 주기의 클럭신호, 수평 및 수직동기신호를 입력받는 단계와;Receiving a corresponding resolution selection signal, a clock signal of a predetermined period, and horizontal and vertical synchronization signals when selecting a resolution from a user; 입력받은 상기 해상도선택신호, 상기 클럭신호, 상기 수평 및 수직동기신호에 따라, 선택된 해상도에 해당하는 상기 영상신호의 수평 및 수직블랭킹 구간과 상기 영상신호의 백포치 페디스탈레벨 구간을 판단하는 단계와;Determining horizontal and vertical blanking sections of the video signal corresponding to the selected resolution and back porch pedestal level sections of the video signal according to the resolution selection signal, the clock signal, and the horizontal and vertical synchronization signals received; ; 판단된 상기 수평 및 수직블랭킹 구간과 상기 백포치 페디스탈레벨 구간에 각각 상기 수평 및 수직블랭킹신호와 상기 클램프신호를 출력하는 단계를 포함하는 것을 특징으로 하는 영상처리신호공급장치의 제어방법.And outputting the horizontal and vertical blanking signals and the clamp signal to the determined horizontal and vertical blanking sections and the back porch pedestal level sections, respectively. 제11항에 있어서,The method of claim 11, 리셋신호를 입력받는 단계와;Receiving a reset signal; 입력받은 상기 리셋신호에 따라 상기 수평 및 수직블랭킹신호, 상기 클램프신호를 리셋시키는 단계를 더 포함하는 것을 특징으로 하는 영상처리신호공급장치의 제어방법.And resetting the horizontal and vertical blanking signals and the clamp signal according to the input reset signal. 제11항에 있어서,The method of claim 11, 출력되는 상기 수평 및 수직블랭킹신호와 상기 클램프신호를 합성하여 샌드캐슬펄스를 출력하는 단계를 더 포함하는 것을 특징으로 하는 영상처리신호공급장치의 제어방법.And synthesizing the horizontal and vertical blanking signals and the clamp signal to output sandcastle pulses. 제13항에 있어서, The method of claim 13, 상기 해상도선택신호 입력시 선택된 해상도에 해당하는 소정의 수직블랭킹 구간 종료점 데이터, 수평블랭킹 구간 시작점 데이터, 수평블랭킹 구간 종료점 데이터, 백포치 페디스탈레벨 구간 시작점 데이터, 백포치 페디스탈레벨 구간 종료점 데이터를 포함하는 복수의 영상신호구간기준데이터를 각기 상이한 변수에 저장하는 단계를 더 포함하는 것을 특징으로 하는 영상처리신호공급장치의 제어방법.The predetermined vertical blanking section end point data, the horizontal blanking section start point data, the horizontal blanking section end point data, the back porch pedestal level section start point data, and the back porch pedestal level section end point data corresponding to the selected resolution when the resolution selection signal is input. And storing the plurality of image signal section reference data in different variables. 제14항에 있어서,The method of claim 14, 상기 수직블랭킹 구간 종료점 데이터는 상기 수직동기신호가 입력된 후 상기 수직블랭킹 구간 종료점까지의 상기 수평동기신호의 입력 개수를 포함하며, 상기 수평블랭킹 구간 시작점 데이터 및 상기 수평블랭킹 구간 종료점 데이터는 상기 수평동기신호가 입력된 후 상기 수평블랭킹 구간 시작점 및 종료점까지의 상기 클럭신호의 입력 개수를 포함하며, 상기 백포치 페디스탈레벨 구간 시작점 데이터 및 상기 백포치 페디스탈레벨 구간 종료점 데이터는 상기 수평동기신호가 입력된 후 상기 백포치 페디스탈레벨 구간 시작점 및 종료점까지의 상기 클럭신호의 입력 개수를 포함하는 것을 특징으로 하는 영상처리신호공급장치의 제어방법.The vertical blanking interval end point data includes the number of inputs of the horizontal synchronization signal from the vertical synchronization signal to the vertical blanking interval end point, and the horizontal blanking interval start point data and the horizontal blanking interval end point data are the horizontal synchronization. And a number of inputs of the clock signal from the horizontal blanking interval start point and the end point after the signal is input, wherein the back porch pedestal level interval start point data and the back porch pedestal level interval end point data are inputted by the horizontal synchronization signal. And a number of inputs of the clock signal to a start point and an end point of the back porch pedestal level section after being input. 제15항에 있어서,The method of claim 15, 상기 수평동기신호가 입력된 후 카운팅된 상기 클럭신호의 입력 개수를 상기 저장된 수평블랭킹 구간 시작점 데이터와 상기 수평블랭킹 구간 종료점 데이터와 비교하여, 상기 수평블랭킹 구간이라고 판단된 경우에 상기 수평블랭킹신호를 출력하는 단계를 더 포함하는 것을 특징으로 하는 영상처리신호공급장치의 제어방법. The horizontal blanking signal is output when the horizontal synchronization signal is determined to be the horizontal blanking section by comparing the input number of the clock signal counted after the horizontal synchronization signal is input with the stored horizontal blanking section start point data and the horizontal blanking section end point data. The control method of the image processing signal supply device further comprising the step of. 제16항에 있어서,The method of claim 16, 상기 수평동기신호가 입력된 후 카운팅된 상기 클럭신호의 입력 개수를 상기 저장된 백포치 페디스탈레벨 구간 시작점 데이터와 상기 백포치 페디스탈레벨 구간 종료점 데이터와 비교하여, 상기 백포치 페디스탈레벨 구간이라고 판단된 경우에 상기 클램프신호를 출력하는 단계를 더 포함하는 것을 특징으로 하는 영상처리신호공급장치의 제어방법.The number of clock signals counted after the horizontal synchronization signal is input is compared with the stored back porch pedestal level section start point data and the back porch pedestal level section end point data, and determined to be the back porch pedestal level section. And outputting the clamp signal when the image processing signal is supplied. 제17항에 있어서,The method of claim 17, 상기 수직동기신호 입력시부터 상기 수직동기신호가 입력된 후 카운팅된 수평동기신호의 입력 개수가 상기 저장된 수직블랭킹 구간 종료점 데이터와 동일해질 때까지의 구간동안 상기 수직블랭킹신호를 출력하는 단계를 더 포함하는 것을 특징으로 하는 영상처리신호공급장치의 제어방법.Outputting the vertical blanking signal for a period from the time of inputting the vertical synchronous signal until the number of input of the horizontal synchronous signal counted after the input of the vertical synchronous signal is equal to the stored end point of the vertical blanking period; The control method of the image processing signal supply device, characterized in that.
KR1020030077587A 2003-11-04 2003-11-04 Video processing signal supplier and control method thereof KR100549068B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030077587A KR100549068B1 (en) 2003-11-04 2003-11-04 Video processing signal supplier and control method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030077587A KR100549068B1 (en) 2003-11-04 2003-11-04 Video processing signal supplier and control method thereof

Publications (2)

Publication Number Publication Date
KR20050042927A KR20050042927A (en) 2005-05-11
KR100549068B1 true KR100549068B1 (en) 2006-02-06

Family

ID=37243752

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030077587A KR100549068B1 (en) 2003-11-04 2003-11-04 Video processing signal supplier and control method thereof

Country Status (1)

Country Link
KR (1) KR100549068B1 (en)

Also Published As

Publication number Publication date
KR20050042927A (en) 2005-05-11

Similar Documents

Publication Publication Date Title
JP2833144B2 (en) Composite standard on-screen display device for TV receiver having display position setting and display position setting method
US6215467B1 (en) Display control apparatus and method and display apparatus
US4136359A (en) Microcomputer for use with video display
US5912711A (en) Apparatus for converting and scaling non-interlaced VGA signal to interlaced TV signal
US5206714A (en) Circuit for controlling the time interval between rotational movements of a plurality of subordinate pictures in a picture-in-picture-type television or VTR system and method therefor
KR100312710B1 (en) Clock pulse generator for digital imaging system
DK168182B1 (en) Television receiver with character generator which includes a non-line synchronized clock oscillator
JP2589973B2 (en) Synchronizer
KR100549068B1 (en) Video processing signal supplier and control method thereof
US6396486B1 (en) Pixel clock generator for automatically adjusting the horizontal resolution of an OSD screen
JP3154190B2 (en) General-purpose scanning cycle converter
US5150107A (en) System for controlling the display of images in a region of a screen
KR20010070301A (en) Synchronous frequency converting circuit
US6195087B1 (en) Method and device for preventing the jumping phenomenon of an OSD display region on a monitor screen
US6765620B2 (en) Synchronous signal generation circuit and synchronous signal generation method
US5805151A (en) Raster contoller
EP0520223A2 (en) Image display apparatus
KR100343142B1 (en) Apparatus and method for automatically controlling on screen display font height, and processor having the same
JP3431171B2 (en) Digital convergence correction device and display device
KR0140345B1 (en) Automatic convergence regulating circuits of projection t.v
JP3039692B2 (en) HDTV / EDTV display device
JPH0455022B2 (en)
JPH08297477A (en) Device and method for display control and display device
JP3338173B2 (en) Video signal processing device
JPH08328529A (en) Display device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121228

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20131230

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee