KR100531460B1 - Method for manufacturing semiconductor devcie - Google Patents

Method for manufacturing semiconductor devcie Download PDF

Info

Publication number
KR100531460B1
KR100531460B1 KR10-2004-0031934A KR20040031934A KR100531460B1 KR 100531460 B1 KR100531460 B1 KR 100531460B1 KR 20040031934 A KR20040031934 A KR 20040031934A KR 100531460 B1 KR100531460 B1 KR 100531460B1
Authority
KR
South Korea
Prior art keywords
film
field oxide
gate electrode
oxide film
gate
Prior art date
Application number
KR10-2004-0031934A
Other languages
Korean (ko)
Other versions
KR20050106874A (en
Inventor
김동훈
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR10-2004-0031934A priority Critical patent/KR100531460B1/en
Publication of KR20050106874A publication Critical patent/KR20050106874A/en
Application granted granted Critical
Publication of KR100531460B1 publication Critical patent/KR100531460B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Element Separation (AREA)

Abstract

본 발명은 게이트전극 패턴의 쓰러짐을 방지함과 동시에 인접한 게이트전극 패턴간에 발생하는 기생캐패시턴스를 줄일 수 있는 반도체소자 및 그 제조 방법을 제공하기 위한 것으로, 본 발명의 반도체소자는 반도체 기판, 상기 반도체기판 내에 형성되어 복수개의 활성영역을 정의하면서 상기 반도체 기판 표면 위로 돌출된 돌출부를 갖는 필드산화막, 상기 활성영역 상에 형성된 게이트산화막, 및 상기 게이트산화막 상에 형성되면서 상기 활성영역과 상기 필드산화막을 모두 덮는 형태이되, 상기 필드산화막의 돌출부 사이에 자신의 일부가 매립되는 게이트전극을 포함하여, 게이트전극의 하부면적의 접촉면적을 증가시키므로써 게이트패턴의 쓰러짐을 방지할 수 있으며, 또한, 인접한 게이트패턴간 오버랩 면적을 감소시켜 기생캐패시턴스를 줄여 트랜지스터의 동작속도를 향상시킬 수 있는 효과가 있다.The present invention is to provide a semiconductor device and a method of manufacturing the semiconductor device that can prevent the collapse of the gate electrode pattern and at the same time reduce the parasitic capacitance generated between the adjacent gate electrode pattern, the semiconductor device of the present invention is a semiconductor substrate, the semiconductor substrate A field oxide film having a protrusion formed therein and protruding over the surface of the semiconductor substrate to define a plurality of active regions, a gate oxide film formed on the active region, and covering both the active region and the field oxide film formed on the gate oxide film. Including the gate electrode is embedded between the protrusions of the field oxide film, it is possible to prevent the collapse of the gate pattern by increasing the contact area of the lower area of the gate electrode, and between adjacent gate patterns Reduces parasitic capacitance by reducing overlap area There is an effect that it is possible to improve the operating speed of the transistor.

Description

반도체소자의 제조 방법{METHOD FOR MANUFACTURING SEMICONDUCTOR DEVCIE} Manufacturing method of semiconductor device {METHOD FOR MANUFACTURING SEMICONDUCTOR DEVCIE}

본 발명은 반도체 제조 기술에 관한 것으로, 특히 게이트전극을 구비한 반도체소자의 제조 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to semiconductor manufacturing technology, and more particularly to a method for manufacturing a semiconductor device having a gate electrode.

최근에 MOSFET의 디자인룰이 90nm급 레벨로 급속히 감소되면서 그에 대응하는 게이트전극의 선폭, 게이트산화막의 두께, 접합 깊이 등도 매우 작아지고 있는 실정이다. 그 중에서도 특히 게이트전극 측면에서 볼 때, RC 지연(delay) 문제를 해결하기 위한 저저항 게이트전극 개발이 요구되고 있다.Recently, as the design rules of MOSFETs are rapidly reduced to the 90 nm level, the line widths of gate electrodes, the thickness of gate oxide films, and the depth of junctions correspondingly decrease. In particular, in view of the gate electrode, there is a need to develop a low resistance gate electrode to solve the RC delay problem.

따라서, 일반적인 폴리실리콘막 게이트전극을 대체할 수 있는 전이금속 실리사이드(Transition metal-silicide)/폴리실리콘막의 적층 게이트전극[이하 폴리사이드(polycide) 게이트전극]에 대한 연구가 진행되었고, 그 결과 현재에는 텅스텐폴리사이드 게이트전극이 양산에 적용되어 제품으로 생산되고 있다.Therefore, the research on the laminated gate electrode (hereinafter referred to as polycide gate electrode) of the transition metal silicide / polysilicon film that can replace the general polysilicon film gate electrode has been conducted. Tungsten polyside gate electrode is applied to mass production and is produced as a product.

도 1a 및 도 1b는 일반적인 DRAM의 셀 및 셀 주변의 트랜지스터를 나타낸 레이아웃도이다.1A and 1B are layout diagrams illustrating cells of a general DRAM and transistors around the cells.

도 1a에 도시된 바와 같이, DRAM 셀은 웨이퍼(100) 내에 활성영역(Active area, 101a)과 소자분리영역(102)이 정의되고, 활성영역(101a) 상부를 가로지르는 복수개의 게이트전극 패턴(G1)이 소정 간격을 두고 형성된다.As shown in FIG. 1A, a DRAM cell includes an active region 101a and an isolation region 102 in a wafer 100, and includes a plurality of gate electrode patterns crossing the upper portion of the active region 101a. G1) is formed at predetermined intervals.

그리고, 도 1b에 도시된 바와 같이, 셀 주변의 트랜지스터는 웨이퍼(100) 내에 트랜지스터의 소스/드레인이 될 활성영역(101b)이 형성되고, 활성영역(101b) 상부에 게이트전극패턴(G2)이 배치된다. 그리고, 활성영역(101b)과 게이트전극패턴(G2)에 신호를 인가하기 위한 배선층과의 콘택(103)이 형성된다.As shown in FIG. 1B, in the transistors around the cell, an active region 101b to be a source / drain of the transistor is formed in the wafer 100, and a gate electrode pattern G2 is formed on the active region 101b. Is placed. A contact 103 is formed between the active region 101b and the wiring layer for applying a signal to the gate electrode pattern G2.

도 2a 및 도 2b는 도 1의 각 절단면(A-A', B-B', C-C', D-D')에 따른 단면도이다. 2A and 2B are cross-sectional views taken along cut planes A-A ', B-B', C-C ', and D-D' of FIG. 1.

먼저, 도 1의 A-A', B-B'선에 따른 단면도를 나타내고 있는 도 2a를 참조하면, 반도체 기판(11)에 소자분리영역인 필드산화막(12)이 형성되고, 필드산화막(12) 및 반도체 기판(11) 상부에 게이트산화막(13), 폴리실리콘막(14), 텅스텐실리사이드막(15) 및 게이트하드마스크(16)의 순서로 적층된 게이트전극 패턴(G1)이 형성된다. 그리고, 게이트전극 패턴(G1)의 양측벽에는 게이트스페이서(17)가 형성된다.First, referring to FIG. 2A, which shows cross-sectional views along the lines A-A 'and B-B' of FIG. 1, a field oxide film 12, which is an isolation region, is formed on a semiconductor substrate 11, and the field oxide film 12 is formed. ) And a gate electrode pattern G1 stacked in the order of the gate oxide film 13, the polysilicon film 14, the tungsten silicide film 15, and the gate hard mask 16 on the semiconductor substrate 11. Gate spacers 17 are formed on both sidewalls of the gate electrode pattern G1.

그리고, 주변 트랜지스터의 게이트전극패턴(G2)도 셀에 형성되는 게이트전극패턴(G1)과 동일한 구조로 형성된다. 여기서, 배선층(19)은 게이트패턴을 덮는 층간절연막(18)과 게이트하드마스크(16)를 관통하여 텅스텐실리사이드(15)와 연결되고 있다.The gate electrode pattern G2 of the peripheral transistor is also formed in the same structure as the gate electrode pattern G1 formed in the cell. Here, the wiring layer 19 is connected to the tungsten silicide 15 through the interlayer insulating film 18 and the gate hard mask 16 covering the gate pattern.

위와 같은 도 2a에서 게이트전극패턴(G1, G2)은 자신의 장축 방향이 도시된 것으로, 반도체기판(11) 및 필드산화막(12)에 걸쳐서 길게 오버랩되어 형성되고 있다.In FIG. 2A, the gate electrode patterns G1 and G2 have their long axis directions, and are formed to overlap the semiconductor substrate 11 and the field oxide film 12.

다음으로, 도 1의 C-C', D-D'선에 따른 단면도를 나타내고 있는 도 2b를 참조하면, 도 2a와 동일하게, 반도체 기판(11)에 소자분리영역인 필드산화막(12)이 형성되고, 필드산화막(12) 및 반도체 기판(11) 상부에 게이트산화막(13), 폴리실리콘막(14), 텅스텐실리사이드막(15) 및 게이트하드마스크(16)의 순서로 적층된 게이트전극 패턴(G1, G2)이 형성된다. 그리고, 게이트전극 패턴(G1)의 양측벽에는 게이트스페이서(17)가 형성된다.Next, referring to FIG. 2B, which is a cross-sectional view taken along lines C-C ′ and D-D ′ of FIG. 1, similarly to FIG. 2A, a field oxide film 12 as an element isolation region is formed on a semiconductor substrate 11. A gate electrode pattern formed on the field oxide film 12 and the semiconductor substrate 11 in the order of the gate oxide film 13, the polysilicon film 14, the tungsten silicide film 15, and the gate hard mask 16. (G1, G2) are formed. Gate spacers 17 are formed on both sidewalls of the gate electrode pattern G1.

위와 같은 도 2b에서 게이트전극패턴(G1, G2)은 자신의 단축 방향이 도시된 것으로, 셀에서는 반도체기판(11) 상부 및 필드산화막(12) 상부에 각각 형성되고, 주변 트랜지스터에서는 반도체 기판(11) 상부에 형성되고 있다.In FIG. 2B, the gate electrode patterns G1 and G2 have their short axis directions, and are formed on the semiconductor substrate 11 and the field oxide layer 12 in the cell, and in the peripheral transistor, the semiconductor substrate 11. ) Is formed on top.

그러나, 도 2a 및 도 2b와 같은 종래기술의 반도체소자 제조시 디자인룰(Design rule)이 축소됨에 따라 트랜지스터 형성을 위한 게이트전극 패턴의 폭 축소(20) 및 게이트전극 패턴의 쓰러짐 문제의 원인이 되고 있다. 또한 게이트전극의 쓰러짐 방지를 위해서는 게이트전극 패턴의 두께(21)를 낮추는 것이 유리하나 시트저항(Rs) 문제로 인해 오히려 두께를 높이거나, 이전 제품의 수준을 유지해야 하는 상황이다. 즉, 반도체 공정이 라인폭은 축소되고 막 두께는 높아지는 구조로 진행되므로 패턴 쓰러짐 측면에서는 점점 취약한 구조로 진행되기 때문이다.However, as the design rule is reduced when manufacturing a semiconductor device of the related art as shown in FIGS. 2A and 2B, the width reduction 20 of the gate electrode pattern for forming the transistor and the collapse of the gate electrode pattern are caused. have. In addition, in order to prevent the gate electrode from falling, it is advantageous to lower the thickness 21 of the gate electrode pattern, but due to the sheet resistance (Rs) problem, it is necessary to increase the thickness or maintain the level of the previous product. That is, since the semiconductor process proceeds to a structure in which the line width is reduced and the film thickness is increased, the semiconductor process is increasingly weak in terms of pattern collapse.

본 발명은 상기한 종래 기술의 문제점을 해결하기 위해 제안된 것으로, 게이트전극 패턴의 쓰러짐을 방지함과 동시에 인접한 게이트전극 패턴간에 발생하는 기생캐패시턴스를 줄일 수 있는 반도체소자 및 그 제조 방법을 제공하는데 그 목적이 있다. The present invention has been proposed to solve the above-mentioned problems of the prior art, and provides a semiconductor device and a method of manufacturing the same, which can prevent parasitic capacitance occurring between adjacent gate electrode patterns while preventing the gate electrode pattern from falling down. There is a purpose.

상기 목적을 달성하기 위한 본 발명의 반도체소자는 반도체 기판, 상기 반도체기판 내에 형성되어 복수개의 활성영역을 정의하면서 상기 반도체 기판 표면 위로 돌출된 돌출부를 갖는 필드산화막, 상기 활성영역 상에 형성된 게이트산화막, 및 상기 게이트산화막 상에 형성되면서 상기 활성영역과 상기 필드산화막을 모두 덮는 형태이되, 상기 필드산화막의 돌출부 사이에 자신의 일부가 매립되는 게이트전극을 포함하는 것을 특징으로 하며, 상기 게이트전극은 상기 필드산화막의 돌출부 사이에 매립된 상기 게이트산화막 상의 제1막, 및 상기 제1막과 상기 필드산화막 표면을 덮는 제2막을 포함하는 것을 특징으로 한다.The semiconductor device of the present invention for achieving the above object is a semiconductor substrate, a field oxide film formed in the semiconductor substrate and having a protrusion projecting over the surface of the semiconductor substrate defining a plurality of active regions, a gate oxide film formed on the active region, And a gate electrode formed on the gate oxide film and covering both the active region and the field oxide film, wherein a portion of the gate electrode is buried between the protrusions of the field oxide film. And a first film on the gate oxide film embedded between the protrusions of the oxide film, and a second film covering the surface of the first film and the field oxide film.

그리고, 본 발명의 반도체소자의 제조 방법은 반도체 기판에 복수개의 활성영역을 정의하면서 상기 반도체 기판 표면 위로 돌출된 돌출부를 갖는 필드산화막을 형성하는 단계, 상기 활성영역 상에 게이트산화막을 형성하는 단계, 및 상기 게이트산화막 상에 상기 필드산화막의 돌출부 사이에 자신의 일부가 매립되면서 상기 활성영역과 상기 필드산화막을 모두 덮는 형태의 게이트전극을 형성하는 단계를 포함하는 것을 특징으로 하며, 상기 필드산화막을 형성하는 단계는 상기 반도체 기판 상부에 소자분리용 패드패턴을 형성하는 단계, 상기 패드패턴을 식각배리어로 상기 반도체기판을 식각하여 트렌치를 형성하는 단계, 상기 트렌치를 채울때까지 갭필절연막을 형성하는 단계, 상기 패드패턴의 표면이 드러날때까지 상기 갭필절연막을 언더 화학적기계적연마(Under CMP)하는 단계, 및 상기 패드패턴을 선택적으로 제거하는 단계를 포함하는 것을 특징으로 하고, 상기 게이트전극을 형성하는 단계는 상기 필드산화막의 돌출부 사이를 채울때까지 상기 필드산화막 상부에 게이트전극용 제1막을 증착하는 단계, 상기 필드산화막의 표면이 드러날때까지 상기 게이트전극용 제1막을 화학적기계적연마하는 단계, 상기 연마된 제1막을 포함한 전면에 게이트전극용 제2막을 형성하는 단계, 및 상기 필드산화막과 상기 제2막을 모두 덮는 형태로 상기 제2막을 선택적으로 식각하는 단계를 포함하는 것을 특징으로 한다.In addition, the method of manufacturing a semiconductor device of the present invention comprises the steps of forming a field oxide film having a protrusion protruding on the surface of the semiconductor substrate while defining a plurality of active regions on the semiconductor substrate, forming a gate oxide film on the active region, And forming a gate electrode having a portion covering the active region and the field oxide film while a portion of the field oxide film is buried between the protrusions of the field oxide film on the gate oxide film. The method may include forming a device isolation pad pattern on the semiconductor substrate, forming a trench by etching the semiconductor substrate using the pad pattern as an etch barrier, and forming a gap fill insulating layer until the trench fills the trench. Under-gap the gap fill insulating layer until the surface of the pad pattern is exposed. And mechanically polishing (Under CMP), and selectively removing the pad pattern, wherein the forming of the gate electrode is formed on the field oxide layer until it fills between the protrusions of the field oxide layer. Depositing a first film for a gate electrode, chemical mechanical polishing the first electrode for a gate electrode until the surface of the field oxide film is exposed, and forming a second film for the gate electrode on the entire surface including the polished first film And selectively etching the second film in such a manner as to cover both the field oxide film and the second film.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부 도면을 참조하여 설명하기로 한다.Hereinafter, the most preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art may easily implement the technical idea of the present invention. .

도 3a 내지 도 3h는 본 발명의 실시예에 따른 반도체소자의 제조 방법을 도시한 공정 단면도이다. 3A to 3H are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention.

이하, 도면의 좌측은 도 1a의 A-A'선에 따른 본 발명의 공정 단면도이고, 도면의 우측은 도 1b의 B-B'선에 따른 본 발명의 공정 단면도를 나타낸 것으로, 설명의 편의상 절단선은 생략하기로 하며, 모두 y축 방향의 제조 공정 단면도이다. Hereinafter, the left side of the figure is a cross-sectional view of the present invention taken along the line AA ′ of FIG. 1A, and the right side of the figure shows a cross-sectional view of the present invention taken along the line B-B ′ of FIG. 1B, and is cut for convenience of description. Lines will be omitted and are all cross-sectional views of the manufacturing process in the y-axis direction.

도 3a에 도시된 바와 같이, 반도체 기판(31) 상에 버퍼산화막(32)을 증착한 후, 버퍼산화막(32) 상에 하드마스크질화막(33)을 증착한다. 여기서, 버퍼산화막(32)은 하드마스크질화막(33) 증착시 반도체 기판(31) 표면이 받는 스트레스를 완화시켜주기 위한 것이고, 하드마스크질화막(33)은 후속 ISO 식각시 식각마스크 및 화학적기계적연마용 패드로 작용한다.As shown in FIG. 3A, after the buffer oxide film 32 is deposited on the semiconductor substrate 31, the hard mask nitride film 33 is deposited on the buffer oxide film 32. Here, the buffer oxide film 32 is to relieve stress on the surface of the semiconductor substrate 31 when the hard mask nitride film 33 is deposited, and the hard mask nitride film 33 is used for etching etching and chemical mechanical polishing during subsequent ISO etching. It acts as a pad.

다음으로, 하드마스크질화막(33) 상에 감광막을 도포하고 노광 및 현상으로 패터닝하여 소자분리영역을 정의하기 위한 ISO 마스크(도시 생략)를 형성한 후, ISO 마스크를 식각마스크로 하여 하드마스크질화막(33)과 버퍼산화막(32)을 순차적으로 식각한다.Next, an ISO mask (not shown) is formed on the hard mask nitride film 33 and patterned by exposure and development to define an isolation region, and then the ISO mask is used as an etching mask. 33) and the buffer oxide film 32 are sequentially etched.

다음으로, ISO 마스크를 제거한 후, 하드마스크질화막(33)을 식각마스크로 하여 버퍼산화막(32) 식각후 노출된 반도체 기판(31)을 소정 깊이로 식각하여 트렌치(34)를 형성한다.Next, after the ISO mask is removed, the trench 34 is formed by etching the exposed semiconductor substrate 31 to a predetermined depth using the hard mask nitride film 33 as an etching mask after etching the buffer oxide film 32.

도 3b에 도시된 바와 같이, 반도체기판(31) 상부에 트렌치(34)가 충분히 매립되도록 소자분리용 절연막, 예를 들어, 고밀도플라즈마산화막(35)을 증착한다. 이때, 고밀도플라즈마산화막(35)은 실리콘소스와 산소가스를 이용한 플라즈마증착법, 바람직하게는 고밀도 플라즈마(High Density Plasma)를 이용한 화학기상증착법(CVD)을 이용한다.As shown in FIG. 3B, an insulating film for separating an element, for example, a high density plasma oxide layer 35, is deposited to sufficiently fill the trench 34 on the semiconductor substrate 31. At this time, the high-density plasma oxide film 35 uses a plasma deposition method using a silicon source and oxygen gas, preferably a chemical vapor deposition method (CVD) using a high density plasma (High Density Plasma).

다음으로, 하드마스크질화막(33)의 표면이 노출될때까지 고밀도플라즈마산화막(35)을 화학적기계적연마(CMP)한다. 이때, 화학적기계적연마시 연마타겟(T1)은 하드마스크질화막(33)이 일부 손실되는 타겟으로 설정한다. 즉, 하드마스크질화막(33)이 다량 소모되는 과도 CMP 공정을 도입하는 것이 아니라 하드마스크질화막(33)이 소량 소모되거나 거의 소모되지 않는 언더(Under) CMP 공정을 적용한다.Next, the high density plasma oxide film 35 is subjected to chemical mechanical polishing (CMP) until the surface of the hard mask nitride film 33 is exposed. At this time, the polishing target T1 during chemical mechanical polishing is set as a target for which the hard mask nitride film 33 is partially lost. That is, instead of introducing an excessive CMP process in which the hard mask nitride film 33 is consumed in a large amount, an under CMP process in which the hard mask nitride film 33 is consumed in a small amount or hardly consumed is applied.

도 3c에 도시된 바와 같이, 고밀도플라즈마산화막(35)의 화학적기계적연마후에는 트렌치(34)에 고밀도플라즈마산화막으로 된 필드산화막(35a)이 형성된다. 그리고, 필드산화막(35a)과 필드산화막(35a) 주변의 하드마스크질화막(33)간에는 단차가 없이 평탄하다.As shown in FIG. 3C, after the chemical mechanical polishing of the high density plasma oxide film 35, a field oxide film 35a made of a high density plasma oxide film is formed in the trench 34. The field oxide film 35a and the hard mask nitride film 33 around the field oxide film 35a are flat without a step.

도 3d에 도시된 바와 같이, 하드마스크질화막(33)을 제거하기 위해 인산용액(H3PO4)을 이용한 세정 공정을 진행한다.As shown in FIG. 3D, a cleaning process using a phosphoric acid solution (H 3 PO 4 ) is performed to remove the hard mask nitride layer 33.

여기서, 하드마스크질화막(33) 제거후에 반도체기판(31)과 필드산화막(35a) 사이에는 'd1'의 높이 차이가 발생한다.Here, after the hard mask nitride film 33 is removed, a height difference of 'd1' occurs between the semiconductor substrate 31 and the field oxide film 35a.

다음으로, 웰(Well) 및 문턱전압 조절을 위한 이온주입(Implantation, 36) 공정을 진행한다. 여기서, 이온주입 공정은 하드마스크질화막(33) 제거후에 잔류하는 버퍼산화막(32)을 그대로 남겨둔 상태에서 진행하거나, 또는 버퍼산화막(32)을 제거한 후 별도의 산화막(통상적으로 스크린산화막이라고 일컬음)을 다시 형성한 후에 진행한다. 한편, 버퍼산화막(32)을 제거할 때는 HF 또는 BOE 용액을 이용한 세정공정을 진행한다.Next, an implantation process 36 for controlling the well and the threshold voltage is performed. Here, the ion implantation process is performed in a state in which the buffer oxide film 32 remaining after the hard mask nitride film 33 is left as it is, or a separate oxide film (commonly referred to as a screen oxide film) after removing the buffer oxide film 32 is removed. Proceed after forming again. On the other hand, when the buffer oxide film 32 is removed, a cleaning process using an HF or BOE solution is performed.

도 3e에 도시된 바와 같이, 버퍼산화막(32) 또는 스크린산화막을 제거한 후, 반도체 기판(31) 표면 상에 게이트산화막(37)을 형성한다.As shown in FIG. 3E, after the buffer oxide film 32 or the screen oxide film is removed, the gate oxide film 37 is formed on the surface of the semiconductor substrate 31.

다음으로, 게이트산화막(37) 상에 게이트전극으로 사용할 제1게이트전극막을 증착한다. 여기서, 제1게이트전극막은 폴리실리콘막(38)을 이용한다.Next, a first gate electrode film to be used as the gate electrode is deposited on the gate oxide film 37. Here, the polysilicon film 38 is used for the first gate electrode film.

이와 같은, 폴리실리콘막(38) 증착후에는 반도체 기판(31) 표면과 필드산화막(35a) 사이에 존재하는 높이 차이에 의해 발생하는 단차로 인해 표면이 울퉁불퉁하다. 즉, 폴리실리콘막(38)의 단차피복성(step coverage)이 열악하다.After the deposition of the polysilicon film 38, the surface is uneven due to the step caused by the height difference existing between the surface of the semiconductor substrate 31 and the field oxide film 35a. That is, the step coverage of the polysilicon film 38 is poor.

상기한 폴리실리콘막(38)의 열악한 단차피복성을 제거해주기 위해 화학적기계적연마(CMP) 공정을 진행한다. 이때, 폴리실리콘막(38)의 화학적기계적연마시, 연마타겟(T2)은 필드산화막(35a)의 표면이 노출되는 타겟 또는 필드산화막(35a) 상부에 폴리실리콘막(38)이 일부 두께로 남는 타겟으로 진행한다.A chemical mechanical polishing (CMP) process is performed to remove the poor step coverage of the polysilicon film 38. At this time, when the chemical mechanical polishing of the polysilicon film 38, the polishing target (T2) is a polysilicon film 38 is left to a part thickness on the target or the field oxide film 35a is exposed to the surface of the field oxide film (35a) Proceed to the target.

이하, 필드산화막(35a)의 표면이 노출되는 타겟으로 연마한 경우에 대해 설명하기로 한다.Hereinafter, the case where the surface of the field oxide film 35a is polished with the target exposed will be described.

도 3f는 폴리실리콘막(38)의 화학적기계적연마후의 결과를 나타낸 도면이다.3F shows the results after chemical mechanical polishing of the polysilicon film 38.

도 3f에 도시된 바와 같이, 필드산화막(35a)의 표면이 노출될때까지 폴리실리콘막(38)을 화학적기계적연마 공정을 통해 평탄화하므로써, 연마된 폴리실리콘막(38a) 표면과 필드산화막(35a) 표면 사이에는 단차가 존재하지 않는다.As shown in FIG. 3F, the surface of the polysilicon film 38a and the field oxide film 35a are planarized by planarizing the polysilicon film 38 through a chemical mechanical polishing process until the surface of the field oxide film 35a is exposed. There is no step between the surfaces.

다음으로, 도 3g에 도시된 바와 같이, 평탄화된 결과물 상부, 즉 폴리실리콘막(38a)을 포함한 전면에 제2게이트전극막으로 텅스텐실리사이드막(WSi2, 39)을 증착한다. 이어서, 텅스텐실리사이드막(39) 상에 게이트하드마스크(40)를 형성한다.Next, as illustrated in FIG. 3G, a tungsten silicide layer WSi 2 , 39 is deposited on the planarized product, that is, on the entire surface including the polysilicon layer 38a as a second gate electrode layer. Subsequently, a gate hard mask 40 is formed on the tungsten silicide film 39.

도 3h에 도시된 바와 같이, 게이트하드마스크(40) 상에 게이트전극 패턴을 정의하기 위한 게이트마스크(도시 생략)를 형성한 후, 게이트마스크를 식각마스크로 게이트하드마스크(40) 및 텅스텐실리사이드막(39)을 식각하여 게이트패턴을 형성한다.As shown in FIG. 3H, a gate mask (not shown) for defining a gate electrode pattern is formed on the gate hard mask 40, and then the gate hard mask 40 and the tungsten silicide layer are formed as an etch mask. The 39 is etched to form a gate pattern.

다음으로, 게이트패턴의 양측벽에 접하는 게이트스페이서(41)를 형성한 후, 전면에 층간절연막(42)을 형성하고, 층간절연막(42)을 식각하여 콘택홀을 형성하고, 콘택홀을 통해 반도체 기판과 연결되는 배선층(43)을 매립시킨다.Next, after forming the gate spacer 41 in contact with both side walls of the gate pattern, an interlayer insulating film 42 is formed on the entire surface, and the interlayer insulating film 42 is etched to form a contact hole, and a semiconductor is formed through the contact hole. The wiring layer 43 connected to the substrate is buried.

도 4는 도 3h의 결과를 x축 방향으로 도시한 단면도이다. 즉, 이하, 도면의 좌측은 도 1a의 A-A'선에 따른 본 발명의 단면도이고, 도면의 우측은 도 1b의 B-B'선에 따른 본 발명의 단면도를 나타낸 것으로, 설명의 편의상 절단선은 생략하기로 한다.4 is a cross-sectional view of the result of FIG. 3H in the x-axis direction. That is, hereinafter, the left side of the figure is a cross-sectional view of the present invention taken along the line AA ′ of FIG. 1A, and the right side of the figure shows a cross-sectional view of the present invention taken along the line B-B ′ of FIG. 1B, and is cut for convenience of description. Lines will be omitted.

상술한 바와 같은 본 발명은 게이트패턴 쓰러짐 문제를 해결하기 위해 폴리실리콘막중에서 트랜지스터의 게이트전극으로 사용하는 부분을 제외한 나머지 지역의 폴리실리콘막은 모두 제거해준다. 이로써 접촉면적을 증가시켜 준다. 아울러, 게이트전극 중에서 상대적으로 저항이 큰 폴리실리콘막(38a)을 활성영역 상에서만 남도록 한다. 즉, 게이트전극의 Rs에 가장 큰 영향을 미치는 텅스텐실리사이드막의 두께를 그대로 유지하고, 상대적으로 Rs에 영향이 적은 폴리실리콘막은 트랜지스터 이외의 부분은 모두 제거하므로써 접촉ㅁ녀적을 증가시킨다.As described above, in order to solve the gate pattern collapse problem, the polysilicon film of the polysilicon film except for the portion used as the gate electrode of the transistor is removed. This increases the contact area. In addition, the polysilicon film 38a having a relatively high resistance among the gate electrodes is left only in the active region. In other words, the thickness of the tungsten silicide film which has the greatest influence on Rs of the gate electrode is maintained, and the polysilicon film having a relatively low influence on Rs increases contact number by removing all portions other than the transistor.

그리고, 인접한 패턴과의 오버랩 면적을 감소시켜 기생 캐패시턴스를 15∼35% 정도 감소시킨다.The parasitic capacitance is reduced by 15 to 35% by reducing the overlap area with adjacent patterns.

본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.Although the technical idea of the present invention has been described in detail according to the above preferred embodiment, it should be noted that the above-described embodiment is for the purpose of description and not of limitation. In addition, those skilled in the art will understand that various embodiments are possible within the scope of the technical idea of the present invention.

상술한 본 발명은 게이트전극의 하부면적의 접촉면적을 증가시키므로써 게이트패턴의 쓰러짐을 방지할 수 있는 효과가 있다.The present invention described above has the effect of preventing the gate pattern from falling down by increasing the contact area of the lower area of the gate electrode.

또한, 인접한 게이트패턴간 오버랩 면적을 감소시켜 기생캐패시턴스를 줄여 트랜지스터의 동작속도를 향상시킬 수 있는 효과가 있다. In addition, the parasitic capacitance is reduced by reducing the overlap area between adjacent gate patterns, thereby improving the operation speed of the transistor.

도 1a 및 도 1b는 일반적인 DRAM의 셀 및 셀 주변의 트랜지스터를 나타낸 레이아웃도,1A and 1B are layout diagrams illustrating cells of a general DRAM and transistors around the cells;

도 2a 및 도 2b는 도 1의 각 절단면(A-A', B-B', C-C', D-D')에 따른 단면도,2A and 2B are cross-sectional views taken along cut planes A-A ', B-B', C-C ', and D-D' of FIG. 1;

도 3a 내지 도 3h는 본 발명의 실시예에 따른 반도체소자의 제조 방법을 도시한 공정 단면도,3A to 3H are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention;

도 4는 도 3h의 결과를 x축 방향으로 도시한 단면도.4 is a cross-sectional view of the result of FIG. 3H in the x-axis direction.

* 도면의 주요 부분에 대한 부호의 설명* Explanation of symbols for the main parts of the drawings

31 : 반도체기판 32 : 버퍼산화막31 semiconductor substrate 32 buffer oxide film

33 : 하드마스크질화막 34 : 트렌치33 hard mask nitride film 34 trench

35a : 필드산화막 37 : 게이트산화막35a: field oxide film 37: gate oxide film

38, 38a : 폴리실리콘막 39 : 텅스텐실리사이드막38, 38a: polysilicon film 39: tungsten silicide film

40 : 게이트하드마스크질화막40: gate hard mask nitride film

Claims (7)

반도체 기판;Semiconductor substrates; 상기 반도체기판 내에 형성되어 복수개의 활성영역을 정의하면서 상기 반도체 기판 표면 위로 돌출된 돌출부를 갖는 필드산화막; A field oxide film formed in the semiconductor substrate and having a protrusion projecting over the surface of the semiconductor substrate while defining a plurality of active regions; 상기 활성영역 상에 형성된 게이트산화막; 및A gate oxide film formed on the active region; And 상기 게이트산화막 상에 형성되면서 상기 활성영역과 상기 필드산화막을 모두 덮는 형태이되, 상기 필드산화막의 돌출부 사이에 자신의 일부가 매립되는 게이트전극A gate electrode formed on the gate oxide layer and covering both the active region and the field oxide layer, wherein a portion of the gate electrode is buried between the protrusions of the field oxide layer. 을 포함하는 반도체소자.Semiconductor device comprising a. 제1항에 있어서,The method of claim 1, 상기 게이트전극은,The gate electrode, 상기 필드산화막의 돌출부 사이에 매립된 상기 게이트산화막 상의 제1막; 및A first film on the gate oxide film buried between the protrusions of the field oxide film; And 상기 제1막과 상기 필드산화막 표면을 덮는 제2막A second film covering the surface of the first film and the field oxide film 을 포함하는 것을 특징으로 하는 반도체 소자.A semiconductor device comprising a. 제2항에 있어서,The method of claim 2, 상기 제1막은 폴리실리콘막이고, 상기 제2막은 텅스텐실리사이드막인 것을 특징으로 하는 반도체 소자.The first film is a polysilicon film, and the second film is a tungsten silicide film. 반도체 기판에 복수개의 활성영역을 정의하면서 상기 반도체 기판 표면 위로 돌출된 돌출부를 갖는 필드산화막을 형성하는 단계; Forming a field oxide film on the semiconductor substrate, the field oxide film having a protrusion protruding from the surface of the semiconductor substrate while defining a plurality of active regions; 상기 활성영역 상에 게이트산화막을 형성하는 단계; 및Forming a gate oxide film on the active region; And 상기 게이트산화막 상에 상기 필드산화막의 돌출부 사이에 자신의 일부가 매립되면서 상기 활성영역과 상기 필드산화막을 모두 덮는 형태의 게이트전극을 형성하는 단계Forming a gate electrode having a portion covering the active region and the field oxide layer while a portion of the field oxide layer is buried between the protrusions of the field oxide layer on the gate oxide layer; 를 포함하는 반도체소자의 제조 방법.Method for manufacturing a semiconductor device comprising a. 제4항에 있어서,The method of claim 4, wherein 상기 필드산화막을 형성하는 단계는,Forming the field oxide film, 상기 반도체 기판 상부에 소자분리용 패드패턴을 형성하는 단계;Forming a device isolation pad pattern on the semiconductor substrate; 상기 패드패턴을 식각배리어로 상기 반도체기판을 식각하여 트렌치를 형성하는 단계;Etching the semiconductor substrate using the pad pattern as an etch barrier to form a trench; 상기 트렌치를 채울때까지 갭필절연막을 형성하는 단계;Forming a gapfill insulating film until the trench is filled; 상기 패드패턴의 표면이 드러날때까지 상기 갭필절연막을 언더 화학적기계적연마(Under CMP)하는 단계; 및Under chemical mechanical polishing (Under CMP) the gap fill insulating layer until the surface of the pad pattern is exposed; And 상기 패드패턴을 선택적으로 제거하는 단계Selectively removing the pad pattern 를 포함하는 것을 특징으로 하는 반도체소자의 제조 방법.Method of manufacturing a semiconductor device comprising a. 제4항에 있어서,The method of claim 4, wherein 상기 게이트전극을 형성하는 단계는,Forming the gate electrode, 상기 필드산화막의 돌출부 사이를 채울때까지 상기 필드산화막 상부에 게이트전극용 제1막을 증착하는 단계;Depositing a first film for a gate electrode on the field oxide layer until the gap between the protrusions of the field oxide layer is filled; 상기 필드산화막의 표면이 드러날때까지 상기 게이트전극용 제1막을 화학적기계적연마하는 단계;Chemical mechanical polishing the first film for the gate electrode until the surface of the field oxide film is exposed; 상기 연마된 제1막을 포함한 전면에 게이트전극용 제2막을 형성하는 단계: 및Forming a second film for a gate electrode on the entire surface including the polished first film; and 상기 필드산화막과 상기 제2막을 모두 덮는 형태로 상기 제2막을 선택적으로 식각하는 단계Selectively etching the second layer to cover both the field oxide layer and the second layer 를 포함하는 반도체소자의 제조 방법.Method for manufacturing a semiconductor device comprising a. 제6항에 있어서,The method of claim 6, 상기 제1막은 폴리실리콘막으로 형성하고, 상기 제2막은 텅스텐실리사이드막으로 형성하는 것을 특징으로 하는 반도체소자의 제조 방법.And the first film is formed of a polysilicon film, and the second film is formed of a tungsten silicide film.
KR10-2004-0031934A 2004-05-06 2004-05-06 Method for manufacturing semiconductor devcie KR100531460B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-2004-0031934A KR100531460B1 (en) 2004-05-06 2004-05-06 Method for manufacturing semiconductor devcie

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2004-0031934A KR100531460B1 (en) 2004-05-06 2004-05-06 Method for manufacturing semiconductor devcie

Publications (2)

Publication Number Publication Date
KR20050106874A KR20050106874A (en) 2005-11-11
KR100531460B1 true KR100531460B1 (en) 2005-11-29

Family

ID=37283566

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2004-0031934A KR100531460B1 (en) 2004-05-06 2004-05-06 Method for manufacturing semiconductor devcie

Country Status (1)

Country Link
KR (1) KR100531460B1 (en)

Also Published As

Publication number Publication date
KR20050106874A (en) 2005-11-11

Similar Documents

Publication Publication Date Title
US7504304B2 (en) Non-volatile semiconductor memory device and process of manufacturing the same
KR100350055B1 (en) Semiconductor device having multi-gate dielectric layers and method of fabricating the same
CN100375271C (en) Novel dram access transistor
KR100500473B1 (en) Recess gate transistor structure for use in semiconductor device and method thereof
KR100680415B1 (en) Method for manufacturing semiconductor device
KR100763337B1 (en) Semiconductor device having buried gate line and method of fabricating the same
US7033908B2 (en) Methods of forming integrated circuit devices including insulation layers
US7696570B2 (en) Transistors of semiconductor device having channel region in a channel-portion hole and methods of forming the same
KR20090085642A (en) Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
KR100842908B1 (en) Semiconductor device having recess gate and method of manufacturing the same
US8058141B2 (en) Recessed gate electrode MOS transistor and method for fabricating the same
US8067799B2 (en) Semiconductor device having recess channel structure and method for manufacturing the same
KR100702302B1 (en) Method for fabricating semiconductor device
KR20040069515A (en) MOSFET having recessed channel and fabricating method thereof
KR20020088554A (en) Flash Memory Cell and Method Of Forming The Same
KR100531460B1 (en) Method for manufacturing semiconductor devcie
KR20070016630A (en) Method of manufacturing semiconductor device
KR20070046399A (en) Method for fabricating semiconductor device
US20090298271A1 (en) Method for manufacturing a semiconductor device
KR20050104077A (en) Method for manufacturing gate-contact in semiconductor device
KR20060061107A (en) Semiconductor device and manufacturing method thereof
KR100608375B1 (en) Method for forming gate in semiconductor device
KR101010121B1 (en) Method for manufacturing semiconductor device
KR20090009392A (en) Method for manufacturing semiconductor device
KR20080069039A (en) Method of fabricating semiconductor device having additional spacer at the gate electrode

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20101025

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee