KR100493684B1 - High density plasma chemical vapor deposition apparatus and method - Google Patents

High density plasma chemical vapor deposition apparatus and method Download PDF

Info

Publication number
KR100493684B1
KR100493684B1 KR10-1998-0710611A KR19980710611A KR100493684B1 KR 100493684 B1 KR100493684 B1 KR 100493684B1 KR 19980710611 A KR19980710611 A KR 19980710611A KR 100493684 B1 KR100493684 B1 KR 100493684B1
Authority
KR
South Korea
Prior art keywords
gas
substrate
chamber
injectors
processing system
Prior art date
Application number
KR10-1998-0710611A
Other languages
Korean (ko)
Other versions
KR20000022193A (en
Inventor
브라이언 맥밀린
후옹 구엔
마이클 바네스
버치 버니
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Priority to KR10-1998-0710611A priority Critical patent/KR100493684B1/en
Publication of KR20000022193A publication Critical patent/KR20000022193A/en
Application granted granted Critical
Publication of KR100493684B1 publication Critical patent/KR100493684B1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Abstract

화학기상증착과 같은 공정을 위한 본 발명의 플라즈마 공정 시스템은, 플라즈마 공정챔버(140), 공정챔버 내에서 기판(120)을 지지하기 위한 기판 홀더(130), 기판 홀더와 면하는 내부 표면을 가지고, 공정챔버의 벽을 형성하는 절연부재(155), 공정가스를 상기 챔버 안으로, 기판을 향하도록 공급하기 위한 가스공급기 및, 공정가스가 플라즈마 상태로 여기하도록 절연부재를 통과하여 챔버 안으로 RF 에너지를 유도적으로 결합하는 평판 코일(150)과 같은 RF 에너지 소스를 구비한다. 가스공급기는 가스 또는 가스 혼합물을 챔버 안으로 공급하기 위하여 주가스링(170) 및 부가스링(160)을 구비할 수 있다. 가스공급기는 가스를 챔버 안으로, 기판을 향하도록 주입하는 주가스링에 부착된 주입기들(180)을 더 구비할 수도 있다. 또한 본 플라즈마 공정 시스템은 처리 중에 주가스링을 냉각하기 위한 냉각기구를 구비할 수 있다.The plasma processing system of the present invention for processes such as chemical vapor deposition has a plasma process chamber 140, a substrate holder 130 for supporting the substrate 120 within the process chamber, and an inner surface facing the substrate holder. And an insulating member 155 forming a wall of the process chamber, a gas supply for supplying process gas into the chamber and toward the substrate, and RF energy into the chamber through the insulating member to excite the process gas into a plasma state. It has an RF energy source such as flat coil 150 that inductively couples. The gas supplier may include a main gas ring 170 and an additional ring 160 to supply gas or a gas mixture into the chamber. The gas supplier may further include injectors 180 attached to the main gas ring for injecting gas into the chamber and toward the substrate. The present plasma processing system may also have a cooling mechanism for cooling the main gas ring during processing.

Description

고밀도 플라즈마 화학기상증착 장치 및 그 방법High density plasma chemical vapor deposition apparatus and method

본 발명은 고밀도 플라즈마 화학기상증착 반응기 내의 기판에 반응물질을 운반하는 시스템 및 그 방법에 관한 것이다. 더욱 상세하게는, 본 발명은 고밀도 플라즈마 화학기상증착 반응기 내에서 기판의 처리중에 가스를 주입하는 시스템을 통하여 반응물질의 운반을 기판 쪽으로 집중시키고 열적으로 가스 주입 설비를 제어하는 시스템 및 그 방법에 관한 것이다.The present invention relates to a system and method for delivering a reactant to a substrate in a high density plasma chemical vapor deposition reactor. More particularly, the present invention relates to a system and method for concentrating the transport of reactants toward a substrate and thermally controlling a gas injection facility through a system for injecting gas during processing of the substrate in a high density plasma chemical vapor deposition reactor. will be.

진공 공정챔버는 진공 챔버로 공정가스를 공급하고 이 가스에 RF파를 인가함으로써 기판 상에 물질을 화학기상증착(CVD)하는 데에 일반적으로 사용된다. 집적회로 공정을 위한 몇몇의 가스 분배 시스템이 알려져 있는데, 대부분의 알려진 시스템들은 플라즈마 식각 또는 플라즈마 인핸스트 CVD(PECVD)를 위해 설계되었다. 전형적으로 종래의 가스 분배 시스템은 반응물질을 비교적 낮은 유량으로 운반한다. 통상적으로 기판 상에 고른 분포를 확보하기 위해 샤워헤드(showerhead) 가스 주입 및 확산 전송 시스템이 사용된다. Vacuum process chambers are commonly used for chemical vapor deposition (CVD) of materials on substrates by supplying a process gas into the vacuum chamber and applying RF waves to the gas. Several gas distribution systems for integrated circuit processing are known, most of which are designed for plasma etching or plasma enhanced CVD (PECVD). Conventional gas distribution systems typically deliver reactants at relatively low flow rates. Typically, showerhead gas injection and diffusion transfer systems are used to ensure even distribution on the substrate.

이러한 공지의 시스템들은 캡슐화나 금속간 절연간극의 충진과 같은 고밀도 플라즈마 CVD(High Density Plasma CVD; HDPCVD)를 위해 최적화되어 있지는 않다. HDPCVD에서는 실란관련종과 같은 반응물질의 운반을 기판 상으로 집중시키는 것이 중요한데, 이는 실란과 그 라디칼, 즉, SiH3, SiH2, SiH 등은 높은 점착 계수를 갖기 때문이다. 실란을 우선적으로 기판 상으로 직접 향하게 하는 것이 유리한데, 이렇게 하는 것이 기판 증착율을 최대화하고 반응기의 다양한 내부 표면에 증착되는 막을 최소화하기 때문이다.These known systems are not optimized for High Density Plasma CVD (HDPCVD), such as encapsulation or filling of intermetal dielectric gaps. In HDPCVD, it is important to concentrate the transport of reactants, such as silane-related species, onto the substrate because the silane and its radicals, ie, SiH 3 , SiH 2 , SiH, etc., have a high adhesion coefficient. It is advantageous to direct the silane preferentially directly onto the substrate because this maximizes the substrate deposition rate and minimizes the film deposited on the various inner surfaces of the reactor.

HDPCVD에서 실란의 효율적인 이용은, 양호한 균일도와 막질을 가지며 높은 증착율을 달성하기 위해서, 반응가스가 매우 근접한 곳에서 높은 유량으로, 그리고 고른 분포로 기판 상으로 직접 향하도록 할 것을 요구한다. 기판 가까이에 위치한 샤워헤드 시스템이 이상적인 것은 아닌데, 이는 플라즈마 내에서의 이온 확산의 범위를 제한하고 플라즈마와 증착 균일도에 해로울 수 있기 때문이다. HDPCVD를 위해서는 확산 시스템은 부적합한데, 이는 처리중인 기판 외의 다른 표면 상에 반응물질의 증착을 유발하기 때문이다. 기판 외의 다른 표면에의 증착은 반응가스의 비효율적인 사용으로 귀결되고, 또한 원하는 증착율과 기판 처리량에 도달하기 위해 더욱 높은 유량을 필요로 한다. 이러한 더욱 높은 유량은, 추가적인 가스가 사용되어야 하고 공정챔버 내의 낮은 압력을 유지하기 위해 필요한 펌프의 용량을 증가시켜야 하기 때문에 많은 비용이 든다. 게다가, 기판 이외의 챔버내 표면에의 증착은 막과 챔버 내부표면 사이의 차별적인 팽창에 의해 생기는 입자의 문제(박리)와, 벽의 조건들이 변화함에 따른 공정의 차질을 유발할 수 있다. 결과적으로, 챔버는 이러한 챔버내 증착을 제거하기 위해 더 자주 청소해야 하고, 이것은 기판 처리량을 더욱 감소시킨다.Efficient use of silanes in HDPCVD requires direct reaction gases directly onto the substrate at high flow rates and even distribution in close proximity to achieve high deposition rates with good uniformity and film quality. Showerhead systems located close to the substrate are not ideal because they limit the range of ion diffusion in the plasma and can be detrimental to plasma and deposition uniformity. Diffusion systems are inadequate for HDPCVD because they cause the deposition of reactants on surfaces other than the substrate being processed. Deposition to surfaces other than the substrate results in inefficient use of the reaction gas and also requires higher flow rates to reach the desired deposition rate and substrate throughput. This higher flow rate is expensive because additional gas must be used and the capacity of the pump required to maintain low pressure in the process chamber must be increased. In addition, deposition on surfaces in the chamber other than the substrate can cause problems (delamination) of particles caused by differential expansion between the film and the inner surface of the chamber, and process disruptions as the wall conditions change. As a result, the chamber must be cleaned more often to remove such intra-vapor deposition, which further reduces substrate throughput.

가스 주입구가 플라즈마 공정챔버 안으로 가스를 공급하는 플라즈마 식각 시스템이 제안되었다. 도 1에 도시된 바와 같이, 이 시스템은 챔버(140) 내에 플라즈마를 발생시키기 위한 플라즈마 소스(110) 및, 기판 지지대(130) 위의 기판(120)을 처리하기 위한 공정챔버(140) 안으로 공정가스를 공급하는 가스 주입구가 부착된 가스링(167)을 포함한다. 이런 유형의 시스템은 또한 부가적인 가스링(160)을 포함할 수 있다. 통상적으로, 이런 시스템에서의 증착율은 공정가스를 기판(120) 위로 집중시킴으로써 증가한다. 이것은 전형적으로 기판(120)에 대한 가스링(167)의 거리를 변화시킴으로써 행해진다. 공정가스가 기판의 중심 위의 영역으로 더 집중될수록, 피크 증착율은 더욱 커진다. 불행하게도, 공정가스를 기판의 중심 가까이로 집중시키면, 기판의 외곽 부분에서의 증착율은 중심만큼 증가하지 않을 수도 있고, 이것은 증착 균일도의 잠재적인 감소를 초래한다. A plasma etching system has been proposed in which a gas inlet supplies gas into the plasma process chamber. As shown in FIG. 1, the system processes a plasma source 110 to generate a plasma in chamber 140 and a process chamber 140 to process substrate 120 on substrate support 130. And a gas ring 167 to which a gas inlet for supplying gas is attached. This type of system may also include additional gas rings 160. Typically, the deposition rate in such a system is increased by concentrating the process gas onto the substrate 120. This is typically done by varying the distance of the gas ring 167 relative to the substrate 120. The more concentrated the process gas into the area above the center of the substrate, the higher the peak deposition rate. Unfortunately, concentrating the process gas near the center of the substrate, the deposition rate at the outer portion of the substrate may not increase by the center, which leads to a potential decrease in deposition uniformity.

따라서, HDPCVD에 최적화되면서 개선된 증착율과 개선된 증착 균일도를 제공하는 가스 분배 시스템이 요구된다.Accordingly, what is needed is a gas distribution system that is optimized for HDPCVD while providing improved deposition rates and improved deposition uniformity.

도 1은 종래의 플라즈마 공정 시스템을 도시한 것이다.1 illustrates a conventional plasma processing system.

도 2a 및 도 2b는 본 발명의 제1실시예에 따른 플라즈마 공정 시스템을 도시한 것이다.2A and 2B show a plasma processing system according to a first embodiment of the present invention.

도 3a 및 도 3b는 도 2a 및 도 2b에 도시된 플라즈마 공정 시스템에서, 각각 주입기의 방사상 위치 및 주입 방향의 변화에 따른 효과를 설명하는 실험 데이터를 보인 것이다.3A and 3B show experimental data for explaining the effects of changes in the radial position and the injection direction of the injector in the plasma processing system shown in FIGS. 2A and 2B, respectively.

도 4는 본 발명에 따른 플라즈마 공정 시스템 안으로의 예시적인 가스 유동의 유선을 도시한 것이다.4 shows a streamline of an exemplary gas flow into a plasma processing system according to the present invention.

도 5는 본 발명에 따라 기판 상으로 향하는 가스의 예시적인 방향을 정성적으로 도시한 것이다.5 qualitatively illustrates an exemplary direction of gas directed onto a substrate in accordance with the present invention.

도 6은 본 발명의 제2실시예에 따른 플라즈마 공정 시스템을 도시한 것이다.6 shows a plasma processing system according to a second embodiment of the present invention.

도 7은 본 발명의 제3실시예에 따른 플라즈마 공정 시스템을 도시한 것이다.7 shows a plasma processing system according to a third embodiment of the present invention.

도 8a 내지 도 8b는 본 발명에 따른 플라즈마 공정 시스템에서의 예시적인 주입기를 도시한 상세도이다.8A-8B are detailed views illustrating exemplary injectors in a plasma processing system according to the present invention.

도 9a 내지 도 9c 및 도 10a 내지 도 10c는 본 발명에 따른 예시적인 주입기 및 가스링을 도시한 상세도이다. 9A-9C and 10A-10C are detailed views illustrating exemplary injectors and gas rings in accordance with the present invention.

도 11은 본 발명에 따른 예시적인 주입기를 도시한 상세도이다.11 is a detailed view of an exemplary injector in accordance with the present invention.

본 발명의 목적은, 기판 표면 상으로 우선적으로 집중된 균일하고 높은 유량의 반응가스의 운반을 제공하여, 기판 상의 증착율을 최대화하면서 챔버의 청정요구를 최소화하는, HDPCVD를 위한 가스 분배 시스템을 제공하는 것이다. It is an object of the present invention to provide a gas distribution system for HDPCVD that provides for the delivery of a uniform, high flow rate reaction gas that is preferentially concentrated onto the substrate surface, thereby minimizing the cleanliness requirements of the chamber while maximizing the deposition rate on the substrate. .

본 발명의 다른 목적은 챔버 내부 표면으로부터의 박리를 최소화하고 주입 설비 내에서의 열분해에 의한 입자 생성을 최소화함으로써 챔버 내의 입자수를 감소시키기 위하여 가스 주입 설비를 열적으로 제어하는 것이다.Another object of the present invention is to thermally control the gas injection plant to reduce the number of particles in the chamber by minimizing delamination from the interior surface of the chamber and minimizing particle generation by pyrolysis in the injection plant.

본 발명의 또다른 목적은 종래의 가스 분배 시스템에 비해 증착율과 증착 균일도를 개선하는 것이다.It is another object of the present invention to improve the deposition rate and deposition uniformity compared to conventional gas distribution systems.

본 발명의 일 측면에 따르면, 기판을 처리하기 위한 플라즈마 공정 시스템이 제공된다. 이 플라즈마 공정 시스템은, 플라즈마 공정챔버, 공정챔버 내에서 기판을 지지하는 기판 홀더, 기판 홀더와 면하는 내부 표면을 가지고, 공정챔버의 벽을 형성하는 절연부재, 공정가스(즉, 하나 또는 그 이상의 반응가스 및/또는 하나 또는 그 이상의 불활성 가스)를 챔버 안으로 기판을 향하여 공급하는 가스공급기 및, 절연부재를 통과하여 챔버 안으로 RF 에너지를 유도적으로 결합하여 공정가스를 플라즈마 상태로 여기하는 RF 에너지 소스를 포함한다. 가스공급기는, 공정가스의 적어도 일부를 공정챔버 안으로 주입하여 기판의 노출된 표면과 교차하도록 하는 주입기들을 갖거나 갖지 않는 하나 또는 그 이상의 가스링을 포함할 수 있다. 또한, 공정중에 가스공급기를 냉각하여, 가스링 표면으로부터 막의 박리를 최소화하고 원하지 않은 공정가스의 열분해를 유발할 수 있는 과열을 방지하는 냉각기구가 마련될 수 있다. According to one aspect of the invention, a plasma processing system for processing a substrate is provided. The plasma process system includes a plasma process chamber, a substrate holder for supporting a substrate in the process chamber, an inner surface facing the substrate holder, an insulating member forming a wall of the process chamber, and one or more process gases (i.e., A gas supply for supplying reactant gas and / or one or more inert gases) into the chamber toward the substrate, and an RF energy source for inductively coupling RF energy into the chamber through an insulating member to excite the process gas into a plasma state. It includes. The gas supply may include one or more gas rings with or without injectors to inject at least a portion of the process gas into the process chamber to intersect the exposed surface of the substrate. In addition, a cooling mechanism may be provided to cool the gas supply during the process to minimize the exfoliation of the film from the gas ring surface and to prevent overheating which may cause unwanted pyrolysis of the process gas.

본 발명의 다른 측면에 따르면, 기판을 처리하기 위한 방법이 제공된다. 이 방법은, 공정챔버의 벽을 형성하는 절연부재의 내부 표면이 기판 홀더와 면하는 공정챔버 내의 기판 홀더 상에 기판을 놓는 단계, 공정챔버 안으로 공정가스를 공급하는 단계 및, 절연부재를 통과하여 공정챔버 안으로 RF 에너지를 유도적으로 결합함으로써 공정가스를 플라즈마 상태로 여기하는 단계를 포함한다. 기판들은 공정챔버 내에서 플라즈마 가스와 접촉함으로써 연속적으로 처리될 수 있다. 공정가스는 적어도 그 일부가 기판을 향하도록 공정챔버 안으로 주입될 수 있다. 또한, 가스 공급기 설비는 박리를 최소화하고 과열을 막기 위해 공정중에 냉각될 수도 있다.According to another aspect of the present invention, a method for treating a substrate is provided. The method includes placing a substrate on a substrate holder in a process chamber in which an inner surface of the insulating member forming a wall of the process chamber faces the substrate holder, supplying a process gas into the process chamber, and passing through the insulating member. Exciting the process gas into a plasma state by inductively coupling RF energy into the process chamber. Substrates can be processed continuously by contacting the plasma gas in the process chamber. Process gas may be injected into the process chamber such that at least a portion thereof faces the substrate. In addition, the gas supplier equipment may be cooled in the process to minimize delamination and prevent overheating.

도 2a 및 도 2b는 본 발명의 제1실시예에 따른 플라즈마 공정 시스템을 도시한 것이다. 도 2a 및 도 2b를 참조하면, 기판(120)을 처리하기 위한 플라즈마 공정 시스템은 기판 지지대(130)와 기판 지지대를 에워싸는 공정챔버(140)를 구비한다. 기판(120)은, 예를 들어, 그 직경이 4, 6, 8, 12인치 등인 반도체 웨이퍼, 평판 패널 디스플레이를 만들기 위한 유리기판 등일 수 있다. 기판 지지대(130)는, 예를 들어, 고주파(RF)가 인가된 전극일 수 있다. 기판 지지대(130)는 챔버(140)의 하부 벽으로부터 지지될 수 있고, 챔버(140)의 측벽에서 연장되는 외팔보 형식일 수도 있다. 기판(120)은 전극(130)에 기계적으로 또는 정전기적으로 고정될 수 있다. 공정챔버(140)는, 예를 들어, 진공 챔버일 수 있다.2A and 2B show a plasma processing system according to a first embodiment of the present invention. 2A and 2B, a plasma processing system for processing a substrate 120 includes a substrate support 130 and a process chamber 140 surrounding the substrate support. The substrate 120 may be, for example, a semiconductor wafer having a diameter of 4, 6, 8, 12 inches, or the like, a glass substrate for making a flat panel display, or the like. The substrate support 130 may be, for example, an electrode to which high frequency (RF) is applied. The substrate support 130 may be supported from the lower wall of the chamber 140 and may be of a cantilever type extending from the sidewall of the chamber 140. The substrate 120 may be mechanically or electrostatically fixed to the electrode 130. The process chamber 140 may be, for example, a vacuum chamber.

처리될 기판은 공정챔버(140) 안으로 인입된다. 기판은 공정챔버 내에서 공정챔버 내의 공정가스를 고밀도 플라즈마로 여기시킴으로써 처리된다. 에너지 소스는 챔버 내에서 고밀도(이를테면, 1011-1012 이온/㎤) 플라즈마를 유지시킨다. 적절한 RF 소스와 적절한 RF 임피던스 매칭 회로에 의해 전력을 공급받는, 예를 들어, 도 2a 및 도 2b에 도시된 다중 권선 평판 코일, 다중 권선 비평판 코일과 같은 안테나(150), 또는 다른 모양을 갖는 안테나는 RF 에너지를 챔버 안으로 유도적으로 결합하여 고밀도 플라즈마를 공급한다. 그러나, 플라즈마는 ECR, 평행 평판, 헬리콘(helicon), 헬리컬(helical) 공명기 등과 같은 유형의 다른 소스에 의해 발생될 수도 있다. 챔버는 챔버 내부를 원하는 압력(이를테면, 5Torr 이하, 바람직하게는 1-100mTorr)으로 유지하기 위한 적절한 진공펌프 장치를 포함할 수 있다. 도 2a 및 도 2b에 도시된 균일한 두께를 갖는 평판 절연창(155) 또는 비평판 절연창과 같은 절연창이 안테나(150)와 공정챔버(140) 내부의 사이에 마련되고, 공정챔버(140)의 상부에서 진공 벽을 형성한다.The substrate to be processed is introduced into the process chamber 140. The substrate is processed by exciting the process gas in the process chamber with a high density plasma in the process chamber. The energy source maintains a high density (eg, 10 11 -10 12 ions / cm 3) plasma in the chamber. Powered by a suitable RF source and a suitable RF impedance matching circuit, for example, having an antenna 150 such as the multi winding flat coil, the multi winding unplanar coil shown in FIGS. 2A and 2B, or other shapes The antenna inductively couples the RF energy into the chamber to provide a high density plasma. However, the plasma may be generated by other sources of the type such as ECR, parallel plates, helicon, helical resonators and the like. The chamber may comprise a suitable vacuum pump apparatus for maintaining the interior of the chamber at a desired pressure (eg, 5 Torr or less, preferably 1-100 mTorr). An insulating window such as a flat insulating window 155 or a non-flat insulating window having a uniform thickness shown in FIGS. 2A and 2B is provided between the antenna 150 and the inside of the process chamber 140. Form a vacuum wall at the top.

챔버 안으로 공정가스를 공급하는 가스공급기는 절연창(155) 하부에 주가스링(170)을 포함한다. 가스링(170)은 기판 위의 챔버 하우징에 기계적으로 부착될 수 있다. 가스링(170)은, 예를 들어, 알루미늄이나 양극산화 처리된 알루미늄으로 만들어질 수 있다. The gas supplier supplying the process gas into the chamber includes a main gas ring 170 under the insulating window 155. Gas ring 170 may be mechanically attached to the chamber housing above the substrate. The gas ring 170 may be made of, for example, aluminum or anodized aluminum.

가스공급기는 절연창(155) 아래에 부가스링(160)을 포함한다. 공정가스는 부가스링(160) 내의 오리피스들을 통하여 운반된 Ar이나 O2와 같은 하나 또는 그 이상의 가스를 포함할 수 있다. 어떠한 적절한 가스링이 부가스링(160)으로서 사용될 수 있다. 부가스링(160)은 도 2a에 도시된 바와 같이, 알루미늄이나 양극산화 처리된 알루미늄으로 형성된 선택적인 스페이서(165)에 의해 가스링(170)과 분리되어 그 위에 위치할 수 있다. 또한 선택적으로, 도시하지는 않았지만, 부가스링(160)은 가스링(170) 아래에 가스링(170)과 기판 사이에 위치할 수도 있다. 또다른 선택으로서, 도 2b에 도시된 바와 같이, 절연창(155)과 주가스링(170)을 분리하는 스페이서(165)와 함께, 챔버 바닥에 연결된 가스링(162) 내의 오리피스들을 통하여 Ar과 O2가 공급될 수 있다.The gas supplier includes an additional ring 160 under the insulating window 155. The process gas may include one or more gases, such as Ar or O 2 , carried through orifices in the additional ring 160. Any suitable gas ring may be used as the additional ring 160. The additional ring 160 may be separated from the gas ring 170 by an optional spacer 165 formed of aluminum or anodized aluminum, as shown in FIG. 2A. Alternatively, although not shown, the additional ring 160 may be located between the gas ring 170 and the substrate under the gas ring 170. As another option, as shown in FIG. 2B, Ar and the orifices in the gas ring 162 connected to the bottom of the chamber, together with the spacer 165 separating the insulating window 155 and the main gas ring 170, are shown. O 2 can be supplied.

가스공급기는 주가스링(170)에 연결되어 SiH4나 SiF4, TEOS 등과 같은 관련 실리콘 함유가스와 같은 공정가스의 적어도 일부를 기판(120) 상으로 향하게 할 수 있는, 복수의 분리가능한 주입기들(180)을 더 포함할 수 있다. 이러한 가스들은 주입기(180)로부터 주입기 출구 오리피스(187)를 통하여 기판으로 운반된다. 또한, 반응가스들은 주가스링(170) 내의 오리피스를 통하여 운반될 수 있다. 주입기는 알루미늄, 양극산화 처리된 알루미늄, 석영 또는 Al2O3와 같은 세라믹등 어떤 적절한 물질로도 만들어질 수 있다. 도 2a 및 도 2b에는 두 개의 주입기가 도시되었지만, 어떤 수의 주입기라도 사용될 수 있다. 예를 들어, 주입기는 주가스링(170) 상의 각각의 오리피스들에 연결될 수 있다. 바람직하게는, 200mm 기판을 위하여 200 내지 210mm 직경의 링(170) 상에 8 내지 32개의 주입기들이 채용된다.The gas supply is connected to the main gas ring 170, a plurality of separable injectors, which can direct at least a portion of the process gas, such as SiH 4 or related silicon containing gas such as SiF 4 , TEOS, onto the substrate 120. It may further include 180. These gases are carried from the injector 180 through the injector outlet orifice 187 to the substrate. In addition, the reaction gases may be carried through an orifice in the main gas ring 170. The injector may be made of any suitable material, such as aluminum, anodized aluminum, quartz or ceramic such as Al 2 O 3 . Although two injectors are shown in FIGS. 2A and 2B, any number of injectors may be used. For example, the injector may be connected to respective orifices on the main gas ring 170. Preferably, 8 to 32 injectors are employed on a ring 170 of 200 to 210 mm diameter for a 200 mm substrate.

주입기(180)들은 기판(120)의 평면 위에, 그 오리피스가 기판으로부터 어떤 적절한 거리, 예를 들어, 3 내지 10cm를 두도록 위치한다. 주입기들은, 바람직한 실시예에 따르면, 기판 가장자리로부터 기판 가장자리의 안쪽, 근처 또는 바깥쪽으로, 예를 들어, 0 내지 5cm 이격될 수 있다. 이것은 있을 수 있는, 주입기로부터 박리된 입자가 기판 상으로 떨어져 오염시키는 것을 방지할 수 있게 해준다. 주입기들은 모두 같은 길이일 수 있고, 또는 증착율과 균일도를 향상시키기 위하여 다른 길이들의 조합이 선택적으로 사용될 수 있다. 주입기들중 적어도 일부는 공정가스가 기판의 노출된 표면과 교차하는 방향으로 향하도록 배향된다.The injectors 180 are positioned above the plane of the substrate 120 such that the orifice is placed at a suitable distance from the substrate, for example 3-10 cm. The injectors can, according to a preferred embodiment, be spaced apart, for example 0 to 5 cm from the substrate edge inward, near or outward of the substrate edge. This makes it possible to prevent particles that have been peeled off the injector from falling onto the substrate and contaminating. The injectors may all be the same length, or a combination of different lengths may optionally be used to improve deposition rate and uniformity. At least some of the injectors are oriented such that the process gas is directed in a direction that intersects the exposed surface of the substrate.

주로 확산에 의하여 기판 위에서 공정가스를 분배하는 기존의 가스 주입 시스템 디자인에 반해, 본 발명의 일실시예에 따른 주입기들은 기판의 노출된 표면과 예각을 가지고 교차하는 방향으로 공정가스를 주입하도록 배향된다. 주입축 또는 각도는 기판의 수평면으로부터 대략 15에서 90°미만, 바람직하게는 15에서 45°의 범위를 가질 수 있다. 주입축 또는 각도는 주입기의 축을 따를 수도 있고, 또는 선택적으로 도 11에 도시된 바와 같이, 주입기의 축에 대하여 90°까지의 각을 가질 수도 있다. 주입기의 출구 오리피스 직경은 0.010에서 0.060인치 사이, 바람직하게는 대략 0.020에서 0.040인치일 수 있다. 주입기(180)의 비어 있는 중심은 출구 오리피스(187)의 직경에 비해 대략 두 배가 되도록 뚫어, 주입기 중심 내에서가 아닌 출구 오리피스에서 음속의 유동이 생기게 할 수 있다. 200mm 기판에 대하여 SiH4의 유량은 25-300sccm 사이가 바람직한데, 더 큰 기판에 대해서는 더 높을 수도 있다.In contrast to existing gas injection system designs that distribute the process gas over the substrate primarily by diffusion, injectors according to one embodiment of the present invention are oriented to inject the process gas in a direction intersecting at an angle with an exposed surface of the substrate . The injection axis or angle may range from approximately 15 to less than 90 degrees, preferably 15 to 45 degrees from the horizontal plane of the substrate. The injection axis or angle may be along the axis of the injector, or alternatively may have an angle of up to 90 ° with respect to the axis of the injector, as shown in FIG. 11. The outlet orifice diameter of the injector may be between 0.010 and 0.060 inches, preferably approximately 0.020 to 0.040 inches. The empty center of the injector 180 may be drilled approximately twice as large as the diameter of the outlet orifice 187, resulting in the flow of sound velocity at the outlet orifice rather than within the center of the injector. The flow rate of SiH 4 for a 200 mm substrate is preferably between 25-300 sccm, which may be higher for larger substrates.

오리피스의 작은 크기와 주입기의 수 및 SiH4의 큰 유량에 기인하여, 가스링(170)과 챔버 내부 사이에는 큰 압력차가 발생한다. 예를 들어, 가스링에서의 압력이 1Torr를 초과하고, 챔버 내부에서의 압력이 대략 10mTorr일 때, 압력차는 대략 100:1이다. 이는 주입기의 오리피스에서 폐색된, 음속의 유동을 일으킨다. 또한 주입기의 내부 오리피스 배출구에서 초음속의 유동을 제공하기에 적합하도록 할 수도 있다.Due to the small size of the orifice and the number of injectors and the large flow rate of SiH 4 , a large pressure difference occurs between the gas ring 170 and the interior of the chamber. For example, when the pressure in the gas ring exceeds 1 Torr and the pressure inside the chamber is approximately 10 mTorr, the pressure difference is approximately 100: 1. This causes a flow of sound velocity, which is blocked at the orifice of the injector. It may also be adapted to provide supersonic flow at the internal orifice outlet of the injector.

SiH4를 음속으로 주입하면 플라즈마가 주입기에 침투하는 것을 막을 수 있다. 이러한 디자인은 플라즈마 유기에 의한 SiH4의 분해를 막고, 결과적으로 가스링과 주입기 연장 튜브 내에 비정질 실리콘 잔류물의 생성을 막는다.Injecting SiH 4 at the speed of sound prevents plasma from penetrating the injector. This design prevents the decomposition of SiH 4 by plasma organic and consequently the formation of amorphous silicon residues in the gas ring and injector extension tubes.

본 실시예에 따르면, 공정 중에 챔버 벽과 가스링의 온도를 바람직하게는 대략 100℃ 이하로 제한하기 위하여 대류와 복사 냉각의 조합이 사용될 수 있다. 선택적으로, 벽과 가스링 온도의 조절을 위해 챔버 벽 내에, 바람직하게는 -20에서 100℃의 유체를 순환시킬 수 있다. 가스링 온도가 전형적으로 100℃ 이하로 유지되므로, 가스링 내에서 SiH4의 열분해가 관찰되지 않는다. 또한, 가스링은 효과적으로 전기적으로 접지되고, 금속 챔버에 둘러싸여 있으므로, 가스링 내에서 어떤 심각한 전기장도 생기지 않고, 이는 링 내에서 플라즈마의 발생을 막는다.According to this embodiment, a combination of convection and radiative cooling may be used during the process to limit the temperature of the chamber wall and gas ring to preferably about 100 ° C. or less. Optionally, it is possible to circulate a fluid, preferably -20 to 100 ° C, in the chamber wall for control of the wall and gas ring temperature. Since the gas ring temperature is typically kept below 100 ° C., no pyrolysis of SiH 4 is observed in the gas ring. In addition, since the gas ring is effectively electrically grounded and surrounded by a metal chamber, no severe electric field is generated in the gas ring, which prevents the generation of plasma in the ring.

본 실시예에 따른 플라즈마 공정 시스템은 종래의 가스 분배 시스템에 비해, 실리콘 함유 가스를 기판 위로 집중하고 기판의 특정 영역 상으로 공정가스를 우선적으로 향하게 함으로써, 증가된 증착율과 개선된 기판상 균일도를 제공한다. 다음은 본 발명에 따른 플라즈마 공정 시스템의 개선된 성능을 보여주는 실험 데이터를 기술하고, 또한 적절한 이론적 배경을 간략히 기술한다.The plasma processing system according to the present embodiment provides increased deposition rate and improved on-substrate uniformity by concentrating silicon containing gas onto the substrate and preferentially directing the process gas onto a specific area of the substrate, compared to a conventional gas distribution system. do. The following describes experimental data demonstrating the improved performance of the plasma processing system according to the present invention, and briefly describes the appropriate theoretical background.

도 3a는 가스 주입기들이 기판에 대하여 서로 다른 위치에 배치된 플라즈마 공정 시스템에 대한 두 예시적인 SiO2 증착 특성을 보여준다. 두 경우 모두 같은 증착조건(플라즈마 소스 전력=2000W, 전극 인가 전력=2000W, SiH4 유량=180sccm, O2 유량=300sccm, 압력=12mTorr, 기판면에 대해 하방 22.5°의 주입각도)에서 최적화되지 않은 주가스링(170)을 가지고 얻어진 것이다. 경우1(사각형)은 (16개의 원주상으로 동일한 간격으로 배치된) 주입기(180) 오리피스들이 기판 가장자리의 바깥쪽 대략 0.5cm에 위치했을 때의 실험 데이터를 보여주고, 경우2(삼각형)는 주입기 오리피스(187)들이 기판 가장자리의 바깥쪽 대략 2cm에 위치했을 때의 실험 데이터를 보여준다. 모든 예에서 주입기 오리피스(187)들은 기판(120) 위 대략 5cm에 위치했다. (일반적으로 말해서, 본 발명에 따른 플라즈마 공정 시스템에서, 주입기 오리피스(187)들의 수직 위치가 기판(120) 위 수cm 또는 그 이상인 한, 주입기 오리피스의 방사상 위치는 그 수직 위치보다 증착율에 훨씬 큰 영향을 미친다.)3A shows two exemplary SiO 2 deposition characteristics for a plasma processing system where gas injectors are disposed at different locations relative to a substrate. Both cases are not optimized under the same deposition conditions (plasma source power = 2000W, electrode applied power = 2000W, SiH 4 flow rate = 180sccm, O 2 flow rate = 300sccm, pressure = 12mTorr, injection angle 22.5 ° downward to the substrate surface). It is obtained with the main gas ring 170. Case 1 (square) shows experimental data when the injector 180 orifices (16 circumferentially spaced at equal intervals) are located approximately 0.5 cm outside of the substrate edge, and Case 2 (triangle) is the injector. Show experimental data when orifices 187 are located approximately 2 cm outside of the substrate edge. In all examples the injector orifices 187 were located approximately 5 cm above the substrate 120. (Generally speaking, in the plasma processing system according to the present invention, as long as the vertical position of the injector orifices 187 is several cm or more above the substrate 120, the radial position of the injector orifices has a much greater effect on the deposition rate than the vertical position. Crazy.)

경우1에서 전체적인 증착율은 10800Å/분으로, 9200Å/분인 경우2에 비해 더 높다. 이는 경우1에서 실리콘 함유 가스가 기판의 중심쪽으로 더 집중되었기 때문이다. 그러나, 경우1에서의 증가된 증착율은 감소된 균일도라는 비싼 비용을 지불하는데, 즉 균일도는 경우1에서 8.1%(1σ)인데 반해 경우2에서 4.1%이다. 실리콘 함유 가스를 기판 위 중심쪽으로 더 집중함에 따라, 기판의 바깥(방사상) 영역에서의 증착율은 중심에서의 증착율과 같은 비율로 증가하지는 않는다. 반면에, 주입기 오리피스(187)를 더 바깥쪽으로 위치하면, 전체적인 증착율은 감소하지만 균일도는 향상된다. 그러므로, 기판에 대하여 주입각이 일정할 때(이 경우는 22.5°), 증착율과 균일도 사이에는 트레이드 오프(trade-off) 관계가 있고, 이는 주입지점의 방사상 위치가 변함에 따라 일어난다.The overall deposition rate in case 1 is 10800 kW / min, which is higher than in case of 9200 kW / min. This is because in case 1 the silicon containing gas is more concentrated towards the center of the substrate. However, the increased deposition rate in Case 1 pays the high cost of reduced uniformity, ie uniformity is 8.1% in Case 1 (1σ), whereas Case 2 is 4.1%. As the silicon containing gas is further concentrated toward the center above the substrate, the deposition rate in the outer (radial) region of the substrate does not increase at the same rate as the deposition rate at the center. On the other hand, placing the injector orifice 187 further outwards reduces overall deposition rate but improves uniformity. Therefore, when the implant angle is constant with respect to the substrate (22.5 ° in this case), there is a trade-off relationship between deposition rate and uniformity, which occurs as the radial position of the implantation point changes.

그러나, 가스링(170)으로부터의 주입방향은 각 주입기에 대하여, 공정가스가 우선적으로 기판의 특정 영역 상으로 향하도록 최적화할 수 있다. 예를 들어, 경우1에 대한 가스링(170)의 최적화에서, 주입각도는 우선적으로 더 많은 실리콘을 함유하는 가스가 기판 가장자리의 바로 안쪽 기판 표면 상으로 향하도록 조정될 수 있다. 이는 기판 상의 국소적 증착율을 증가시키고 그럼으로써 균일도를 개선한다.However, the injection direction from gas ring 170 can be optimized for each injector such that the process gas is preferentially directed onto a particular area of the substrate. For example, in the optimization of the gas ring 170 for Case 1, the injection angle can be adjusted to preferentially direct more silicon-containing gas onto the substrate surface just inside the substrate edge. This increases the local deposition rate on the substrate and thereby improves uniformity.

도 3b는 본 발명에 따른 플라즈마 공정 시스템의 증착율과 균일도에 대하여, 주어진 주입 위치에서 적절한 주입각도를 선택함으로써 최적화하는 성능을 보여주는 실험 데이터를 도시한 것이다. 도 3b에 도시된 모든 경우는 같은 조건(플라즈마 소스 전력=2500W, 전극 인가 전력=2000W, SiH4 유량=250sccm, O2 유량=350sccm, 압력=14mTorr)과 동일한 주입 위치(16개의 주입기가 동일한 간격으로 원주상으로 배열되고, 기판의 바깥 대략 2cm, 기판 위 대략 6cm에 위치)에서, 가스링(170)을 사용하여 얻어진 것이다. 경우3(원형)에서 주입 각도는 0°였고(기판과 평행), 경우4(사각형)에서 주입 각도는 하방(기판을 향하여) 30°였다. 경우3에서, 증착율은 10800Å/분이었고 균일도는 5.3%로 증착율이 기판 가장자리 근처에서 가장 낮았다. 도 3b에 도시된 결과와 유사하게, 경우3의 균일도는 주입 위치를 좀더 기판의 바깥쪽으로 옮김으로써 개선될 수 있다. 그러나, 이것은 또한 기본적으로 증착율을 감소시키는 결과가 될 것이다(도 3a에서 균일도는 대략 두 배였지만, 증착율에서는 15%의 손실이었다). 경우4와 같이, 주입각을 하방 30°로 조정함으로써, 전체적인 증착율은 대략 같게 유지하면서 기판의 바깥쪽 영역 상의 증착은 증가하고, 균일도는 2.5%까지로 개선된다.Figure 3b shows experimental data showing the performance of optimizing the deposition rate and uniformity of a plasma processing system according to the present invention by selecting the appropriate injection angle at a given injection location. In all cases shown in FIG. 3B, the same injection position (plasma source power = 2500 W, electrode applied power = 2000 W, SiH 4 flow rate = 250 sccm, O 2 flow rate = 350 sccm, pressure = 14 mTorr) (16 injectors at equal intervals) And circumferentially arranged at about 2 cm outside of the substrate and about 6 cm above the substrate). In Case 3 (circle) the injection angle was 0 ° (parallel to the substrate) and in Case 4 (squares) the injection angle was 30 ° downwards (toward the substrate). In Case 3, the deposition rate was 10800 mA / min and uniformity was 5.3%, the lowest deposition rate near the substrate edge. Similar to the results shown in FIG. 3B, the uniformity of Case 3 can be improved by moving the injection position further out of the substrate. However, this would also basically result in a reduction in deposition rate (even though the uniformity was approximately double in FIG. 3A, but a loss of 15% in the deposition rate). As in Case 4, by adjusting the implant angle to 30 ° downward, deposition on the outer region of the substrate is increased while the overall deposition rate remains approximately the same, and the uniformity is improved to 2.5%.

이예는 본 발명에 따른 플라즈마 공정 시스템에 의해 제공된, 증착율의 손실없는 증착 균일도의 개선이라는 예기치 않은 결과를 보여준다. 이것은 반도체 공정중의 기판 처리량을 증가시키기 위해 아주 유익하게 사용될 수 있다.This example shows the unexpected result of improvement in deposition uniformity without loss of deposition rate provided by the plasma processing system according to the present invention. This can be very beneficially used to increase substrate throughput during semiconductor processing.

본 실시예에 따른 플라즈마 공정 시스템은 전형적인 HDPCVD 조건하에서 기판 상으로 확산하기보다는, 균일하고, 기판을 향하는 SiH4의 플럭스를 공급한다. 따라서, 대부분의 경우에 1σ<3%의 일관된 증착 균일도가 얻어진다. 이것은 개개의 주입기 분사를 그 주입기 플럭스의 합이 기판 상의 각 점에서 거의 동일하도록 주의깊게 중첩시킴으로써 달성된다.The plasma processing system according to this embodiment supplies a uniform, flux of SiH 4 towards the substrate, rather than diffusing it onto the substrate under typical HDPCVD conditions. Thus, in most cases a consistent deposition uniformity of 1σ <3% is obtained. This is accomplished by carefully superimposing the individual injector injections so that the sum of their injector fluxes is approximately equal at each point on the substrate.

기판 중심 근처에서의 증착율의 심각한 감소없이 기판 가장자리 근처에서의 증착율을 증가시키기 위한 이론적인 토대는 다음의 논의로부터 이해될 수 있다. 전형적으로, 음속 노즐로부터의 자유 분류(噴流) 팽창(free jet expansion)은 연속 유동 한계(continuum flow limit) 내에서 일어나는데, 이것은 배럴 충격(barrel shock)/마하 디스크(Mach disk) 구조의 형성에 기인한 제한된 팽창으로 귀결된다. 이러한 제한된 팽창에서, 상대적으로 적은 수의 주입기들을 가지고는, 기판 상에서 균일한 플럭스 분포를 얻을 수 있으리라고 기대되지는 않는다. 그러나 본 발명에 따르면, 분류 밀도 및 챔버 분위기는 충분히 낮아서 분류는 급속하게 자유 분자 유동 영역(free molecular flow regime)으로 전이한다.The theoretical underpinnings for increasing the deposition rate near the substrate edge without seriously decreasing the deposition rate near the substrate center can be understood from the following discussion. Typically, free jet expansion from the sonic nozzle occurs within the continuous flow limit, due to the formation of a barrel shock / Mach disk structure. It results in a limited expansion. In this limited expansion, with a relatively small number of injectors, it is not expected to be able to obtain a uniform flux distribution on the substrate. However, according to the present invention, the fractionation density and chamber atmosphere are sufficiently low that fractionation rapidly transitions to the free molecular flow regime.

자유 분자 유동 영역 내에서, 분류는 너무 희박하여 충격 구조가 구축될 수 없고, 분류는 효과적으로 고정된(일정한) 온도와 속도를 가지며 단순히 프랜들-메이어 팽창(Prandtl-Meyer Expansion)으로서 팽창한다. 도 4는 주입기로부터의 가스 분류의 예시적인 유선을 도시한 것이다. 도 2를 참조하면, 팽창시에 유선은 점원으로부터 방사상으로 나타난다. 밀도는 각 유선을 따라 점원으로부터의 거리의 제곱에 반비례하여 감소하고, (극좌표의 각 Θ에 따른) 유선별 밀도 편차는 대략적으로 극좌표 R과 독립적이다. 따라서, 예를 들어, 직경 0.020 인치의 오리피스를 갖는 16개의 주입기로부터의 SiH4 유량 200sccm, 10mTorr의 챔버 압력 및 3.9Torr의 가스링 압력에서, 원뿔형 팽창의 내각의 총합은 대략 150°이다. 이 팽창은 순수하게 분출하는 유동과 관련된 코사인 분포보다 덜 발산적이고 따라서 더 평행한 편이다.Within the free molecular flow region, the fraction is so sparse that no impact structure can be built, and the fraction effectively has a fixed (constant) temperature and velocity and simply expands as a Frantl-Meyer Expansion. 4 shows an exemplary streamline of gas fractionation from an injector. Referring to Figure 2, upon expansion the mammary gland appears radially from the point source. The density decreases in inverse proportion to the square of the distance from the point source along each streamline, and the variation in density by streamline (according to each Θ of polar coordinates) is approximately independent of polar coordinates R. Thus, for example, at a SiH 4 flow rate of 200 sccm, a chamber pressure of 10 mTorr and a gas ring pressure of 3.9 Torr from 16 injectors with an orifice of 0.020 inches in diameter, the sum of the cabinets of conical expansion is approximately 150 °. This expansion is less divergent and therefore more parallel than the cosine distribution associated with the purely erupting flow.

중심선 밀도는 분류 출구로부터의 거리의 제곱에 따라 감소한다. 즉, 국부적인 가스 밀도 ρ는 다음과 같이 주어진다.The centerline density decreases with the square of the distance from the fractional outlet. That is, the local gas density p is given by

[수학식 1][Equation 1]

ρ(R,Θ=0) = α(ρ(R=0,Θ=0))/R2 ρ (R, Θ = 0) = α (ρ (R = 0, Θ = 0)) / R 2

여기서 R과 Θ는 분류 출구를 중심으로한 극좌표값이고, Θ=0은 분류축으로 정의된다. 또한, 팽창에 따른 밀도는 cos2Θ에 따라 감소한다. 즉,Where R and Θ are polar coordinates centered on the sorting outlet, and Θ = 0 is defined as the sorting axis. In addition, the density of expansion decreases with cos 2 Θ. In other words,

[수학식 2][Equation 2]

ρ(R,Θ) = ρ(R,0)cos2(πΘ/2φ)ρ (R, Θ) = ρ (R, 0) cos 2 (πΘ / 2φ)

여기서 φ는 주입된 가스의 비열비에 의존하는 경험적인 상수이다. 예를 들어, 질소의 경우 φ=1.66이다. 수학식 1과 수학식 2를 결합하고 속도는 근소한 분류 직경을 지나서 일정하다는 점을 감안하면, 플럭스 J는 팽창 내에서의 위치의 함수로 결정된다.Where φ is an empirical constant that depends on the specific heat ratio of the injected gas. For example, φ = 1.66 for nitrogen. Combining equations (1) and (2) and considering that the velocity is constant beyond the small fractionation diameter, flux J is determined as a function of position in the expansion.

[수학식 3][Equation 3]

JSiH4(R,Θ) = 상수·ρ(R,Θ)J SiH4 (R, Θ) = constant ρ (R, Θ)

여기서 JSiH4는 SiH4의 플럭스이다.Where J is the flux of SiH4 is SiH 4.

도 5는 균일한 SiH4 플럭스가 어떻게 기판 상으로 향하는지를 정성적으로 도시한 것이다. 도 5를 참조하면, 분류의 중심선을 따라서 기판상의 점 A에 충돌하는 플럭스가 원하는 플럭스라 가정한다. 축에서 벗어난 점 B에서, 축에서부터 분류까지의 방사상 거리는 감소하는 반면 분류의 중심선에 대한 유선 각도는 증가한다. R과 Θ에 의존하는 플럭스는 따라서 상보적이고, 매우 균일한 플럭스가 된다. 즉, 방사상 거리의 감소는 분류 플럭스를 증가시키고, 반면에 유선 각도 Θ의 증가는 플럭스를 감소시킨다. 축을 벗어난 점 C에서는, 점 A에 비해 방사상 위치 및 유선 각도 모두가 증가한다. 이는 기판 중심에서 이 주입기로부터의 플럭스가 감소되는 것으로 귀결되지만, 이것은 다른, 즉 기판 가장자리에 위치한 15개의 주입기들로부터의 분사 원뿔을 중첩시킴으로써 보상될 수 있다. 기판 상의 다른 점들에 대해서도 비슷한 관찰이 가능하다. 균일도는 각 분류의 커다란 원뿔형 팽창 때문에 더욱 향상된다.FIG. 5 qualitatively illustrates how uniform SiH 4 flux is directed onto the substrate. Referring to FIG. 5, it is assumed that the flux impinging on point A on the substrate along the centerline of the classification is the desired flux. At the point B off axis, the radial distance from the axis to the classification decreases while the streamline angle to the centerline of the classification increases. The flux depending on R and Θ is thus complementary and very uniform flux. That is, a decrease in radial distance increases the fractional flux, while an increase in the streamline angle Θ decreases the flux. At off-axis point C, both the radial position and the streamline angle increase relative to point A. This results in a decrease in flux from this injector at the center of the substrate, but this can be compensated for by overlapping injection cones from 15 different injectors located at the edge of the substrate. Similar observations can be made for other points on the substrate. Uniformity is further improved due to the large conical expansion of each class.

상술한 간단한 분석에서는 가스상 충돌을 무시했다. SiH4 분자들이 기판에 도달하기 앞서, 1cm 차수의 평균 자유 행정을 가지고 여러 가스상 충돌들이 일어날 것으로 예상된다. 이러한 충돌들은 곧장 나가는 SiH4 플럭스를 어느 정도 산란시키겠지만, 분류는 순수하게 확산적인 소스보다는 훨씬 더 큰 직진성을 여전히 유지한다. 이것이 바로 확산적인 성질보다는 국부적으로 향상된 증착율을 이끌어 내는, 본 발명에 따른 플라즈마 공정 시스템의 직진성이다.The simple analysis above ignores gas phase collisions. Before the SiH 4 molecules reach the substrate, several gas phase collisions are expected to occur with an average free stroke of order 1 cm. These collisions will scatter some of the straight-out SiH 4 flux, but the classification still maintains much greater straightness than purely diffuse sources. This is the straightness of the plasma processing system according to the invention, leading to locally improved deposition rates rather than diffusional properties.

도 6은 본 발명의 제2실시예에 따른 플라즈마 공정 시스템을 도시한 것이다. 도 6에 도시된 플라즈마 공정 시스템은, 도 6의 주가스링(170)이 외팔보 형식이고 수냉식이라는 점만 제외하고 도 2a에 도시된 것과 비슷하다. 본 실시예에 따르면, 반응가스는 어떤 방향으로든 배향될 수 있는 가스링(170) 내의 오리피스들을 통하여 운반된다. 바람직하게는, 증착율을 증진하기 위해 오리피스들중 일부는 기판을 향하게 한다.6 shows a plasma processing system according to a second embodiment of the present invention. The plasma processing system shown in FIG. 6 is similar to that shown in FIG. 2A except that the main gas ring 170 of FIG. 6 is cantilevered and water cooled. According to this embodiment, the reaction gas is carried through orifices in the gas ring 170 which can be oriented in any direction. Preferably, some of the orifices face the substrate to enhance the deposition rate.

가스링(170)의 수냉은 도 6에 도시된 바와 같은 두 개의 독립적인 용접된 관(185)를 사용하거나 이중관(dual tube) 구조를 사용함으로써 이루어진다. 선택적으로, 수냉관(미도시)은 가스링(170) 주위에 나선형으로 감을 수 있다. 수냉은 가스링으로부터의 박리를 최소화하고 또한 고밀도 플라즈마 노출에 기인한 가스링의 과열을 막기 위한 온도 조절을 제공한다. Water cooling of the gas ring 170 is accomplished by using two independent welded tubes 185 as shown in FIG. 6 or by using a dual tube structure. Optionally, a water cooling tube (not shown) may be spirally wound around the gas ring 170. Water cooling provides temperature control to minimize delamination from the gas ring and to prevent overheating of the gas ring due to high density plasma exposure.

또한, 챔버 벽과 가스링 온도를 제한하고 열분해를 막기 위해 복사 냉각이 사용될 수 있다.In addition, radiative cooling can be used to limit chamber wall and gas ring temperatures and to prevent pyrolysis.

도 7은 본 발명의 제3실시예에 따른 플라즈마 공정 시스템을 도시한 것이다. 도 7을 참조하면, 플라즈마 공정 시스템은 외팔보 형식의 수냉식 가스링(170)과 주입기(180)들을 포함할 수 있다. 가스링(170)은 또한 챔버 바닥에 의해 지지될 수도 있다. 7 shows a plasma processing system according to a third embodiment of the present invention. Referring to FIG. 7, the plasma processing system may include a cantilevered water-cooled gas ring 170 and injectors 180. Gas ring 170 may also be supported by the chamber bottom.

본 실시예에 따르면, 반응가스는 상술한 제1실시예에서와 같은 방식으로 기판을 향하여 주입될 수 있다. 챔버 벽과 가스링 온도를 제한하기 위해 복사 냉각이 사용될 수 있다. 또한, 하부 가스링은 상술한 제2실시예에서와 같이 수냉식으로 할 수 있다. 따라서, 제3실시예는 균일하고, 기판 상으로 향하는 증착뿐만 아니라 박리를 최소화하기 위해 가스 주입 설비의 온도 제어를 제공한다.According to this embodiment, the reaction gas can be injected toward the substrate in the same manner as in the first embodiment described above. Radiation cooling can be used to limit chamber wall and gas ring temperatures. Further, the lower gas ring can be water cooled as in the second embodiment described above. Thus, the third embodiment provides temperature control of the gas injection facility to minimize deposition as well as deposition onto the substrate.

도 8a 내지 도 8d는 본 발명에 따른 플라즈마 공정 시스템에서의 예시적인 주입기를 도시한 상세도이다. 도시의 편의상 안테나(150), 가스링들(160, 170)과 같은 플라즈마 공정 시스템의 일부 요소들은 생략했다. 도 8a 및 도 8c는 기판(120)에 대한 주입기(180)의 배향예를 묘사한다. 도 8a는 기판(120)의 수평면에 대하여 대략 45°로 배향된 주입기(180)를 보여준다. 도 8c는 다른 대안, 그러나 기판(120)의 수평면에 대하여 90°로서 덜 최적화된 주입기(180)의 배향을 보여준다. 도시하지는 않았지만, 주입축(즉, 가스 유동 방향)은 기판(120)의 수평면에 대해 15에서 45°인 것이 바람직하다.8A-8D are detailed views illustrating exemplary injectors in a plasma processing system according to the present invention. For convenience of illustration, some elements of the plasma processing system, such as antenna 150 and gas rings 160 and 170, are omitted. 8A and 8C depict an example of the orientation of the injector 180 with respect to the substrate 120. 8A shows the injector 180 oriented approximately 45 ° with respect to the horizontal plane of the substrate 120. 8C shows another alternative, but less optimized orientation of the injector 180 as 90 ° relative to the horizontal plane of the substrate 120. Although not shown, the injection axis (ie, gas flow direction) is preferably 15 to 45 ° with respect to the horizontal plane of the substrate 120.

도 8b 및 도 8d는 각각 도 8a 및 도 8c에 도시된 주입기(180)를 상세히 도시한 것이다. 도 8b 및 도 8d에 도시된 바와 같이, 주입기의 중심은 주입기의 출구 오리피스(187)의 직경보다 크다. 이것은 주입기의 중심이 아닌 출구 오리피스에서 음속의 유동이 일어나도록 한다. 8B and 8D detail the injector 180 shown in FIGS. 8A and 8C, respectively. As shown in FIGS. 8B and 8D, the center of the injector is larger than the diameter of the outlet orifice 187 of the injector. This causes a flow of sound velocity at the outlet orifice and not at the center of the injector.

도 9a 내지 도 9c 및 도 10a 내지 도 10c는 본 발명에 따른 예시적인 주입기와 가스링을 도시한 상세도이다. 도 9a는 가스링(160, 170)과 주입기(180)의 평면도이다. 도 9b는 가스링(160, 170)과 주입기(180)의 저면도이다. 도 9c는 주입기(180)의 상세 평면도이다.9A-9C and 10A-10C are detailed views illustrating exemplary injectors and gas rings in accordance with the present invention. 9A is a plan view of gas rings 160 and 170 and injector 180. 9B is a bottom view of gas rings 160, 170 and injector 180. 9C is a detailed top view of the injector 180.

마찬가지로, 도 10a 및 도 10b는 각각 가스링(160, 170)과 주입기(180)의 평면도 및 저면도이다. 도 10c는 주입기(180)의 상세 평면도이다. 도 10a, 도 10b 및 도 10c는 각각 도 9a, 도 9b 및 도 9c와 하부 가스링(170)의 가스 배출구 모양이 다른 점만 제외하고 유사하다.Likewise, FIGS. 10A and 10B are top and bottom views, respectively, of gas rings 160 and 170 and injector 180. 10C is a detailed top view of the injector 180. 10A, 10B, and 10C are similar except that the shape of the gas outlet of the lower gas ring 170 is different from those of FIGS. 9A, 9B, and 9C, respectively.

도 11은 본 발명에 따른 예시적인 주입기를 도시한 상세도이다. 도 11은, 예를 들어, 인치 단위일 수 있는 주입기(180)의 예시적인 크기를 보여준다. 도 11에 도시된 바와 같이, 주입축에 대한 출구 오리피스(187)로부터의 주입각도는 0에서 90°의 범위를 가질 수 있다. 도 8b 및 도 8d에서와 같이, 주입기(180)의 중심이 주입기의 출구 오리피스(187)의 직경보다 큰 것은 도 11로부터 명백하다. 이것은 음속의 유동이 주입기의 중심에서가 아닌 출구 오리피스에서 일어나게 한다. 주입기(180)의 예시적인 크기는 설명의 목적상 도 9에 도시되어 있다. 그러나, 본 발명에 따른 주입기는 이 크기들로 한정되지는 않는다. 크기는 응용에 따라 어떤 크기로도 원하는대로 선택될 수 있다. 11 is a detailed view of an exemplary injector in accordance with the present invention. 11 shows an exemplary size of the injector 180, which may be, for example, in inches. As shown in FIG. 11, the injection angle from the outlet orifice 187 with respect to the injection axis may range from 0 to 90 °. As in FIGS. 8B and 8D, it is evident from FIG. 11 that the center of the injector 180 is larger than the diameter of the outlet orifice 187 of the injector. This causes the flow of sound velocity to occur at the exit orifice and not at the center of the injector. Exemplary sizes of the injector 180 are shown in FIG. 9 for illustrative purposes. However, the injector according to the present invention is not limited to these sizes. The size can be selected as desired in any size depending on the application.

상술한 가스 주입 시스템은 고밀도 플라즈마 CVD 시스템을 참조하여 설명했지만, 식각과 같은 다른 처리를 위해서도 사용될 수 있다. 예를 들어, 이것은 알루미늄의 염소 식각과 같은 화학적 식각 시스템이 널리 사용되는 반도체 응용에서, 플라즈마 식각을 위해 사용될 수 있다. Although the gas injection system described above has been described with reference to a high density plasma CVD system, it can also be used for other processing such as etching. For example, it can be used for plasma etching in semiconductor applications where chemical etching systems such as chlorine etching of aluminum are widely used.

이상 본 발명의 원리들, 바람직한 실시예들 그리고 동작 모드들을 설명했다. 그러나, 본 발명은 논의된 특별한 실시예들로 한정되는 것으로 해석되어서는 안된다. 즉, 상술한 실시예들은 한정적이라기 보다는 설명적인 것으로 여겨져야 하고, 이 분야의 당업자들에 의해 다음의 청구항들로 정의된 바와 같은 본 발명의 범위를 벗어나지 않는 다양한 변형된 실시예들이 만들어질 수 있다는 것이 인정되어야 한다.The principles, preferred embodiments and modes of operation of the present invention have been described above. However, the present invention should not be construed as limited to the particular embodiments discussed. That is, the above-described embodiments should be considered illustrative rather than limiting, and various modifications may be made without departing from the scope of the present invention as defined by the following claims by those skilled in the art. It should be acknowledged.

Claims (27)

플라즈마 공정챔버;Plasma process chamber; 상기 공정챔버 내에서 기판을 지지하는 기판 홀더;A substrate holder supporting the substrate in the process chamber; 상기 기판 홀더와 면하는 내부 표면을 가지고, 상기 공정챔버의 벽을 형성하는 절연부재;An insulating member having an inner surface facing the substrate holder and forming a wall of the process chamber; 공정가스를 상기 챔버 안으로 공급하는 가스공급기; 및A gas supplier for supplying a process gas into the chamber; And 상기 절연부재를 통과하여 상기 챔버 안으로 RF 에너지를 유도적으로 결합하여 상기 공정가스를 상기 기판을 처리하기 위한 플라즈마 상태로 여기하는 RF 에너지 소스를 구비하고,An RF energy source that inductively couples RF energy into the chamber through the insulating member to excite the process gas into a plasma state for processing the substrate, 상기 가스공급기는, 가스를 방출하는 오리피스들을 각각 구비하는 주가스링과 부가스링으로 되어 있고, 상기 주가스링은 상기 오리피스들을 구비하는 주입기들을 구비하며, 상기 주입기들 중 적어도 일부는 상기 기판 홀더로부터 이격되어 있고 상기 기판 홀더의 면에 수직인 상기 기판의 둘레의 투사면 또는 그 근처에 위치하며 그 주입축이 상기 기판 홀더의 면에 대하여 15 내지 90도의 각도를 이루고, 상기 적어도 일부의 주입기들의 오리피스들은 일반적으로 상기 기판 홀더의 중앙을 향하고 상기 주입기들의 오리피스들로부터 분출된 가스 분류가 적어도 음속을 가지도록 배열되며 상기 기판의 노출면과 교차하거나 상기 노출된 면 위의 다른 영역과 교차하도록 배향됨으로써 공정 가스를 상기 기판 위로 직접 흐르게 하는 것을 특징으로 하는 플라즈마 공정 시스템.The gas supplier has a main gas ring and an additional ring each having orifices for discharging gas, the main gas ring having injectors having the orifices, at least some of the injectors being the substrate holder. Located at or near the projection surface of the periphery of the substrate, which is spaced apart from and perpendicular to the surface of the substrate holder, the implant axis at an angle of 15 to 90 degrees with respect to the surface of the substrate holder, Orifices are generally arranged so as to face the center of the substrate holder and the gaseous jets ejected from the orifices of the injectors have at least a sound velocity and are oriented to intersect the exposed surface of the substrate or other areas on the exposed surface. A plastic comprising a process gas flowing directly onto the substrate Do processing system. 제1항에 있어서, 상기 시스템은 화학기상증착 시스템 또는 플라즈마 식각 시스템인 것을 특징으로 하는 플라즈마 공정 시스템.The plasma processing system of claim 1, wherein the system is a chemical vapor deposition system or a plasma etching system. 제1항에 있어서, 상기 RF 에너지 소스는 평판 또는 비평판 코일을 구비하는 것을 특징으로 하는 플라즈마 공정 시스템.The plasma processing system of claim 1, wherein the RF energy source comprises a planar or non-planar coil. 제2항에 있어서, 상기 가스공급기는 상기 기판을 플라즈마 식각, 스트립핑 또는 세정하기 위한 할로겐 및/또는 산소 함유 가스를 공급하는 것을 특징으로 하는 플라즈마 공정 시스템.3. The plasma processing system of claim 2, wherein the gas supplier supplies a halogen and / or oxygen containing gas for plasma etching, stripping or cleaning the substrate. 제1항에 있어서, 상기 가스공급기는, 가스 또는 가스 혼합물 중 적어도 일부는 상기 기판을 향하도록 상기 가스 또는 가스 혼합물을 상기 챔버 안으로 공급하는 주가스링을 구비하는 것을 특징으로 하는 플라즈마 공정 시스템.2. The plasma processing system of claim 1, wherein the gas supplier comprises a main gas ring for supplying the gas or gas mixture into the chamber such that at least a portion of the gas or gas mixture is directed to the substrate. 제5항에 있어서, 상기 가스공급기는 부가적인 가스 또는 가스 혼합물을 상기 챔버 안으로 공급하는 부가스링을 더 구비하는 것을 특징으로 하는 플라즈마 공정 시스템.6. The plasma processing system of claim 5, wherein the gas supplier further comprises an additional ring for supplying additional gas or gas mixture into the chamber. 제5항에 있어서, 상기 가스공급기는 상기 주가스링에 연결된 주입기들을 더 구비하고, 상기 주입기들은 상기 가스 또는 가스 혼합물중 적어도 일부는 상기 기판을 향하도록 상기 가스 또는 가스 혼합물을 상기 챔버 안으로 주입하는 것을 특징으로 하는 플라즈마 공정 시스템.6. The gas supply system of claim 5, wherein the gas supply further comprises injectors connected to the main gas ring, the injectors injecting the gas or gas mixture into the chamber such that at least a portion of the gas or gas mixture is directed to the substrate. Plasma processing system, characterized in that. 제7항에 있어서, 상기 주입기들은 상기 기판 가장자리 근처 또는 바깥쪽에 위치하는 것을 특징으로 하는 플라즈마 공정 시스템.8. The plasma processing system of claim 7, wherein the injectors are located near or outside the edge of the substrate. 제7항에 있어서, 상기 주입기들은 상기 가스 또는 가스 혼합물을 상기 챔버 안으로 상기 기판의 노출된 표면에 대해 15°이상의 각도를 가지고 주입하고, 및/또는 상기 주입기들은 상기 가스 또는 가스 혼합물을 상기 기판 위의 영역에서 서로 겹쳐지는 복수의 가스 유동으로 형성하는 것을 특징으로 하는 플라즈마 공정 시스템.The method of claim 7, wherein the injectors inject the gas or gas mixture into the chamber at an angle of at least 15 ° relative to the exposed surface of the substrate, and / or the injectors inject the gas or gas mixture onto the substrate. And a plurality of gas flows overlapping each other in the region of the plasma processing system. 제1항에 있어서, 상기 주가스링은 외팔보 형식인 것을 특징으로 하는 플라즈마 공정 시스템.The plasma processing system of claim 1, wherein the main gas ring has a cantilever type. 제1항에 있어서, 공정 중에 상기 주가스링을 냉각하는 냉각기구를 더 구비하는 것을 특징으로 하는 플라즈마 공정 시스템.The plasma processing system according to claim 1, further comprising a cooling mechanism for cooling the main gas ring during the process. 제11항에 있어서, 상기 냉각기구는 상기 기판의 처리 중에 과열을 막기 위해 전기적으로 비도전성의 냉각액을 공급하는 수단을 구비하는 것을 특징으로 하는 플라즈마 공정 시스템.12. The plasma processing system of claim 11, wherein the cooling mechanism comprises means for supplying an electrically non-conductive coolant to prevent overheating during processing of the substrate. 제1항에 있어서, 상기 가스공급기는 실리콘 함유 가스를 공급하고, 기판들을 플라즈마 가스와 접촉시켜 상기 기판들 각각에 실리콘 함유층을 증착시킴으로써 상기 기판들이 상기 공정챔버 내에서 연속적으로 처리되는 것을 특징으로 하는 플라즈마 공정 시스템.The method of claim 1, wherein the gas supplier supplies a silicon-containing gas and contacts the substrates with a plasma gas to deposit a silicon-containing layer on each of the substrates so that the substrates are continuously processed in the process chamber. Plasma processing system. 제1항에 있어서, 상기 오리피스들중의 일부는 상기 기판의 노출된 표면과 교차하지 않는 방향으로 상기 공정가스를 공급하는 것을 특징으로 하는 플라즈마 공정 시스템.2. The plasma processing system of claim 1, wherein some of the orifices supply the process gas in a direction that does not intersect an exposed surface of the substrate. 공정챔버의 벽을 형성하는 절연부재의 내부 표면이 기판 홀더와 면하는 상기 공정챔버 내의 상기 기판 홀더 상에 기판을 놓는 단계;Placing a substrate on the substrate holder in the process chamber, the inner surface of the insulating member forming a wall of the process chamber facing the substrate holder; 오리피스들을 가진 복수개의 주입기들을 포함하는 가스공급기로부터 상기 공정챔버 안으로 공정가스를 공급하는 단계로서, 상기 오리피스들중 적어도 일부는 상기 기판에 근접하여 있고, 복수개의 가스 유동들이 상기 기판의 노출면에 평행한 면에서 서로 겹쳐지고 상기 주입기들은 상기 기판의 중앙보다 상기 기판의 가장자리에 더 많은 공정가스를 주입하도록 공정가스를 상기 기판의 노출된 표면과 예각을 가지고 교차하는 주입축을 따라 배향시키는 공정가스 공급단계; 및Supplying a process gas into the process chamber from a gas supply comprising a plurality of injectors with orifices, at least some of the orifices being proximate to the substrate and a plurality of gas flows parallel to the exposed surface of the substrate A process gas supplying step of orienting the process gas along an injection axis that crosses the exposed surface of the substrate at an acute angle so that the injectors overlap each other on one side and inject more process gas to the edge of the substrate than the center of the substrate ; And 상기 절연부재를 통과하여 상기 공정챔버 안으로 RF 에너지를 유도적으로 결합하여, 상기 공정가스가 상기 기판의 노출된 표면과 플라즈마상 반응됨으로써 상기 노출된 표면 상에 물질층이 증착되도록 상기 공정가스를 플라즈마 상태로 여기하는 단계를 구비하는 것을 특징으로 하는 기판 상에 층을 증착하는 방법.By inductively coupling RF energy through the insulating member into the process chamber, the process gas is plasma-reacted with the exposed surface of the substrate to deposit a layer of material on the exposed surface. Excitation to a state; and depositing a layer on the substrate. 제15항에 있어서, 상기 가스를 공급하는 단계는, The method of claim 15, wherein supplying the gas, 상기 공정 챔버내에 위치하는 주가스링으로부터 가스 또는 가스 혼합물을 공급하되, 상기 가스 또는 가스 혼합물중 적어도 일부가 상기 주입기들을 지나 상기 기판을 향하도록 공급하는 단계를 구비하는 것을 특징으로 하는 증착방법.Supplying a gas or gas mixture from a main gas ring located within the process chamber, wherein at least a portion of the gas or gas mixture is passed through the injectors toward the substrate. 제16항에 있어서, 상기 가스를 공급하는 단계는,The method of claim 16, wherein supplying the gas, 부가적인 가스 또는 가스 혼합물을 부가스링으로부터 공급하는 단계를 더 구비하는 것을 특징으로 하는 증착방법.And supplying additional gas or gas mixture from the additional spring. 제16항에 있어서, 상기 주입기들이 상기 주가스링에 연결되고, 상기 주입기들은 상기 가스 또는 가스 혼합물중의 적어도 일부를 상기 기판을 향하도록 상기 챔버 안으로 주입하는 것을 것을 특징으로 하는 증착방법.17. The deposition method of claim 16, wherein the injectors are connected to the main gas ring, and the injectors inject at least a portion of the gas or gas mixture into the chamber to face the substrate. 제18항에 있어서, 상기 주입기들은 상기 기판 가장자리 근처 또는 바깥쪽에 위치하는 것을 특징으로 하는 증착방법.19. The method of claim 18, wherein the injectors are located near or outside the edge of the substrate. 제18항에 있어서, 상기 주입기들은 상기 가스 또는 가스 혼합물중 적어도 일부를 상기 챔버 안으로 상기 기판의 노출된 표면에 대해 15°이상의 각도를 가지고 주입하는 것을 특징으로 하는 증착방법.The deposition method of claim 18, wherein the injectors inject at least a portion of the gas or gas mixture into the chamber at an angle of at least 15 ° relative to the exposed surface of the substrate. 제15항에 있어서, 상기 공정가스는 평판 코일 형태의 RF 안테나에 의해 여기되는 것을 특징으로 하는 증착방법.The deposition method of claim 15, wherein the process gas is excited by an RF antenna in the form of a flat coil. 제15항에 있어서, 상기 공정가스는 비평판 코일 형태의 RF 안테나에 의해 여기되는 것을 특징으로 하는 증착방법.The method of claim 15, wherein the process gas is excited by an RF antenna in the form of a non-planar coil. 제16항에 있어서, 상기 주가스링은 외팔보 형식이고, 공정 중에 상기 주가스링을 냉각하는 단계를 더 구비하는 것을 특징으로 하는 증착방법.17. The deposition method according to claim 16, wherein the main gas ring is cantilevered and further comprises cooling the main gas ring during the process. 제23항에 있어서, 상기 냉각 단계는 상기 기판의 처리 중에 상기 주가스링의 과열을 막기 위해 전기적으로 비도전성의 냉각액을 상기 주가스링과 열전도 접촉을 하도록 통과시키는 단계를 구비하는 것을 특징으로 하는 증착방법.24. The method of claim 23, wherein the cooling step comprises passing an electrically non-conductive cooling liquid into thermally conductive contact with the main gas ring to prevent overheating of the main gas ring during processing of the substrate. Vapor deposition method. 제21항에 있어서, 상기 기판 상에 증착되는 물질층이 실리콘 함유층을 포함하는 것을 특징으로 하는 증착방법.22. The method of claim 21, wherein the material layer deposited on the substrate comprises a silicon containing layer. 제15항에 있어서, 기판들을 플라즈마 가스와 접촉시킴으로써 상기 기판들이 상기 공정챔버 내에서 연속적으로 처리되는 것을 특징으로 하는 증착방법.16. The method of claim 15, wherein the substrates are continuously processed in the process chamber by contacting the substrates with plasma gas. 제15항에 있어서, 상기 오리피스들중 일부는 상기 기판의 노출된 표면과 교차하지 않는 방향으로 상기 공정가스를 공급하는 것을 특징으로 하는 증착방법.16. The method of claim 15, wherein some of the orifices supply the process gas in a direction that does not intersect the exposed surface of the substrate.
KR10-1998-0710611A 1996-06-28 1997-06-02 High density plasma chemical vapor deposition apparatus and method KR100493684B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-1998-0710611A KR100493684B1 (en) 1996-06-28 1997-06-02 High density plasma chemical vapor deposition apparatus and method

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8/672,315 1996-06-28
US08/672,315 1996-06-28
KR10-1998-0710611A KR100493684B1 (en) 1996-06-28 1997-06-02 High density plasma chemical vapor deposition apparatus and method

Publications (2)

Publication Number Publication Date
KR20000022193A KR20000022193A (en) 2000-04-25
KR100493684B1 true KR100493684B1 (en) 2005-09-12

Family

ID=43670144

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1998-0710611A KR100493684B1 (en) 1996-06-28 1997-06-02 High density plasma chemical vapor deposition apparatus and method

Country Status (1)

Country Link
KR (1) KR100493684B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100855875B1 (en) * 2006-12-22 2008-09-03 세메스 주식회사 Gas injection nozzle, apparatus and method for treating substrates using the same

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050011459A1 (en) * 2003-07-15 2005-01-20 Heng Liu Chemical vapor deposition reactor
CN100492600C (en) * 2003-11-05 2009-05-27 大见忠弘 Plasma processing apparatus
KR102327644B1 (en) * 2014-11-11 2021-11-17 삼성전자주식회사 Apparatus for processing substrate
US20180053628A1 (en) * 2016-08-18 2018-02-22 Mattson Technology, Inc. Separation Grid for Plasma Chamber
CN112240728B (en) * 2020-10-28 2022-04-19 长江存储科技有限责任公司 Method for improving false alarm of water flow of cooling system of panel and semiconductor processing equipment

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100855875B1 (en) * 2006-12-22 2008-09-03 세메스 주식회사 Gas injection nozzle, apparatus and method for treating substrates using the same

Also Published As

Publication number Publication date
KR20000022193A (en) 2000-04-25

Similar Documents

Publication Publication Date Title
US6270862B1 (en) Method for high density plasma chemical vapor deposition of dielectric films
EP1017876B1 (en) Gas injection system for plasma processing apparatus
KR100665646B1 (en) Gas injection system for plasma processing
US5976308A (en) High density plasma CVD and etching reactor
US6364995B1 (en) Dome-shaped inductive coupling wall having a plurality of radii for an inductively coupled plasma reactor
US6184158B1 (en) Inductively coupled plasma CVD
US6042687A (en) Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
CN101463473B (en) Shower plate electrode for plasma cvd reactor
US6417111B2 (en) Plasma processing apparatus
US20160168706A1 (en) Liner assembly and substrate processing apparatus having the same
US6497783B1 (en) Plasma processing apparatus provided with microwave applicator having annular waveguide and processing method
JP5364054B2 (en) Adjustable multi-zone gas injection system
US6344420B1 (en) Plasma processing method and plasma processing apparatus
JPH0612771B2 (en) TEOS plasma CVD method
KR20080015364A (en) Surface processing apparatus
JP3243125B2 (en) Processing equipment
KR100493684B1 (en) High density plasma chemical vapor deposition apparatus and method
JP2001345312A (en) Device and method for plasma treatment and method of manufacturing structure
US20230317416A1 (en) Plasma showerhead with improved uniformity
JPH0620978A (en) Glow discharge method and device thereof

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130508

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140514

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee