KR100281866B1 - Critical dimension control in integrated circuit fabrication using plasma etching and plasma polymerization - Google Patents

Critical dimension control in integrated circuit fabrication using plasma etching and plasma polymerization Download PDF

Info

Publication number
KR100281866B1
KR100281866B1 KR1019980037072A KR19980037072A KR100281866B1 KR 100281866 B1 KR100281866 B1 KR 100281866B1 KR 1019980037072 A KR1019980037072 A KR 1019980037072A KR 19980037072 A KR19980037072 A KR 19980037072A KR 100281866 B1 KR100281866 B1 KR 100281866B1
Authority
KR
South Korea
Prior art keywords
resist
film layer
feature
width
features
Prior art date
Application number
KR1019980037072A
Other languages
Korean (ko)
Other versions
KR19990062496A (en
Inventor
레이몬드 알. 진
사가 엠. 푸쉬팔라
Original Assignee
클라크 3세 존 엠.
내셔널 세미콘덕터 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 클라크 3세 존 엠., 내셔널 세미콘덕터 코포레이션 filed Critical 클라크 3세 존 엠.
Publication of KR19990062496A publication Critical patent/KR19990062496A/en
Application granted granted Critical
Publication of KR100281866B1 publication Critical patent/KR100281866B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Abstract

본원에는 웨이퍼상의 제 1 필름층 또는 층들을 에칭하는 방법이 개시되어 있다. 상기 방법은 임계 치수 ( critical dimension ; CD ) 미소 적재를 방지 또는 제어할 수 있으며 에치 바이어스 ( etch bias ) 를 제거 또는 최소화할 수 있다.Disclosed herein is a method of etching a first film layer or layers on a wafer. The method can prevent or control critical dimension (CD) microloading and can eliminate or minimize etch bias.

Description

플라즈마 에칭 및 플라즈마 중합을 사용하여 집적 회로를 제조함에 있어서의 임계 치수 제어 방법{CRITICAL DIMENSION CONTROL IN INTEGRATED CIRCUIT FABRICATION USING PLASMA ETCHING AND PLASMA POLYMERIZATION}CRITICAL DIMENSION CONTROL IN INTEGRATED CIRCUIT FABRICATION USING PLASMA ETCHING AND PLASMA POLYMERIZATION}

기술분야Technical Field

본 발명은 집적회로 디바이스의 제조시 웨이퍼상의 필름들을 에칭하는 방법에 관한 것이다. 구체적으로 기술하면, 본 발명의 에칭 방법은 임계 치수 미소적재 및 에치 바이어스를 제거 또는 제어한다.The present invention relates to a method of etching films on a wafer in the manufacture of an integrated circuit device. Specifically, the etching method of the present invention eliminates or controls critical dimension microloads and etch bias.

발명의 배경Background of the Invention

집적회로 디바이스를 제조하는 종래의 방법은 리도그래피 및 에칭 공정 모두를 사용한다. 리도그래피 공정시, 레지스트 재료 층은 웨이퍼의 제 1 필름층 ( 또는 층들 ) 상에 도포된다. 선택적으로는, 하부 반사 방지 피복 ( bottom antireflective coating ; BARC ) 재료 층 및 상부 반사 방지 피복 ( top antireflective coating ; TARC ) 재료 층은 상기 레지스트 층의 상하부에 각각 도포된다. 그후, 상기 레지스트 층은, 예를들면 패터닝된 레티클을 통과한 Ⅰ- 라인 자외선 광 또는 깊은 ( deep ) 자외 파장 광으로 상기 레지스트 층을 조사 ( 照射 ) 함으로써 노출된다. 그후, 노출된 레지스트는 현상된다. 현상시, 포지티브 ( positive ) 레지스트 재료가 사용되는지 또는 네가티브 ( negative ) 레지스트 재료가 사용되는지에 따라, 상기 광에 의해 접촉되었거나 접촉되지 않았던 레지스트 층의 부분들은 용해되어, 제 1 필름층상에 현상된 레지스트 재료의 패터닝된 마스크를 남긴다. 상기 마스크의 패턴은 상기 레티클의 패턴과 정합한다.Conventional methods of manufacturing integrated circuit devices use both lithographic and etching processes. In the lithographic process, a layer of resist material is applied on the first film layer (or layers) of the wafer. Optionally, a bottom antireflective coating (BARC) material layer and a top antireflective coating (TARC) material layer are applied to the top and bottom of the resist layer, respectively. The resist layer is then exposed, for example, by irradiating the resist layer with I-line ultraviolet light or deep ultraviolet wavelength light that has passed through the patterned reticle. Thereafter, the exposed resist is developed. At the time of development, depending on whether a positive resist material or a negative resist material is used, portions of the resist layer that have been contacted or not in contact with the light are dissolved, so that the resist developed on the first film layer Leave a patterned mask of material. The pattern of the mask matches the pattern of the reticle.

그후, 상기 제 1 필름층은 에칭된다. 상기 필름층 에칭 단계시, 마스크에 의해 마스킹되지 않은 제 1 필름층의 그러한 부분들은 에칭되어 제거된다. 결과적으로, 마스크의 패턴은 제 1 필름층(들)내에 일정하게 에칭된다.Thereafter, the first film layer is etched. During the film layer etching step, those portions of the first film layer that are not masked by the mask are etched away. As a result, the pattern of the mask is etched constantly in the first film layer (s).

반도체 집적회로는 사이즈 면에서는 감소하고 있지만 속도 및 밀도면에서는 증가하고 있다는 것은 흔히 알려져 있다. 더 이상의 발전에 대한 장애물은 ″ 광학적 근접 효과 ( optical proximity effect ) ″ 로서 알려져 있는 리도그래피 현상이다. 광학적 근접 효과의 결과로, 특정 다이에 대한 마스크에 있어서의 조밀하게 이격된 레지스트 라인 및 개구들은 사실상 분리되어 있는 아마도 동일한 사이즈를 갖는 레지스트 라인 및 개구들보다 얇거나 작게 형성된다. 따라서, 차후의 필름층 에칭 단계시 제 1 필름층내에 에칭되는 대응하는 조밀이격분리된 필름층 라인 및 홀 ( hole ) 들은 상이한 사이즈를 갖는다. 이러한 현상은 ″ 임계 치수 미소 적재 ( critical dimension (CD) microloading ) ″ 로서 알려져 있다.It is commonly known that semiconductor integrated circuits are decreasing in size but increasing in speed and density. An obstacle to further development is the lithographic phenomenon known as the "optical proximity effect". As a result of the optical proximity effect, the tightly spaced resist lines and openings in the mask for a particular die are formed thinner or smaller than the resist lines and openings, perhaps having substantially the same size, that are substantially separate. Thus, the corresponding densely spaced film layer lines and holes that are etched into the first film layer in subsequent film layer etching steps have different sizes. This phenomenon is known as ″ critical dimension (CD) microloading ″.

CD 미소 적재는 제조 수율 및 회로 성능 모두에 악 영향을 준다. 광학적 근접 보정 마스크 및 이상 (移相) 마스크와 같이 CD 미소 적재를 감소시키는 종래의 해결 방안은 대량 생산용으로는 복잡하고 값비싸다.CD microloading adversely affects both manufacturing yield and circuit performance. Conventional solutions for reducing CD microloading, such as optical proximity correction masks and abnormal masks, are complex and expensive for mass production.

밀도면에서의 더 이상의 증가 및 사이즈 면에서의 더 이상의 감소에 대한 또 다른 장애물은 제 1 필름층을 에칭하는 단계시 마스크 부식이다. 마스크 부식의 결과로, 제 1 필름층내에 에칭된 필름층의 사이즈는 해당하는 마스크 특징의 사이즈와는 상이하다. 예를들면, 제 1 필름층내에 에칭된 라인의 폭은 그러한 필름층 라인에 대한 마스크로서 사용된 레지스트 라인의 폭보다 좁다. 또 다른 예로서, 제 1 필름층내에 에칭된 접촉 홀의 폭은 레지스트 마스크내의 해당하는 홀보다 약간 더 크다. 집적회로의 제조업자는 제 1 필름층내에 에칭되기를 원하는 해당하는 필름층의 사이즈보다 사이즈면에서 약간 더 크거나 ( 라인들 ) 약간 더 작은 ( 홀들 ) 레지스트 마스크 특징을 형성함으로써 레지스트 부식을 고려한다.Another obstacle to further increase in density and further decrease in size is mask corrosion in the step of etching the first film layer. As a result of the mask corrosion, the size of the film layer etched in the first film layer is different from the size of the corresponding mask feature. For example, the width of the line etched in the first film layer is narrower than the width of the resist line used as a mask for that film layer line. As another example, the width of the contact holes etched in the first film layer is slightly larger than the corresponding holes in the resist mask. Manufacturers of integrated circuits consider resist corrosion by forming resist mask features that are slightly larger (lines) or slightly smaller (lines) in size than the size of the corresponding film layer desired to be etched into the first film layer.

마스크 특징 및 제 1 필름층내에 사실상 에칭되고 마스크 특징에 의해 마스킹된 필름층 특징 사이의 사이즈면에서의 차이는 에칭 공정의 ″ 에치 바이어스 ( etch bias ) ″ 로서 알려져 있다. 이상적인 에치 바이어스는 제로 (0) 이다.The difference in size between the mask feature and the film layer feature substantially etched in the first film layer and masked by the mask feature is known as the "etch bias" of the etching process. The ideal etch bias is zero (0).

에치 바이어스는, 예를들면 마스크의 레지스트 라인들 사이에 충분히 넓은 공간이 존재하는 부분에 수용될 수 있다. 그러나, 레지스트 라인이 조밀하게 집적된 어떤 시점에서의 기술적 수준의 디바이스에 있어서는, 마스크 특징들사이의 공간이 용이하게 감소될 수 없다. 또한, 접촉 홀 및 바이어에 대한 마스크에 있어서의 보다 더 작은 개구를 인쇄하기란 점점 어려워지는데, 그 이유는 종래의 Ⅰ- 라인 포토리도그래피 공정이 분해능 제한치에 접근하고 있기 때문이다.The etch bias can be accommodated, for example, in a portion where there is a sufficiently wide space between the resist lines of the mask. However, for a technical level device at some point where the resist lines are densely integrated, the spacing between the mask features cannot be easily reduced. In addition, it is increasingly difficult to print smaller openings in masks for contact holes and vias because conventional I-line photolithography processes are approaching resolution limits.

따라서, CD 미소 적재를 제거 또는 제어하고 에치 바이어스를 제거 또는 최소화하는 집적회로 제조방법이 대단히 필요하다.Thus, there is a great need for an integrated circuit fabrication method that eliminates or controls CD microloading and eliminates or minimizes etch bias.

도 1 은 웨이퍼의 제 1 필름층을 에칭하는 방법의 플로우 챠트.1 is a flow chart of a method of etching a first film layer of a wafer.

도 2 는 현상된 포토레지스트 재료의 패터닝된 마스크가 상부에 형성되고, 상기 마스크가 상이한 폭을 갖는 조밀하게 이격되고 분리된 레지스트 특징을 지니는 웨이퍼의 한 다이 ( die ) 일부의 측단면도.2 is a cross-sectional side view of a portion of a die of a wafer with a patterned mask of developed photoresist material formed thereon, the mask having densely spaced and separated resist features having different widths;

도 3 은 반도체 웨이퍼의 제 1 필름층을 에칭하는 변형 방법의 플로우 챠트.3 is a flow chart of a modification method of etching a first film layer of a semiconductor wafer.

웨이퍼상의 제 1 필름층 또는 층들을 에칭하는 방법이 개시되고 구체화된 예들이 제공된다. 상기 방법은 CD 미소 적재의 방지 또는 제어를 허용하며 에치 바이어스의 제거 또는 제어를 허용한다.A method of etching a first film layer or layers on a wafer is disclosed and provided examples are provided. The method allows the prevention or control of CD microloading and the removal or control of etch bias.

본 발명에 속하는 방법의 한 실시예에서, 단계 1 은 에칭될 제 1 필름층을 갖는 반도체 웨이퍼를 제공한다. 단계 2 는 상기 제1 필름층상에 노출되고 현상된 레지스트 재료의 패터닝된 마스크를 형성한다. 상기 레지스트 마스크는 차후의 플라즈마 에칭 단계시 제 1 필름층을 마스킹한다. 단계 2 에 의해 형성된 마스크는 웨이퍼의 각각의 집적회로 다이에 대해 반복되는 패턴을 지닌다. 각각의 다이에 대한 마스크의 부분들은 조밀하게 이격된 특징 및 분리된 특징을 지닌다. 조밀하게 이격되고 분리된 레지스트 특징은 측벽 및 폭을 지닌다.In one embodiment of the method belonging to the present invention, step 1 provides a semiconductor wafer having a first film layer to be etched. Step 2 forms a patterned mask of resist material exposed and developed on the first film layer. The resist mask masks the first film layer in a subsequent plasma etching step. The mask formed by step 2 has a repeating pattern for each integrated circuit die of the wafer. The portions of the mask for each die have tightly spaced and separated features. Densely spaced and separated resist features have sidewalls and widths.

리도그래피 공정시 광학적 근접 효과 또는 어떤 다른 이유로 해서, 다이에 대한 마스크의 조밀하게 이격된 레지스트 특징은 동일한 다이에 대한 마스크의 분리된 레지스트 특징보다 폭면에서 더 좁다.Due to the optical proximity effect or for some other reason in the lithographic process, the tightly spaced resist features of the mask for the die are narrower in width than the separate resist features of the mask for the same die.

단계 3 은 제 1 필름층을 플라즈마 에칭하여 에칭된 필름층 특징을 형성하며 또한 레지스트 마스크 및 제 1 필름층상에 플라즈마 중합체를 형성한다. 단계 3 에 대한 공정 파라메타는, 그러한 단계의 완료시, 상기 필름층내에 에칭된 조밀하게 이격되고 분리된 특징의 폭이 동일하도록, 즉 어떠한 CD 미소 적재도 존재하지 않도록 선택된다. 단계 3 공정 피라메타는 또한 분리된 에칭된 필름층 특징에 대하여는 어떠한 에치 바이어스도 존재하지 않으며 단지 조밀하게 이격된 에칭된 필름층 특징에 대하여만 작은 에치 바이어스가 존재하도록 선택된다.Step 3 plasma etches the first film layer to form etched film layer features and also forms a plasma polymer on the resist mask and the first film layer. The process parameters for step 3 are selected such that upon completion of such a step, the widths of the densely spaced and separated features etched in the film layer are the same, ie no CD micro loading is present. Step 3 The process parameter is also chosen such that there is no etch bias for the separated etched film layer features and only a small etch bias for the closely spaced etched film layer features.

변형적인 방법에서, 단계 1 및 2 는 동일하지만, 단계 3A 로서 본 명세서에 나타낸 제 3 단계는 상이하다. 단계 3A 에서, 공정 파라메타는, 플라즈마 에칭 및 플라즈마 중합 단계의 완료시, 조밀하게 이격되고 분리된 에칭된 필름층 특징의 폭이 동일하도록, 즉 어떠한 CD 미소 적재도 존재하지 않으며, 조밀하게 이격된 에칭된 필름층 특징에 대하여는 어떠한 에치 바이어스도 존재하지 않고, 단지 분리된 에칭된 필름층 특징에 대하여만 작은 에치 바이어스가 존재하도록 선택된다.In an alternative method, steps 1 and 2 are the same, but the third step shown herein as step 3A is different. In step 3A, the process parameters are such that upon completion of the plasma etching and plasma polymerization steps, the densely spaced and separated etched film layer features have the same width, i.e. no CD microloading is present, and the densely spaced etching There is no etch bias for the etched film layer features, and only a small etch bias is selected for the separated etched film layer features.

실시예Example

도 1 은 웨이퍼의 제 1 필름층을 에칭하는 방법의 플로우챠트이다. 도 1 의 방법은 다이의 분리된 특징에 대하여 제로(0) CD 미소 적재, 제로(0) 에치 바이어스를 달성하고 다이의 조밀하게 이격된 특징에 대하여는 최소 에치 바이어스를 달성한다.1 is a flowchart of a method of etching a first film layer of a wafer. The method of FIG. 1 achieves zero CD micro loading, zero etch bias for discrete features of the die and minimum etch bias for tightly spaced features of the die.

도 1 공정에 있어서의 단계 1 은 에칭될 필름층 ( 또는 층들 ) 을 지니는 웨이퍼를 제공한다. 도 2 는 한 집적회로 다이의 일부에 해당하는 웨이퍼 (20) 의 일부에 대한 단면도를 보여준다. 알다시피, 단일 웨이퍼상에는 복수개의 동일한 다이들이 존재한다.Step 1 in the FIG. 1 process provides a wafer having a film layer (or layers) to be etched. 2 shows a cross-sectional view of a portion of wafer 20 corresponding to a portion of an integrated circuit die. As you know, there are a plurality of identical dies on a single wafer.

웨이퍼 (20) 는 에칭될 제 1 필름층 (21) 을 지닌다. 본 발명은 에칭될 특정 형태의 필름 ( 또는 필름들 ) 또는 다수의 필름층에 국한되지 않는다. 편의상, 본 명세서에서 사용되는 ″ 제 1 필름층 ″ 이라는 표현은 단일 필름층 및 2 개 이상의 필름층의 적층 모두를 포함한다. 예를들면, 제 1 필름층 (21) 은 캡 ( cap ) 산화물층으로 도포된 폴리실리콘 층일 수 있다. 변형적으로는, 제 1 필름층 (21) 은 알루미늄 합금 필름, 질화물 필름, 또는 산화물 필름층일 수 있다. 에칭될 웨이퍼의 필름층 또는 층들 바로 하부에는 에칭 정지층이 존재하는 것이 전형적이다. 에칭 정지 층은 열 ( thermal ) 산화물일 수 있는데, 예를들면 열 산화물 바로 위에 있는 필름층은 폴리실리콘, 질화물, 또는 금속이다. 변형적으로는, 실리콘 기판이 제 1 필름층 (21) 하부에 있을 수 있다.The wafer 20 has a first film layer 21 to be etched. The invention is not limited to the particular type of film (or films) or multiple film layers to be etched. For convenience, the expression ″ first film layer ″ as used herein includes both a single film layer and a stack of two or more film layers. For example, the first film layer 21 may be a polysilicon layer applied with a cap oxide layer. Alternatively, the first film layer 21 may be an aluminum alloy film, a nitride film, or an oxide film layer. There is typically an etch stop layer just below the film layer or layers of the wafer to be etched. The etch stop layer may be a thermal oxide, for example the film layer directly above the thermal oxide is polysilicon, nitride, or metal. Alternatively, a silicon substrate may be under the first film layer 21.

도 1 공정에 있어서의 단계 2 는 제 1 필름층 (21) 상에 노출되고 현상된 레지스트 재료의 패터닝된 마스크를 형성한다. 종래의 리도그래피 공정이 단계 2 에 사용된다. 사용되는 리도그래피 공정은 가변적이다. 적합한 리도그래피 공정은 Ⅰ- 라인 파장 자외선 광, 깊은 자외 파장 광, 전자 비임, 또는 X - 선 리도그래피 공정을 포함한다. 예를들면, 단계 2 는 제 1 필름층 (21) 상에 레지스트 재료층을 도포한다. 다음에, 레지스트 층은 Ⅰ- 라인 파장 자외선 광을 사용하여 노출된다. 그후, 노출된 레지스트는 화학 용액으로 현상되어, 패터닝된 마스크를 형성한다.Step 2 in the process of FIG. 1 forms a patterned mask of resist material exposed and developed on the first film layer 21. A conventional lithographic process is used in step 2. The lithographic process used is variable. Suitable lithographic processes include I-line wavelength ultraviolet light, deep ultraviolet wavelength light, electron beams, or X-ray lithography processes. For example, step 2 applies a layer of resist material on the first film layer 21. The resist layer is then exposed using I-line wavelength ultraviolet light. The exposed resist is then developed with a chemical solution to form a patterned mask.

바람직하기로는, 리도그래피 공정은 초점 및 노출 매트릭스 실험을 통해 최적화된다. 그러한 실험은, 에치 바이어스, 결과적으로는 사후 에치 특징 사이즈가 초점 및 노출에 있어서의 작은 변화에 영향을 받지 않는 공정을, 당업자가 선정하여 극대화하는 것을 허용한다.Preferably, the lithographic process is optimized through focus and exposure matrix experiments. Such experiments allow those skilled in the art to select and maximize a process in which the etch bias, and consequently the post etch feature size, is not affected by small changes in focus and exposure.

단계 2 에 의해 형성된 레지스트 마스크는, 제 1 필름층 (21) 을 에칭하는 차후의 단계 동안 웨이퍼 (20) 의 집적회로 다이 각각 상에 레지스트 마스크 패턴을 동일하게 전달하기 위해 레지스트 특징, 예컨대 마스크에 있어서의 라인 및 개구들의 반복 패턴을 지닌다. 도 2 는 제 1 필름층 (21) 상에 있는 노출되고 현상된 포토레지스트 재료의 패턴닝된 마스크 (22) 를 보여준다. 도 2 의 마스크 (22) 는 웨이퍼 (20) 의 한 집적회로 다이를 에칭하기 위한 마스크 일부에 해당한다. 변형 실시예에서, BARC 재료층은 제 1 필름층 (21) 및 마스크 (22) 사이에 도포된다.The resist mask formed by step 2 may be used in a resist feature, such as a mask, to deliver the same resist mask pattern on each of the integrated circuit dies of the wafer 20 during subsequent steps of etching the first film layer 21. It has a repeating pattern of lines and openings. 2 shows a patterned mask 22 of exposed and developed photoresist material on the first film layer 21. Mask 22 of FIG. 2 corresponds to a portion of the mask for etching one integrated circuit die of wafer 20. In a variant embodiment, a BARC material layer is applied between the first film layer 21 and the mask 22.

도 2 의 마스크 (22) 는 서로에 근접하고 있는 4 개의 동일 사이즈를 갖는 레지스트 라인 (23) 을 지닌다. 또한, 마스크 (22) 에서는 레지스트 라인 (23) 각각 사이에 개구부 (25) 로 나타나 있는 개구부들이 존재한다. 단계 3 동안, 레지스트 라인 (23) 은 제 1 필름층 (21) 의 부분들을 마스킹함으로써, 단계 3 의 완료시, 레지스트 라인 (23) 에 해당하는 필름층 특징들이 각각의 다이에 대해 제 1 필름층 (21) 내에 에칭된다.The mask 22 of FIG. 2 has four identically sized resist lines 23 proximate to each other. Further, in the mask 22, there are openings indicated by the openings 25 between each of the resist lines 23. During step 3, the resist line 23 masks portions of the first film layer 21 so that, upon completion of step 3, the film layer features corresponding to the resist line 23 have a first film layer for each die. It is etched in 21.

레지스트 라인 (23) 은 웨이퍼 (20) 의 다이 일부에 대한 마스크의 조밀하게 이격된 레지스트 특징들을 나타낸다. 일례로서, 레지스트 라인 (23) 은 대략 0.5 마이크로미터에 이르는 간격으로 서로 이격될 수 있다. 그러한 조밀하게 이격된 특징들은 예를들면 메모리 어레이의 일부일 수 있다.Resist line 23 shows the tightly spaced resist characteristics of the mask for a portion of the die of wafer 20. As an example, the resist lines 23 may be spaced apart from each other at intervals up to approximately 0.5 micrometers. Such tightly spaced features may be part of a memory array, for example.

도 2 의 마스크는 또한, 레지스트 라인 (23) 으로 부터 측면으로 이동된 것으로 도시되어 있는 분리된 레지스트 라인 (24) 을 지닌다. 레지스트 라인 (24) 는 측벽 (27) 을 지닌다. 레지스트 라인 (24) 은 웨이퍼 (1) 의 동일한 다이에 대한 마스크의 분리된 레지스트 특징을 나타낸다. 일례로서, 레지스트 라인 (24) 은 대략 1.0 마이크로미터 이상의 간격으로 마스크 (22) 의 다른 레지스트 특징들로 부터 분리된다. 다른 일례로서, 상기 분리된 특징은 좁게 이격된 특징보다 최소한 2 배 정도 다른 레지스트 특징으로 부터 이격되어 있다. 레지스트 라인 (24) 은 아날로그 회로의 일부에 대한 마스크일 수 있다.The mask of FIG. 2 also has a separate resist line 24 which is shown to have been moved laterally from the resist line 23. The resist line 24 has a side wall 27. Resist line 24 shows the separate resist characteristics of the mask for the same die of wafer 1. As an example, resist line 24 is separated from other resist features of mask 22 at intervals of approximately 1.0 micrometers or more. As another example, the separated features are spaced from resist features that are at least twice as different as the narrowly spaced features. Resist line 24 may be a mask for part of an analog circuit.

단계 3 동안, 레지스트 라인 (23) 은 제 1 필름층 (21) 의 일부를 마스킹함으로써, 단계 3 의 완료시, 레지스트 라인 (24) 에 해당하는 필름층 특징이 제 1 필름층 (21) 내에 에칭된다.During step 3, the resist line 23 masks a portion of the first film layer 21, so that upon completion of step 3, the film layer feature corresponding to the resist line 24 is etched into the first film layer 21. do.

도 2 의 레지스트 라인 (24) 은 각각의 레지스트 라인 (23) 보다 넓은 것으로 도시되어 있다. 레지스트 라인 (24) 이 폭에 있어서 각각의 레지스트 라인 (23) 과 동일하여야 하지만, 리도그래피 단계 2 동안의 광학적 근접 효과 또는 어떤 다른 이유 때문에 레지스트 라인 (24) 이 사이즈에 있어서 레지스트 라인 (23) 보다 넓게 형성되었다고 가정하기로 한다. 일례로서, 각각의 레지스트 라인 (23)의 폭은 0.44 내지 0.46 마이크로미터일 수 있으며 레지스트 라인 (24) 의 폭은 0.48 마이크로미터일 수 있다. 폭에 있어서의 차이는 0.02 내지 0.04 마이크로미터이거나 분리된 레지스트 라인 (24) 의 폭의 대략 8 % 에 이른다.The resist line 24 of FIG. 2 is shown wider than each resist line 23. The resist line 24 should be equal to each resist line 23 in width, but the resist line 24 is larger than the resist line 23 in size due to the optical proximity effect during lithography step 2 or for some other reason. It is assumed that it is formed widely. As an example, the width of each resist line 23 may be 0.44 to 0.46 micrometers and the width of the resist line 24 may be 0.48 micrometers. The difference in width is from 0.02 to 0.04 micrometers or approximately 8% of the width of the separated resist line 24.

도 1 의 단계 3 은 도 2 의 웨이퍼 (20) 의 제 1 필름층 (21) 을 플라즈마 에칭하고 플라즈마 중합으로 마스크 (22) 및 제 1 필름층 (21) 상에 중합체를 형성한다. 플라즈마 중합체는, 제 1 필름층 (21) 내에 에칭되는 필름층 특징 ( 레지스트 라인 (23, 24) 에 의해 마스킹됨 ) 의 측벽상에 및 마스크 (22) 의 측벽 (26, 27) 상에 데포지트된다. 단계 3 은 플라즈마 에칭 및 플라즈마 중합의 균형을 이루게 함으로써 에칭된 필름층 특징 사이즈상의 정확한 제어가 각각의 다이의 조밀하게 이격되고 분리된 특징에 대해 이루어진다.Step 3 of FIG. 1 plasma etches the first film layer 21 of the wafer 20 of FIG. 2 and forms a polymer on the mask 22 and the first film layer 21 by plasma polymerization. The plasma polymer is deposited on the sidewalls of the film layer feature (masked by resist lines 23, 24) that are etched into the first film layer 21 and on the sidewalls 26, 27 of the mask 22. Will be Step 3 balances the plasma etch and plasma polymerization so that precise control of the etched film layer feature size is made for the closely spaced and separated features of each die.

플라즈마 중합은 다른 가능한 소오스중에서 공정 가스, 포토레지스트, 및 기판 파편으로 부터 중합체를 형성하는 것을 말한다. Cl2, HBr, BCl3, C2F6, 및 높은 비금속성의 유리 기 ( radical ) 또는 원자를 함유하는 다른 종과 같은 공정 가스에 할로겐 함유 종을 부가함으로써 플라즈마 중합체의 형성이 촉진된다.Plasma polymerization refers to the formation of polymers from process gases, photoresists, and substrate fragments among other possible sources. The formation of plasma polymers is facilitated by the addition of halogen-containing species to process gases such as Cl 2 , HBr, BCl 3 , C 2 F 6 , and other species containing high nonmetallic free radicals or atoms.

마스크내의 선택된 레지스트 라인 또는 개구부들의 측벽상에 플라즈마 중합체를 선택된 양으로 데포지트시키는 것은 레지스트 부식을 방지할 수 있으며, 충분한 양으로 데포지트되는 경우, 심지어 레지스트 마스크 특징이 사이즈에 있어서 증가되게 할 수 있다. 그 이외에도, 제 1 필름층 (21) 내에 에칭되는 필름층 특징의 측벽상에 플라즈마 중합체를 선택된 양으로 데포지트시키는 것은 측벽의 비활성화 정도를 제어할 수 있다. 그러한 제어의 경우, 필름층 특징의 측벽에서의 수평 에칭의 정도, 궁극적으로는 폭은 정밀하게 조절될 수 있다.Deposition of the plasma polymer in a selected amount on the sidewalls of selected resist lines or openings in the mask can prevent resist corrosion and, if deposited in a sufficient amount, will even allow resist mask features to increase in size Can be. In addition, depositing the plasma polymer in a selected amount on the sidewalls of the film layer features etched into the first film layer 21 can control the degree of inactivation of the sidewalls. For such control, the degree of horizontal etching at the sidewalls of the film layer features, and ultimately the width, can be precisely controlled.

CD 미소 적재를 개선하고 도 1 의 공정에 대해 상기에 기술한 에치 바이어스 목적을 이루기 위해, 단계 3 은 분리된 특징에 대해 제로 에치 바이어스를 유지하도록 분리된 마스크 특징 ( 예컨대, 레지스트 라인 (24) ) 의 측벽상에 및 제 1 필름 (21) 내에 에칭되는 해당하는 분리된 필름층 특징의 측벽상에 충분한 양의 플라즈마 중합체를 형성한다. 또한, 단계 3 은 조밀하게 이격된 마스크 특징 ( 예컨대, 레지스트 라인 (23) ) 의 측벽상에 및 제 1 필름층 (21) 내에 에칭되는 해당하는 조밀하게 이격된 필름층 특징의 측벽상에 비교적 많은 양의 플라즈마 중합체를 형성한다. 이와 같이 조밀하게 이격된 레지스트 라인 (23) 및 제 1 필름층 (21) 내로 에칭되는 해당하는 필름층 특징의 측벽상에 데포지트되는 비교적 많은 양의 플라즈마 중합체는, (1) 레지스트 라인 (23) 의 폭이 분리된 레지스트 라인 (24) 의 폭과 동일해지도록 조밀하게 이격된 레지스트 라인 (23) 의 폭을 증가시키고 ; 그리고 (2) 레지스트 라인 (23) 에 인접한 제 1 필름층 (21) 내에 에칭되는 조밀하게 이격된 필름층 특징이 레지스트 라인 (24) 에 의해 마스킹되는 분리 에칭된 필름층 특징의 폭과 동일하도록 측벽 비활성화를 통해 레지스트 라인 (23) 에 인접한 제 1 필름층 (21) 의 수평 에칭 정도를 감소시키는데 충분하다.In order to improve CD micro loading and achieve the etch bias objective described above for the process of FIG. 1, step 3 is a separate mask feature (eg, resist line 24) to maintain zero etch bias for the separated feature. A sufficient amount of plasma polymer is formed on the sidewalls of and on the sidewalls of the corresponding discrete film layer features that are etched into the first film 21. In addition, step 3 is relatively large on the sidewalls of the densely spaced mask features (eg, resist lines 23) and on the sidewalls of corresponding densely spaced film layer features that are etched into the first film layer 21. Form positive plasma polymer. A relatively large amount of plasma polymer deposited on the sidewalls of the densely spaced resist lines 23 and corresponding film layer features etched into the first film layer 21 is (1) resist lines 23 Increase the width of the densely spaced resist lines 23 so that the width of N) is equal to the width of the separated resist lines 24; And (2) the sidewalls such that the densely spaced film layer feature etched in the first film layer 21 adjacent the resist line 23 is equal to the width of the separated etched film layer feature masked by the resist line 24. It is sufficient to reduce the degree of horizontal etching of the first film layer 21 adjacent to the resist line 23 through deactivation.

도 1 의 공정의 단계 3 은 보다 적은 양의 플라즈마 중합체가 조밀한 특징을 갖는 마스크 및 다이의 영역에서 보다 분리된 특징을 갖는 마스크 및 다이의 영역에서 형성되도록 설계된다. 보다 적은 양의 플라즈마 중합체가 형성되는 부분에는, 측벽에서의 필름층의 많은 수평 에칭 및 적은 측벽 비활성화가 존재한다.Step 3 of the process of FIG. 1 is designed such that a smaller amount of plasma polymer is formed in the region of the mask and die having more discrete features in the region of the mask and die having dense features. In areas where less amount of plasma polymer is formed, there is more horizontal etching and less sidewall deactivation of the film layer at the sidewalls.

이러한 선호적인 에칭 및 중합체 형성 능력을 이루기위해, 단계 3 은 분리된 특징의 영역 ( 예컨대, 레지스트 라인 (24) 의 영역 ) 에 존재하기 보다는 마스크의 조밀한 특징을 갖는 영역 ( 예컨대, 레지스트 라인 (23) 의 영역 ) 에 존재하는 비교적 많은 레지스트 농도를 이용하도록 설계된다. 조밀하게 이격된 특징의 영역에서 많은 레지스트가 이용될 수 있기 때문에, 단계 3 은 마스크의 분리된 특징의 영역에서라기 보다는 비활성화 플라즈마 중합체가 가장 많이 필요한 마스크의 조밀한 특징을 갖는 영역에서 비교적 많은 양의 비활성화 플라즈마 중합체를 형성한다.In order to achieve this preferred etch and polymer forming capability, step 3 is a region having dense features of the mask (eg, resist lines 23) rather than being in regions of discrete features (eg, regions of resist line 24). It is designed to take advantage of the relatively high resist concentration present in the region of). Since a large number of resists can be used in areas of tightly spaced features, step 3 is relatively large in areas with the dense features of the mask that require the most inert plasma polymer rather than in the areas of discrete features of the mask. To form an inert plasma polymer.

또한, 단계 3 은 다이용 마스크의 많은 조밀한 특징을 갖는 영역에서, 플라즈마 반응에서 형성된 특정한 반응 중합체 종이 분리된 측벽상에 존재함으로써 분리된 측벽을 비활성화시키기 보다는 조밀한 특징을 갖는 영역에서의 측벽상에 존재함으로써 조밀한 특징을 갖는 영역에서의 측벽을 비횔성화시킬 보다 큰 가능성을 갖는다는 사실을 이용하도록 설계된다. 설명하자면, 특정 반응 플라즈마 중합체 종은 레지스트 또는 에칭된 필름층 특징 측벽과 충돌하여 순간적으로 레지스트 또는 에칭된 필름층 특징 측벽에 부착하는 ″ 점착성 볼 ( sticky ball ) ″ 로서 가시화될 수 있다. 도 2 를 참조하면, 레지스트 라인 (23) 으로 표시된 마스크의 조밀한 특징을 갖는 영역에서, 사각으로 마스크 (22) 내의 개구부에 들어가는 점착성 볼은 한 레지스트 라인 (23) 및 인접한 레지스트 라인 (23) 의 측벽 (26) 사이의 밀집 공간 전후로 경계를 표시할 수 있다. 이와는 대조적으로, 분리된 레지스트 라인 (24) 의 측벽 (27) 과 사각으로 충돌하는 유사한 점착성 볼은 레지스트 라인 (24) 으로 부터 멀리 떨어져서 경계를 표시한다. 따라서, 임의로 주어진 시점에서는, 점착성 볼 ( 즉, 반응 플라즈마 중합체 종 ) 이 분리된 마스크 또는 에칭된 필름층 측벽상에 존재함으로써 분리된 마스크 또는 에칭된 필름층 측벽을 비활성화시키기보다는 조밀하게 이격된 마스크 또는 에칭된 필름층 측벽상에 존재함으로써 조밀하게 이격된 마스크 또는 에칭된 필름층 측벽을 비횔성화시킬 보다 큰 가능성이 존재한다.In addition, step 3 is performed on the sidewalls in the region having the dense features, rather than inactivating the separated sidewalls, in a region having many dense features of the mask for the die, the particular reactive polymer species formed in the plasma reaction is present on the separated sidewalls. It is designed to take advantage of the fact that it has a greater likelihood of deactivating sidewalls in areas with dense features by being present at. To illustrate, certain reactive plasma polymer species may be visualized as ″ sticky balls ″ that collide with the resist or etched film layer feature sidewalls and instantly attach to the resist or etched film layer feature sidewalls. Referring to FIG. 2, in the region having the dense feature of the mask indicated by the resist line 23, the sticky balls entering the openings in the mask 22 in a square shape are formed of one resist line 23 and the adjacent resist line 23. The boundary can be marked before and after the dense space between the side walls 26. In contrast, similar sticky balls striking squarely with the side wall 27 of the separated resist line 24 mark the boundary away from the resist line 24. Thus, at any given point in time, the sticky balls (ie, reactive plasma polymer species) are present on the separated mask or etched film layer sidewalls, thereby densely spaced masks or rather than inactivating the separated mask or etched film layer sidewalls. By being on the etched film layer sidewalls there is a greater possibility of deactivating the densely spaced mask or etched film layer sidewalls.

단계 3 은 이방성 에칭이 가능한 플라즈마 에칭 반응기에서 이행된다. 종래에 공지된 방식으로, 상이한 플라즈마 에치 반응기 및 공정은 상이한 형태의 필름을 에칭하는데 유용함으로써, 당업자는 상기 에치 반응기를 선택하여 용도에 따라 공정을 조절하여야 한다. 선택된 플라즈마 에치 반응기 및 공정은 일정한 에치 비율을 이루고 특정 값 미만에 있는 낮은 불균일성 값을 지녀야 한다. 예를들면, 3 시그마 불균일성 값은 10 또는 5 미만이여야 하며 보다 낮은 값이 더 양호하다. 본 발명에 유용한 플라즈마 에치 반응기는 예를들면 폴리실리콘, 질화물, 및 산화물 필름을 에칭하는 TCP 9400 고밀 저압 플라즈마 에치 반응기, 및 알루미늄 합금 필름을 에칭하는 TCP 9600 고밀 저압 플라즈마 에치 반응기를 포함한다. TCP 9400 및 9600 반응기는 미국, 캘리포니아, 프레몬트 소재의 Lam Research Corporation 으로 부터 상업적으로 입수가능하다. 선택적으로, MXP 5000 고밀 저압 플라즈마 에치 반응기는 폴리실리콘 필름용으로 사용될 수 있다. MXP 5000 반응기는 미국, 캘리포니아, 산타 클라라 소재의 Applied Materials Corporation 으로 부터 상업적으로 입수가능하다.Step 3 is carried out in a plasma etch reactor capable of anisotropic etching. In a manner known in the art, different plasma etch reactors and processes are useful for etching different types of films, such that those skilled in the art should select the etch reactor and adjust the process according to the application. The chosen plasma etch reactor and process should have a low non-uniformity value that achieves a constant etch rate and is below a certain value. For example, the three sigma non-uniformity value should be less than 10 or 5 and lower values are better. Plasma etch reactors useful in the present invention include, for example, a TCP 9400 high density low pressure plasma etch reactor for etching polysilicon, nitride, and oxide films, and a TCP 9600 high density low pressure plasma etch reactor for etching aluminum alloy films. TCP 9400 and 9600 reactors are commercially available from Lam Research Corporation, Fremont, California. Optionally, the MXP 5000 high density low pressure plasma etch reactor may be used for polysilicon films. MXP 5000 reactors are commercially available from Applied Materials Corporation, Santa Clara, California.

종래에 공지된 방식으로, 주어진 고밀 저압 플라즈마 에치 반응기 및 공정에 대한 에치 비율의 일관성은 에치 챔버 조절 및 세정, 동일 반응기에서 이미 사용된 에치 화학 물질, 및 에치 챔버 유휴시간을 포함하는 다양한 인자에 의해 영향을 받는다. 당업자는 그러한 인자들을 감시하여 일관된 에치 비율을 보장하여야 한다.In a manner known in the art, the consistency of the etch rate for a given high density low pressure plasma etch reactor and process is determined by various factors including etch chamber conditioning and cleaning, etch chemicals already used in the same reactor, and etch chamber idle time. get affected. Those skilled in the art should monitor such factors to ensure a consistent etch rate.

BARC 층이 레지스트 마스크 (22) 및 제 1 마스크 층 (21) 사이에 존재하는 경우, BARC 층을 에칭하는 단계는 제 1 필름층 (21) 을 에칭하기 전에 이행되어야 한다. 이러한 BARC 층 에치 단계에 대한 공정 파라메타는 측면 방향으로 일정한 BARC 층 에치 비율을 이루도록 선택되어야 한다.If a BARC layer is present between the resist mask 22 and the first mask layer 21, the step of etching the BARC layer must be carried out before etching the first film layer 21. The process parameters for this BARC layer etch step should be chosen to achieve a constant BARC layer etch rate in the lateral direction.

BARC 층 에치 단계 및 제 1 필름층 에치 단계에 대한 일관된 플라즈마 스트라이킹 및 안정된 플라즈마는 플라즈마를 스트라이킹하기전에 챔버의 안정화를 이행함으로써 달성될 수 있다. 이러한 챔버 안정화 단계 동안, 에치 챔버 압력 및 가스 조성은 특징 사이즈를 제어하도록 최적화된다.Consistent plasma strike and stable plasma for the BARC layer etch step and the first film layer etch step may be achieved by performing stabilization of the chamber prior to striking the plasma. During this chamber stabilization step, the etch chamber pressure and gas composition are optimized to control the feature size.

도 1 공정의 단계 4 는 웨이퍼 (20) 로 부터 남아있는 포토레지스트, BARC 재료 ( 존재하는 경우 ), 플라즈마 중합체, 또는 기타 단계 3 의 부산물을 제거하는 것이다. 습식 스트리핑, 또는 애싱 ( ashing ) 및 습식 스트리핑의 결합과 같은 종래의 방법은 이러한 단계에 사용될 수 있다.Step 4 of the FIG. 1 process removes remaining photoresist, BARC material (if present), plasma polymer, or other byproducts of step 3 from wafer 20. Conventional methods such as wet stripping or a combination of ashing and wet stripping can be used for this step.

도 3 은 반도체 웨이퍼의 제 1 필름층을 에칭하는 변형 방법의 플로우챠트이다. 도 3 의 방법은 단계 3A 를 제외하고는, 도 1 의 방법과 동일하다. 도 3 의 방법은 다이의 조밀하게 이격된 특징에 대해 제로 CD 미소 적재, 제로 에치 바이어스를 이루고 다이의 분리된 특징에 대하여는 최소 에치 바이어스를 이루는데 유용하다. 도 3 의 공정은 도 1 의 공정과는 상이한 결과를 달성하는데, 그 이유는 상이한 방법이 도1 의 단계 3 보다는 도 3 의 단계 3A 에 사용되기 때문이다. 단계 3A 는 플라즈마 에칭 및 플라즈마 중합사이의 상이한 균형을 취한다.3 is a flowchart of a modification method for etching a first film layer of a semiconductor wafer. The method of FIG. 3 is identical to the method of FIG. 1 except for step 3A. The method of FIG. 3 is useful for achieving zero CD micro loading, zero etch bias for the closely spaced features of the die and minimal etch bias for the discrete features of the die. The process of FIG. 3 achieves a different result than the process of FIG. 1 because different methods are used in step 3A of FIG. 3 rather than step 3 of FIG. Step 3A takes a different balance between plasma etching and plasma polymerization.

도 1 의 단계 3 과 같이, 도 3 의 단계 3A 는 제 1 필름층 (21) 을 플라즈마 에칭하고 레지스트 마스크 특징의 측벽 (26, 27) 상에 및 제 1 필름층 (21) 내에 에칭되는 해당하는 필름층 특징의 측벽상에 플라즈마 중합으로 중합체를 형성한다.As with step 3 of FIG. 1, step 3A of FIG. 3 corresponds to a plasma etch of the first film layer 21 and to be etched on the sidewalls 26, 27 of the resist mask feature and within the first film layer 21. The polymer is formed by plasma polymerization on the sidewalls of the film layer features.

도 3 의 단계 3A 는 도 1 의 단계 3 과는 달리 조밀하게 이격되고 분리된 마스크 및 에칭된 필름층 특징의 측벽상에 비교적 적은 중합체를 형성 및 데포지트한다. 그럼에도 불구하고, 도 3 의 단계 3A 동안 마스크 측벽 (26, 27) 및 해당하는 필름층 측벽상에 데포지트된 플라즈마 중합체의 양은, (1) 조밀하게 이격된 특징에 대해 제로 에치 바이어스를 유지하고, 그리고 (2) 분리된 마스크 및 필름층 특징의 레지스트 부식 및 수평 에칭의 대부분을 저지하지만, 그 전부를 저지하지 않음으로써, 제 1 필름층 (21) 내에 에칭되는 조밀하게 이격된 필름층 특징 ( 예컨대, 레지스트 라인 (23) 에 의해 마스킹된 필름층 라인 ) 의 최종 폭이 제 1 필름층 (21) 내에 에칭되는 분리된 필름층 특징 ( 예컨대, 레지스트 라인 (24) 에 의해 마스킹되는 필름층 라인 ) 의 폭과 동일하게 하는데 충분하다. 단계 3A 후에는 분리된 특징에 대해 소량의 에치 바이어스가 존재하는데, 그 이유는 분리되는 특징의 측벽에는 제어된 소량의 수평 에칭이 존재하기 때문이다.Step 3A of FIG. 3, unlike step 3 of FIG. 1, forms and deposits relatively few polymers on the sidewalls of the closely spaced and separated mask and etched film layer features. Nevertheless, the amount of plasma polymer deposited on the mask sidewalls 26 and 27 and corresponding film layer sidewalls during step 3A of FIG. 3 maintains (1) zero etch bias for tightly spaced features and And (2) densely spaced film layer features etched into the first film layer 21 by preventing most of resist corrosion and horizontal etching of the separated mask and film layer features, but not all of them ( For example, a separate film layer feature (eg, film layer line masked by resist line 24) in which the final width of the film layer line masked by resist line 23 is etched into the first film layer 21. It is enough to make it equal to the width of. After step 3A, there is a small amount of etch bias for the isolated feature because there is a controlled small amount of horizontal etching on the sidewall of the isolated feature.

도 1 및 3 의 예시적인 공정은 제로 CD 미소 적재를 이루지만, 에치 바이어스와 관련된 다소 다른 결과를 초래시킨다. 특히, 도 1 공정의 단계 3 은, 비록 조밀하게 이격된 특징에 대해 적은 에치 바이어스 ( 대략 0.01 내지 0.02 마이크로미터 또는 8% 미만 ) 를 갖는다 하더라도, 다이의 분리된 특징에 대해 제로 에치 바이어스를 이룬다. 이러한 해결 방안은 분리된 특징이 다이의 중요한 아날로그 회로의 일부일 경우에 최적일 수 있다. 이와는 대조적으로, 도 3 공정의 단계 3A 는, 비록 분리된 특징에 대하여 적은 에치 바이어스 ( 대략 0.01 내지 0.02 마이크로미터 또는 8% 미만 ) 를 갖는다 하더라도, 다이의 조밀하게 이격된 특징에 대해 제로 에치 바이어스를 이룬다. 이러한 제 2 해결 방안은 예를들면 메모리 디바이스에 대해 최적일 수 있다.The exemplary process of FIGS. 1 and 3 achieves zero CD micro loading, but results in somewhat different results related to etch bias. In particular, step 3 of the FIG. 1 process achieves zero etch bias for the discrete features of the die, even though they have less etch bias (approximately 0.01 to 0.02 micrometers or less than 8%) for densely spaced features. This solution may be optimal if the discrete features are part of the die's critical analog circuitry. In contrast, step 3A of the FIG. 3 process applies zero etch bias to the densely spaced features of the die, even though they have a small etch bias (less than approximately 0.01 to 0.02 micrometers or less than 8%) for discrete features. Achieve. This second solution may for example be optimal for a memory device.

도 1 및 3 의 공정은 도 3 의 단계 3A 에 대한 도 1 의 단계 3 에 있어서의 공정 파라메타의 상이한 선택 때문에 상이한 결과를 초래한다. 당업자는 도 1 또는 3 의 결과, 또는 용도에 적합한 상이한 결과를 초래시키는 공정들을 개시할 수 있다.The process of FIGS. 1 and 3 results in different results because of the different choice of process parameters in step 3 of FIG. 1 relative to step 3A of FIG. One skilled in the art can disclose processes that result in the results of FIG. 1 or 3, or different results suitable for the application.

예를들면, 단계 3 또는 3A 의 공정 파라메타는 조밀하게 이격된 에칭된 필름 특징 및 분리된 에칭된 필름층 특징사이의 폭에 있어서의 차이가 선택된 비제로 양이지만, 그럼에도 불구하고 조밀하게 이격된 레지스트 특징 및 분리된 레지스트 특징 사이의 폭에 있어서의 차이보다 적은 차이 간격이도록 조절될 수 있다. CD 미소 적재가 방지되지는 않지만, 그러한 해결 방안이 유용한 특정 용도에 대한 성능 및 산율은 충분히 개선될 수 있다. 예를들면, 각각의 다이에 대한 마스크의 조밀하게 이격되고 분리된 레지스트 특징 사이의 폭에 있어서의 차이가 분리된 레지스트 특징의 8% 미만 또는 0.020 내지 0.025 마이크로미터 일 수 있지만, 조밀하게 이격된 에칭된 필름층 특징 및 분리된 에칭된 필름층 특징의 폭은 분리된 에칭된 필름층 특징의 폭의 3% 또는 0.005 마이크로미터와 같은 보다 적은 차이를 지니도록 선택될 수 있다. 그러한 결과는 플라즈마 중합의 양 및 플라즈마 에칭의 비율을 조절함으로써 얻어질 수 있다.For example, the process parameters of step 3 or 3A may have a difference in width between the densely spaced etched film features and the separated etched film layer features with a selected non-zero amount, but nevertheless tightly spaced resist. The difference can be adjusted to be less than the difference in width between the feature and the separated resist feature. Although CD microloading is not prevented, the performance and yield can be sufficiently improved for the particular application in which such a solution is useful. For example, a tightly spaced etch, although the difference in width between the tightly spaced and separated resist features of the mask for each die may be less than 8% of the separated resist features or 0.020 to 0.025 micrometers. The width of the separated film layer feature and the separated etched film layer feature may be selected to have a smaller difference, such as 3% or 0.005 micrometers of the width of the separated etched film layer feature. Such results can be obtained by adjusting the amount of plasma polymerization and the ratio of plasma etching.

본 발명은 또한 공정 윈도우를 극대화하기 위하여 광학적 근접 보정 ( optical proximity correction ; OPC ) 마스크와 결합될 수 있다. 이는, 예를들면 OPC 마스크가 그러한 용도로 이미 전개되어온 부분에 및 마스크 비용이 그 용도에 대해 당업자의 결정 마스킹에서 중요한 요인이지 않은 경우에 눈길을 끌 수 있다.The invention can also be combined with an optical proximity correction (OPC) mask to maximize the process window. This may be noticeable, for example, where the OPC mask has already been developed for such use and when the mask cost is not an important factor in the decision masking of those skilled in the art for that use.

용도 및 에치 반응기들이 다양하기 때문에, 주어진 소망의 결과 ( 예컨대, 도 1 또는 도 3 의 결과 ) 의 달성은 당업자가 공정 파라메타를 적절히 선택하는 것을 필요로 한다. 예를들어, TCP 9400 또는 TCP 9600 과 같은 2-전극 반응기를 취하면, 다음과 같은 공정 파라메타의 범위가 유용하다.Because of the variety of uses and etch reactors, the achievement of a given desired result (eg, the result of FIG. 1 or FIG. 3) requires the skilled person to properly select the process parameters. For example, taking a two-electrode reactor such as TCP 9400 or TCP 9600, the following range of process parameters is useful.

압력 : 0.5 내지 500 밀리토르 ( mtorr )Pressure: 0.5 to 500 millitorr (mtorr)

RF 전력 상부 전극 : 50 내지 1000 와트RF power upper electrode: 50 to 1000 watts

RF 전력 하부 전극 : 0-600 와트RF power bottom electrode: 0-600 watts

리크 백 ( Leak back ) 비율 : 1 밀리토르 ( mtorr ) /분Leak back ratio: 1 millitorr / min

전극 온도 : 50 내지 100℃Electrode temperature: 50-100 ℃

챔버 벽 온도 : 50 내지 100℃Chamber wall temperature: 50 to 100 ℃

본 발명은 더 명료하게 하기 위하여, 하기에 3 가지 상세한 예들이 제공되어 있다.To make the invention clearer, three detailed examples are provided below.

예 1Example 1

예 1 의 공정은, 도 1 의 공정과 같이, 다이의 분리된 특징에 대해 제로 CD 미소 적재 및 제로 에치 바이어스를 달성한다. 0.01 내지 0.02 마이크로미터 정도의 최소 에치 바이어스는 다이의 조밀하게 이격된 특징에 대해 달성된다.The process of Example 1, like the process of FIG. 1, achieves zero CD micro loading and zero etch bias for discrete features of the die. Minimum etch bias on the order of 0.01 to 0.02 micrometers is achieved for the densely spaced features of the die.

단계 1 은 에칭될 제 1 필름층 (21) 을 지니는 웨이퍼를 제공한다. 이러한 예에서, 제 1 필름층 (21) 은 50 내지 300 옹스트롬 두께인 캡 ( cap ) 산화물 층 및 대략 2,500 내지 4,000 옹스트롬 두께인 P-도우핑된 RTP 폴리실리콘 필름 또는 P - 도우핑된 노 (爐) 어닐링된 폴리실리콘 필름 층이다. 상기 폴리실리콘 필름층의 하부에는 대략 30 내지 100 옹스트롬 두께인 열 산화물 필름층이다.Step 1 provides a wafer with a first film layer 21 to be etched. In this example, the first film layer 21 is a cap oxide layer 50-300 angstroms thick and a P-doped RTP polysilicon film or P-doped furnace (approximately 2,500-4,000 angstroms thick). ) An annealed polysilicon film layer. Underneath the polysilicon film layer is a thermal oxide film layer approximately 30 to 100 angstroms thick.

단계 2 는 제1 필름층 (21) 상에 노출되고 현상된 포토레지스트의 패터닝된 마스크를 형성한다. 매트릭스 실험을 통해 최적화되어진 초점 및 노출을 지니는 종래의 Ⅰ- 라인 자외선 광 리도그래피 공정은 단계 2 에 사용된다. 웨이퍼의 동일 다이 각각에 대한 마스크 부분은 분리된 특징뿐만 아니라 조밀하게 이격된 특징을 지닌다. 그러한 마스크는 분리된 레지스트 특징 ( 예컨대, 레지스트 라인 (24) ) 및 상기 분리된 레지스트 특징의 폭의 대략 8% 또는 대략 0.01 내지 0.02 마이크로미터인 조밀하게 이격된 특징 ( 예컨대, 레지스트 라인 (23) 의 폭간의 차이를 나타낸다. 레지스트 재료는 10,000 내지 13,000 옹스트롬 두께인 OLIN OiR 897 - 9Ⅰ 레지스트 층이다. 이러한 레지스트는 미국 소재의 Olin Corporation 으로 부터 입수가능하다. BARC 층은 사용되지 않는다.Step 2 forms a patterned mask of exposed and developed photoresist on the first film layer 21. A conventional I-line ultraviolet light lithography process with focus and exposure optimized through matrix experiments is used in step 2. The mask portion for each of the same dies of the wafer has not only separate features but also densely spaced features. Such masks may be used to separate discrete resist features (eg, resist lines 24) and dense spaced features (eg, resist lines 23) that are approximately 8% or approximately 0.01 to 0.02 micrometers in width of the separated resist features. The resist material is an OLIN OiR 897-9I resist layer with a thickness of 10,000 to 13,000 angstroms, such a resist is available from Olin Corporation, USA The BARC layer is not used.

단계 3 은 상부 전극 및 하부 전극을 지니는 TCP 9400 고밀 저압 플라즈마 반응기에서 이행된다. 전극 온도는 70℃ 이다. 챔버 온도는 60℃이다. 단계 3 에서 사용된 가스는 카본 테트라플루오라이드 (CF4), 하이드로겐 브로우마이드 (HBr), 클로린 (Cl2), 헬륨 (He) 및 70% 헬륨 30% 옥시겐 혼합물 ( He/O2) 이다.Step 3 is carried out in a TCP 9400 high density low pressure plasma reactor having an upper electrode and a lower electrode. The electrode temperature is 70 ° C. Chamber temperature is 60 ° C. The gas used in step 3 was carbon tetrafluoride (CF 4 ), hydrogen bromide (HB r ), chlorine (Cl 2 ), helium (He) and 70% helium 30% oxygen mixture (He / O 2 ) to be.

예 1 의 단계 3 은 브레이크스루 에치 ( breakthrough etch ; BE ) 작업 ; 엔드포인트에 대한 메인 에치 ( main etch ; ME ) 작업 ; 및 메인 에치 작업의 총체적인 시간의 백분율인 지속기간을 갖는 오버에치 ( overetch ; OE ) 작업과 같은 3 가지 주된 작업을 지닌다. 그 이외에도, 예 1 ( 또는 하기 예 2 및 3 ) 에는 나타나 있지 않지만, 각각의 예에서는 RF 방전이 오프상태이고 공정 가스가 챔버내로 도입되는 각각의 BE, ME, 및 OE 작업전에 짧은 챔버 인정화 주기가 존재한다. 당업자는 또한, RF 방전이 개시된 후에, 에치 부산물의 측정이 취해지기 전의 짧은 시간 주기동안 플라즈마가 표준화되어야 한다는 점을 알 수 있을 것이다.Step 3 of Example 1 includes a breakthrough etch (BE) operation; Main etch (ME) operations on endpoints; And an overetch (OE) job with a duration that is a percentage of the total time of the main etch job. In addition, although not shown in Example 1 (or Examples 2 and 3 below), in each example a short chamber qualification cycle before each BE, ME, and OE operation where RF discharge is off and process gas is introduced into the chamber. Is present. Those skilled in the art will also appreciate that after the RF discharge is initiated, the plasma should be normalized for a short period of time before the measurement of the etch byproduct is taken.

단계 3 의 BE, ME, 및 OE 작업에 대한 파라메타는 아래에 기재되어 있다.The parameters for the BE, ME, and OE operations of step 3 are described below.

파라메타Parameter BEBE MEME OEOE 압력 (mtorr)Pressure (mtorr) 1010 2020 8080 RF 전력 상부 (w)RF power top (w) 250250 200200 250250 RF 전력 하부 (w)RF power bottom (w) 150150 200200 200200 CF4 (sccm)CF4 (sccm) 100100 Cl2 (sccm)Cl2 (sccm) 100100 HBr (sccm)HBr (sccm) 150150 100100 He (sccm)He (sccm) 5050 100100 He-02He-02 44 완료complete 시간time 엔드 포인트까지To the end point %% 시간time 25 초25 sec 90 - 150초90-150 seconds 120%120%

변형 실시예에서, 유기 BARC 층이 사용되었다면, 이러한 예의 BE 단계는 필요한 경우 상기 BARC 층 및 캡 산화물층을 에칭하도록 길어질 수 있다.In an alternative embodiment, if an organic BARC layer was used, the BE step of this example can be lengthened to etch the BARC layer and cap oxide layer if necessary.

예 2Example 2

예 2 의 공정은, 도 1 의 공정과 같이, 다이의 분리된 특징에 대해 제로 CD 미소 적재 및 제로 에치 바이어스를 달성한다. 0.01 내지 0.02 마이크로미터 정도의 최소 에치 바이어스는 다이의 조밀하게 이격된 특징에 대해 달성된다.The process of Example 2, like the process of FIG. 1, achieves zero CD micro loading and zero etch bias for discrete features of the die. Minimum etch bias on the order of 0.01 to 0.02 micrometers is achieved for the densely spaced features of the die.

단계 1 은 에칭될 제 1 필름층 (21) 을 지니는 웨이퍼를 제공한다. 이러한 예에서, 제 1 필름층 (21) 은 1,500 내지 4,000 옹스트롬 두께인 도우핑되지 않은 결정질 폴리실리콘 필름 또는 도우핑되지 않은 비정질 폴리실리콘 필름층이다. 그러한 폴리실리콘은 대략 15 옹스트롬 두께인 극히 얇은 본래의 산화물 상부층이다. 폴리실리콘 하부에는 대략 30 내지 100 옹스트롬 두께인 열 산화물 필름층이다.Step 1 provides a wafer with a first film layer 21 to be etched. In this example, the first film layer 21 is an undoped crystalline polysilicon film or an undoped amorphous polysilicon film layer that is 1,500 to 4,000 angstroms thick. Such polysilicon is an extremely thin native oxide top layer that is approximately 15 Angstroms thick. Underneath polysilicon is a thermal oxide film layer approximately 30 to 100 angstroms thick.

단계 2 는 제 1 필름층 (21) 상에 노출되고 현상된 포토레지스트의 패터닝된 마스크를 형성한다. 초점 및 노출 매트릭스 실험을 통해, 종래의 Ⅰ- 라인 자외선 광 리도그래피 공정은 단계 2 에 사용된다. 웨이퍼의 동일 다이각각에 대한 마스크 부분은 분리된 특징뿐만 아니라 조밀하게 이격된 특징을 지닌다. 그러한 마스크는 분리된 특징 ( 예컨대, 레지스트 라인 (24) ) 및 상기 분리된 특징의 폭의 대략 0.01 내지 0.02 마이크로미터 또는 대략 0.01 내지 0.02 마이크로미터인 조밀하게 이격된 레지스트 특징 ( 예컨대, 레지스트 라인 (23) ) 의 폭간의 차이를 나타낸다. 레지스트 재료는 10,000 내지 13,000 옹스트롬 두께인 OLIN OiR897 - RⅠ 레지스트 층이다. BARC 층은 사용되지 않는다.Step 2 forms a patterned mask of exposed and developed photoresist on the first film layer 21. Through focus and exposure matrix experiments, a conventional I-line ultraviolet light lithography process is used in step 2. The mask portions for each of the same dies of the wafer have densely spaced features as well as discrete features. Such masks may include discrete features (eg, resist lines 24) and densely spaced resist features (eg, resist lines 23) that are approximately 0.01 to 0.02 micrometers or approximately 0.01 to 0.02 micrometers in width of the separated features. The difference between the widths of)). The resist material is an OLIN OiR897-RI resist layer that is 10,000 to 13,000 Angstroms thick. BARC layer is not used.

단계 3 은 TCP 9400 고밀 저압 플라즈마 반응기에서 이행된다. 전극 온도는 70℃ 이다. 챔버 온도는 60℃ 이다. 단계 3 에서 사용된 가스는 CF4, HBr, Cl2, He, 및 70% He - 30% O2혼합물이다. 단계 3 의 BE, ME, 및 OE 작업에 대한 공정 파라메타는 아래에 기재된 바와 같다.Step 3 is carried out in a TCP 9400 high density low pressure plasma reactor. The electrode temperature is 70 ° C. Chamber temperature is 60 ° C. The gas used in step 3 is a mixture of CF 4 , HBr, Cl 2 , He, and 70% He-30% O 2 . Process parameters for the BE, ME, and OE operations of step 3 are as described below.

파라메타Parameter BEBE MEME OEOE 압력 (mtorr)Pressure (mtorr) 1010 2020 8080 RF 전력 상부 (w)RF power top (w) 250250 240240 250250 RF 전력 하부 (w)RF power bottom (w) 150150 120120 180180 CF4CF4 100100 Cl2Cl2 100100 HBrHBr 200200 200200 HeHe 100100 He-02He-02 1414 77 완료complete 시간time 엔드 포인트까지To the end point %% 시간time 30 초30 sec 90 - 150초(최대)90-150 seconds (max) 120%120%

다시, 변형 실시예에서 유기 BARC 층이 사용되었다면, BE 단계는 필요한 경우 폴리실리콘 상부의 BARC 층 및 본래의 게이트 산화물을 에칭하도록 길어질 수 있다.Again, if an organic BARC layer was used in a variant embodiment, the BE step can be lengthened to etch the BARC layer and the original gate oxide on top of polysilicon if necessary.

예 3Example 3

예 3 의 공정은, 도 3 의 공정과 같이, 다이의 조밀하게 이격된 특징에 대해 제로 CD 미소 적재 및 제로 에치 바이어스를 달성한다. 0.01 내지 0.02 마이크로미터 정도의 최소 에치 바이어스는 다이의 분리된 특징에 대해 달성된다.The process of Example 3, like the process of FIG. 3, achieves zero CD micro loading and zero etch bias for the densely spaced features of the die. Minimum etch biases on the order of 0.01 to 0.02 micrometers are achieved for the discrete characteristics of the die.

단계 1 은 에칭될 제1 필름층 (21) 을 지니는 웨이퍼를 제공한다. 이러한 예에서, 제 1 필름층 (21) 은 1,500 내지 2,000 옹스트롬 두께인 제 1 질화물 필름층 및 대략 50 내지 250 옹스트롬 두께인 하부의 제 2 열 산화물 필름층이다.Step 1 provides a wafer with a first film layer 21 to be etched. In this example, the first film layer 21 is a first nitride film layer 1,500 to 2,000 angstroms thick and an underlying second thermal oxide film layer about 50 to 250 angstroms thick.

단계 2 는 제 1 필름층 (21) 상에 노출되고 현상된 포토레지스트의 패터닝된 마스크를 형성한다. 초점 및 노출 매트릭스 실험을 통해 최적화한 후에, 종래의 Ⅰ- 라인 자외선 광 리도그래피 공정은 단계 2 에 사용된다. 웨이퍼의 동일 다이각각에 대한 마스크 부분은 조밀하게 이격된 특징 및 분리된 특징을 지닌다. 그러한 마스크는 분리된 특징 ( 예컨대, 레지스트 라인 (24) ) 및 분리된 특징의 폭의 대략 8% 또는 대략 0.01 내지 0.02 마이크로미터인 조밀하게 이격된 특징 ( 예컨대, 레지스트 라인 (23) ) 의 폭간의 차이를 나타낸다. 이러한 예에서, 레지스트 재료는 10,000 내지 13,000 옹스트롬 두께인 OLIN OiR8997 - 9R 층이다.Step 2 forms a patterned mask of exposed and developed photoresist on the first film layer 21. After optimization through focus and exposure matrix experiments, a conventional I-line ultraviolet light lithography process is used in step 2. Mask portions for each of the same dies of the wafer have densely spaced and separated features. Such a mask is formed between the separated feature (eg, resist line 24) and the width of the densely spaced feature (eg, resist line 23) that is approximately 8% of the width of the separated feature or approximately 0.01 to 0.02 micrometers. Indicates a difference. In this example, the resist material is an OLIN OiR8997-9R layer that is 10,000 to 13,000 angstroms thick.

유기 BARC 층은 마스크 (22) 및 질화물 필름층 (21) 사이에 존재한다. 유기 BARC 층은 SHIPLEY AR2 - 601 유기 BARC 재료로 형성되며 대략 660 옹스트롬 두께이다. 이러한 유기 BARC 재료는 미국 소재의 Shipley Company 로 부터 입수 가능하다.An organic BARC layer is present between the mask 22 and the nitride film layer 21. The organic BARC layer is formed of SHIPLEY AR2-601 organic BARC material and is approximately 660 angstroms thick. Such organic BARC materials are available from Shipley Company, USA.

단계 3A 는 TCP 9400 고밀 저압 플라즈마 반응기에서 사용된다. 전극 온도는 70℃ 이다. 챔버 온도는 60℃ 이다. 단계 3A 에서 사용된 가스는 CF4, HBr, He, 및 설퍼 헥사플루오라이드 (SF6) 이다.Step 3A is used in a TCP 9400 high density low pressure plasma reactor. The electrode temperature is 70 ° C. Chamber temperature is 60 ° C. The gases used in step 3A are CF 4 , HBr, He, and sulfur hexafluoride (SF 6 ).

예 3 의 단계 3A 는 BE, ME, 및 OE 작업을 지닌다. 그러나, 유기 BARC 층 때문에, 유기 BARC 층을 에칭하는 부가적인 작업은 BE 작업이전에 이행된다. 공정 파라메타는 아래에 기재되어 있다.Step 3A of Example 3 has BE, ME, and OE operations. However, because of the organic BARC layer, additional work of etching the organic BARC layer is performed prior to the BE operation. Process parameters are described below.

파라메타Parameter BARC에치BARC etch BEBE MEME OEOE 압력 (mtorr)Pressure (mtorr) 1010 2020 4040 2020 RF 전력 상부 (w)RF power top (w) 250250 600600 550550 500500 RF 전력 하부 (w)RF power bottom (w) 150150 5050 6060 CF4CF4 100100 100100 8080 HBrHBr 5050 2020 5050 SF6SF6 5050 HeHe 100100 완료complete 시간time 시간time 엔드 포인트까지To the end point 시간time 시간time 5-25초5-25 seconds 30 초30 sec 80 - 120초80-120 seconds 50 - 90초50-90 seconds

본원에 기재된 이들 예 및 기타 교습을 비추어 볼때, 당업자는 그들 용도에 적합한 공정 파라메타를 선택할 수 있다. 예를들면, 종래에 공지된 바와 같이, 상이한 에천트는 제 1 필름층 (21) 으로서 사용된 상이한 형태의 필름 ( 또는 적층된 필름 ) 에 필요하다. 가스 유속의 조절은 중합체 형성의 양 및 에치 비율을 변경할 수 있다. 예 1 - 3 에서 에칭된 것과 같은 필름에 대해서 조차, 처리 조절은 레지스트의 상이한 필름 두께, 상이한 형태 및 두께, BARC 층의 존재, 형태, 및 두께, 상이한 에치 비율, 및 상이한 에치 반응기를 고려하는 데 필요할 수 있다.In view of these examples and other teachings described herein, one skilled in the art can select process parameters suitable for their use. For example, as is known in the art, different etchants are required for different types of film (or laminated film) used as the first film layer 21. Control of the gas flow rate can alter the amount and etch rate of polymer formation. Even for films such as those etched in Examples 1-3, process control takes into account different film thicknesses, different shapes and thicknesses of resists, presence, shape, and thickness of BARC layers, different etch ratios, and different etch reactors. It may be necessary.

본 발명은 상기에 제공된 예들에 국한되지는 않는다.The invention is not limited to the examples provided above.

본 발명의 방법은, 제조 수율 및 회로 성능모두에 악영향을 주는 CD 미소 적재를 방지 또는 제거할 수 있으며 필름층의 에칭시 마스크의 부식 결과로 필름층내에 에칭된 필름층의 사이즈가 해당 마스크 특징의 사이즈와 상이해지는 에치 바이어스를 제거 또는 최소화 할 수 있다.The method of the present invention can prevent or eliminate CD microloading, which adversely affects both manufacturing yield and circuit performance, and the size of the film layer etched in the film layer as a result of corrosion of the mask upon etching the film layer is dependent on the mask characteristics. Etch bias that differs in size can be eliminated or minimized.

Claims (5)

웨이퍼상의 복수개의 동일 집적회로 다이를 에칭하는 방법에 있어서,A method of etching a plurality of identical integrated circuit dies on a wafer, 에칭될 제 1 필름층을 지니는 웨이퍼를 제공하는 단계 ;Providing a wafer having a first film layer to be etched; 상기 제 1 필름층상에 레지스트 재료층을 도포하는 단계 ;Applying a layer of resist material on the first film layer; 상기 레지스트 재료를 노출 및 현상시켜, 웨이퍼의 각각의 집적회로 다이를 동일하게 마스킹하는 레지스트 특징의 반복 패턴을 지니는 패터닝된 레지스트 마스크를 상기 제 1 필름층상에 형성하는 단계로서, 상기 각각의 집적회로 다이를 마스킹하는 레지스트 특징은 일정 폭을 지니는 제 1 레지스트 특징 및 일정 폭을 지니는 제 2 레지스트 특징을 포함하며, 상기 제 1 레지스트 특징의 폭은 상기 제 2 레지스트 특징의 폭보다 좁은 것을 특징으로 하는 상기 패터닝된 레지스트 마스크 형성 단계 ;Exposing and developing the resist material to form a patterned resist mask on the first film layer having a repeating pattern of resist features that equally mask each integrated circuit die of the wafer, wherein the respective integrated circuit die is formed. And wherein the resist feature masking comprises a first resist feature having a predetermined width and a second resist feature having a predetermined width, wherein the width of the first resist feature is narrower than the width of the second resist feature. A resist mask forming step; 상기 제 1 필름층을 플라즈마 에칭하여 상기 제 1 레지스트 특징에 의해 마스킹된 제 1 의 에칭된 필름층 특징 및 상기 제 2 레지스트 특징에 의해 마스킹된 제 2 의 에칭된 필름층 특징을 형성하는 단계로서, 상기 제 1 및 제 2 의 에칭된 필름층 특징 각각은 일정 폭을 지니는 것을 특징으로 하는 상기 제 1 및 제 2 의 에칭된 필름층 특징 형성 단계 ;Plasma etching the first film layer to form a first etched film layer feature masked by the first resist feature and a second etched film layer feature masked by the second resist feature; Forming the first and second etched film layer features, wherein each of the first and second etched film layer features has a predetermined width; 상기 레지스트 마스크 및 상기 제 1 필름층상에 플라즈마 중합체를 형성하여, 상기 제 1 레지스트 특징의 폭이 상기 제 2 레지스트 특징의 폭에 근접하기 보다는 상기 제 1 필름층 특징의 폭이 상기 제 2 필름층 특징의 폭에 더 근접하는 단계Plasma polymer is formed on the resist mask and the first film layer such that the width of the first film layer features the second film layer feature rather than the width of the first resist feature approaches the width of the second resist feature. Step closer to the width of 를 포함하는 상기 방법.The method comprising a. 제 1 항에 있어서, 상기 제 1 의 에칭된 필름층 특징의 폭이 상기 제 2 의 에칭된 필름층 특징의 폭과 동일한 상기 방법.The method of claim 1 wherein the width of the first etched film layer feature is the same as the width of the second etched film layer feature. 제 1 항에 있어서, 상기 레지스트 마스크는 각각의 집적회로 다이에 대해 복수개의 제 1 레지스트 특징을 포함하며, 상기 제 1 레지스트 특징이 대략 0.5 마이크로미터 미만의 간격으로 서로 이격되어 있는 상기 방법.2. The method of claim 1, wherein the resist mask comprises a plurality of first resist features for each integrated circuit die, wherein the first resist features are spaced apart from each other at intervals of less than approximately 0.5 micrometers. 웨이퍼상의 복수개의 동일 집적회로 다이를 에칭하는 방법에 있어서,A method of etching a plurality of identical integrated circuit dies on a wafer, 에칭될 제 1 필름층을 지니는 웨이퍼를 제공하는 단계 ;Providing a wafer having a first film layer to be etched; 상기 제 1 필름층상에 레지스트 재료층을 도포하는 단계 ;Applying a layer of resist material on the first film layer; 상기 레지스트 재료를 노출 및 현상하여, 웨이퍼의 각각의 집적회로 다이를 마스킹하는 레지스트 특징의 반복 패턴을 지니는 패터닝된 레지스트 마스크를 상기 제 1 필름층상에 형성하는 단계로서, 상기 각각의 집적회로 다이를 마스킹하는 레지스트 특징은 일정 폭을 지니는 제 1 레지스트 특징 및 일정 폭을 지니는 제 2 레지스트 특징을 포함하며, 상기 제 1 및 제 2 레지스트 특징은 측벽을 지니고, 상기 제 1 레지스트 특징의 폭은 상기 제 2 레지스트 특징의 폭보다 좁은 것을 특징으로 하는 상기 패터닝된 레지스트 마스크 형성 단계 ;Exposing and developing the resist material to form a patterned resist mask on the first film layer having a repeating pattern of resist characteristics that masks each integrated circuit die of the wafer, thereby masking each integrated circuit die. Wherein the resist feature comprises a first resist feature having a predetermined width and a second resist feature having a predetermined width, wherein the first and second resist features have sidewalls, and the width of the first resist feature is the second resist feature. Forming the patterned resist mask, wherein the patterned resist mask is narrower than the width of the feature; 상기 제 1 필름층을 플라즈마 에칭하여 상기 제 1 레지스트 특징에 의해 마스킹되는 제 1 의 에칭된 필름층 특징 및 상기 제 2 레지스트 특징에 의해 마스킹되는 제 2 의 에칭된 필름층을 형성하는 단계로서, 상기 제 1 및 제 2 의 에칭된 필름층 특징은 측벽을 지니는 것을 특징으로 하는 상기 제 1 및 제2 의 에칭된 필름층 특징 형성 단계 ;Plasma etching the first film layer to form a first etched film layer feature masked by the first resist feature and a second etched film layer masked by the second resist feature, wherein Forming said first and second etched film layer features having sidewalls; 상기 제 1 및 제 2 레지스트 특징의 측벽상에 및 상기 제 1 및 제 2 의 에칭된 필름층 특징의 측벽상에 선택된 양의 플라즈마 중합체를 형성하는 단계로서, 상기 제 1 필름층 측벽 및 상기 제 1 레지스트 특징 측벽상에 형성된 선택된 양의 플라즈마 중합체가 상기 제 2 필름층 측벽 및 제 2 레지스트 특징 측벽상에 형성된 선택된 양의 플라즈마 중합체 보다 많은 것을 특징으로 하는 상기 선택된 양의 플라즈마 형성 단계Forming a selected amount of plasma polymer on sidewalls of the first and second resist features and on sidewalls of the first and second etched film layer features, wherein the first film layer sidewalls and the first film are formed. Wherein said selected amount of plasma polymer formed on said resist feature sidewall is greater than said selected amount of plasma polymer formed on said second film layer sidewall and said second resist feature sidewall. 를 포함하는 상기 방법.The method comprising a. 제 4 항에 있어서, 상기 제 1 및 제 2 의 에칭된 필름층 특징은 일정 폭을 지니며, 상기 제 1 레지스트 특징의 폭이 상기 제 2 레지스트 특징의 폭에 근접하기 보다는 상기 제 1 필름층 특징의 폭이 상기 제 2 필름층 특징의 폭에 더 근접하는 상기 방법.5. The method of claim 4, wherein the first and second etched film layer features have a width, wherein the width of the first resist feature is not closer to the width of the second resist feature. Said width being closer to the width of said second film layer feature.
KR1019980037072A 1997-12-11 1998-09-09 Critical dimension control in integrated circuit fabrication using plasma etching and plasma polymerization KR100281866B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US98893997A 1997-12-11 1997-12-11
US8/988,939 1997-12-11

Publications (2)

Publication Number Publication Date
KR19990062496A KR19990062496A (en) 1999-07-26
KR100281866B1 true KR100281866B1 (en) 2001-06-01

Family

ID=25534613

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980037072A KR100281866B1 (en) 1997-12-11 1998-09-09 Critical dimension control in integrated circuit fabrication using plasma etching and plasma polymerization

Country Status (2)

Country Link
KR (1) KR100281866B1 (en)
DE (1) DE19846503A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6780727B2 (en) * 2002-04-25 2004-08-24 Chartered Semiconductor Manufacturing Limited Method for forming a MIM (metal-insulator-metal) capacitor
DE10233205B4 (en) 2002-07-17 2006-06-08 Infineon Technologies Ag Method for correcting local loading effects when etching photomasks

Also Published As

Publication number Publication date
KR19990062496A (en) 1999-07-26
DE19846503A1 (en) 1999-09-16

Similar Documents

Publication Publication Date Title
US11355352B2 (en) Plasma etching method and plasma etching apparatus
US5968844A (en) Method for etching nitride features in integrated circuit construction
US6926843B2 (en) Etching of hard masks
US6653058B2 (en) Methods for reducing profile variation in photoresist trimming
US4412119A (en) Method for dry-etching
US6716763B2 (en) Method of controlling striations and CD loss in contact oxide etch
US6884734B2 (en) Vapor phase etch trim structure with top etch blocking layer
KR101048009B1 (en) Substrate Processing Method
US6187688B1 (en) Pattern formation method
US20060163203A1 (en) Methods and apparatus for etching metal layers on substrates
US7018780B2 (en) Methods for controlling and reducing profile variation in photoresist trimming
GB2332777A (en) Forming electrodes for semiconductor devices
KR100595090B1 (en) Improved techniques for etching with a photoresist mask
US5342481A (en) Dry etching method
JP2000091318A (en) Manufacture of semiconductor device
US6620575B2 (en) Construction of built-up structures on the surface of patterned masking used for polysilicon etch
US20030003374A1 (en) Etch process for photolithographic reticle manufacturing with improved etch bias
US20050118531A1 (en) Method for controlling critical dimension by utilizing resist sidewall protection
KR100281866B1 (en) Critical dimension control in integrated circuit fabrication using plasma etching and plasma polymerization
KR20050065745A (en) Method for fabricating patterns of shallow trench isolation
US8709951B2 (en) Implementing state-of-the-art gate transistor, sidewall profile/angle control by tuning gate etch process recipe parameters
US20040018742A1 (en) Forming bilayer resist patterns
JPH0766176A (en) Dry etching method
JP2003059907A (en) Method of etching anti-reflection film
JP2006261216A (en) Method of forming semiconductor apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee