KR100249726B1 - Phase shift photo mask - Google Patents

Phase shift photo mask Download PDF

Info

Publication number
KR100249726B1
KR100249726B1 KR1019990024454A KR19990024454A KR100249726B1 KR 100249726 B1 KR100249726 B1 KR 100249726B1 KR 1019990024454 A KR1019990024454 A KR 1019990024454A KR 19990024454 A KR19990024454 A KR 19990024454A KR 100249726 B1 KR100249726 B1 KR 100249726B1
Authority
KR
South Korea
Prior art keywords
etching
phase shift
pattern
resist
layer
Prior art date
Application number
KR1019990024454A
Other languages
Korean (ko)
Inventor
미야시타히로유키
모리히로시
Original Assignee
기타지마 요시토시
다이니폰 인사츠 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP8707392A external-priority patent/JP3241793B2/en
Priority claimed from KR1019920021133A external-priority patent/KR100239960B1/en
Application filed by 기타지마 요시토시, 다이니폰 인사츠 가부시키가이샤 filed Critical 기타지마 요시토시
Application granted granted Critical
Publication of KR100249726B1 publication Critical patent/KR100249726B1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Abstract

본 발명은 에칭 스톱퍼층으로서 에칭 선택성이 우수하고 확실하게 자동적으로 에칭을 정지시킬 수 있는 재료로 된 막을 사용한 위상 쉬프트 포토마스크로서, 적어도 기판과 그 표면에 차광 패턴을 통하여 또는 직접 설치된 산화실리콘을 주성분으로 하는 재료로 된 위상 쉬프터 패턴으로 된 위상 쉬프트 포토마스크에 있어서, 기판표면에 MgF2-2xOy, CaF2-2xOy, LiF2-2xOy, BaF2-2xOy, La2F6-2xOy, 또는 Ce2F6-2xOy로 된 에칭 스톱퍼층을 설치함으로써 위상 쉬프터 패턴을 에칭으로 형성할 때 이 층의 에칭 스톱퍼 작용에 의해 위상 쉬프터용 투명막을 확실히 정확하게 에칭할 수 있다.The present invention is a phase shift photomask using a film made of a material which is excellent in etching selectivity and capable of automatically stopping etching as an etching stopper layer, wherein at least the silicon oxide provided on the substrate and its surface through a light shielding pattern or directly In a phase shift photomask having a phase shifter pattern made of a material, MgF 2-2x O y , CaF 2-2x O y , LiF 2-2x O y , BaF 2-2x O y , La 2 on the substrate surface When the phase shifter pattern is formed by etching by providing an etching stopper layer of F 6-2x O y or Ce 2 F 6-2x O y , the transparent film for phase shifter can be etched accurately and accurately by the etching stopper action of this layer. Can be.

Description

위상 쉬프트 포토마스크{Phase shift photo mask}Phase shift photo mask

본 발명은 LSI나 초LSI 등 고밀도 집적회로의 제조에 사용되는 포토마스크에 관한 것으로, 특히 미세한 패턴을 고정밀도로 형성할 때 위상(位相) 쉬프트층을 갖는 위상 쉬프트 포토마스크에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to photomasks used in the manufacture of high density integrated circuits such as LSIs and ultra-LSIs, and more particularly to a phase shift photomask having a phase shift layer when forming a fine pattern with high precision.

IC나 LSI, 초LSI 등의 반도체 집적회로는 Si웨이퍼 등의 피가공 기판상에 레지스트를 도포하고 스텝퍼(stepper) 등으로 원하는 패턴을 노광한 후, 현상과 에칭을 행하는 이른바 리소그래피공정을 반복함으로써 제조되고 있다.Semiconductor integrated circuits such as ICs, LSIs and ultra-LSIs are manufactured by repeating a so-called lithography process in which a resist is applied on a substrate to be processed, such as a Si wafer, a desired pattern is exposed with a stepper, and then developed and etched. It is becoming.

이와 같은 리소그래피공정에 사용되는 레티클(reticle)이라고 불리우는 포토마스크는 반도체집적회로의 고성능화, 고집적화에 따라 점점 더 고정밀도가 요구되는 경향에 있으며, 예를 들어서 대표적인 LSI인 DRAM을 예로 들면 1M비트 DRAM용의 5배 레티클, 즉 노광하는 패턴의 5배의 크기를 갖는 레티클에 있어서의 치수의 어긋나는 차이는 평균치 ±3σ(σ는 표준차)를 취하였을 경우에 있어서도 0.15㎛의 정밀도가 요구되고, 마찬가지로 4M비트 DRAM용의 5배 레티클은 0.1∼0.15㎛의 치수정밀도가 요구되고 있다.Photomasks, which are called reticles used in such lithography processes, tend to be increasingly demanded for high performance and high integration of semiconductor integrated circuits. The deviation difference in dimensions in a 5 times reticle, i.e., a reticle having a size 5 times larger than the pattern to be exposed, requires an accuracy of 0.15 占 퐉 even when the average value ± 3σ (σ is the standard difference). The 5-fold reticle for bit DRAMs requires a dimensional accuracy of 0.1 to 0.15 mu m.

더구나 이들 레티클을 사용하여 형성되는 디바이스 패턴의 선폭은 1M비트 DRAM에서 1.2㎛, 4M비트 DRAM에서는 0.8㎛, 16M비트 DRAM에서는 0.6㎛로 점점 더 미세화가 요구되고 있으며, 이와 같은 요구에 부응하기 위하여 여러가지 노광방법이 연구되고 있다.In addition, the line width of the device pattern formed using these reticles is increasingly required to be 1.2 μm in 1M bit DRAM, 0.8 μm in 4M bit DRAM and 0.6 μm in 16M bit DRAM. Exposure methods have been studied.

그런데 예를 들면, 64M비트 DRAM 클라스의 차세대 디바이스 패턴으로 되면, 지금까지의 레티클을 사용한 스텝퍼 노광방식으로는 레지스트 패턴의 해상(解像)한계가 된다.By the way, for example, when it becomes the next-generation device pattern of 64Mbit DRAM class, the resolution limit of a resist pattern will become the resolution of the stepper exposure system using the reticle so far.

따라서 예를 들면 일본국 특허공개공보 소58-173744호, 특허공고공보 소62-59296호 등에 나타나 있는 바와 같은 위상 쉬프트 마스크라는 새로운 방식의 레티클이 제안되고 있다. 이 위상 쉬프트 레티클을 사용한 위상 쉬프트 리소그래피는 레티클을 투과하는 광의 위상을 조작함으로써 투영상의 분해능력 및 콘트라스트(contrast)를 향상시키는 기술이다.Therefore, for example, a reticle of a new method called a phase shift mask as shown in JP-A-58-173744 and JP-A-62-59296 is proposed. Phase shift lithography using this phase shift reticle is a technique for improving the resolution and contrast of a projected image by manipulating the phase of light passing through the reticle.

위상 쉬프트 리소그래피를 도면에 따라 간단하게 설명한다. 도 3은 위상 쉬프트방법의 원리를 나타낸 도, 도 4는 종래방법을 나타낸 도면으로서 도 3(a) 및 도 4(a)는 레티클의 단면도, 도 3(b) 및 도 4(b)는 레티클상의 광의 진폭, 도 3(c) 및 도 4(c)는 웨이퍼상의 광의 진폭, 도 3(d) 및 도 4(d)는 웨이퍼상의 광강도를 각각 나타내고, 도면부호 1은 기판, 2는 차광막, 3은 위상쉬프터, 4는 입사광을 각각 나타낸다.Phase shift lithography is briefly described with reference to the drawings. 3 is a view showing the principle of the phase shift method, Figure 4 shows a conventional method, Figures 3 (a) and 4 (a) is a cross-sectional view of the reticle, Figures 3 (b) and 4 (b) are reticles 3 (c) and 4 (c) show the amplitude of the light on the wafer, and Figs. 3 (d) and 4 (d) show the light intensity on the wafer, respectively, reference numeral 1 denotes the substrate and 2 the light shielding film. 3 denotes a phase shifter and 4 denotes incident light.

종래방법에 있어서는 도 4(a)에 나타낸 바와 같이 석영유리 등으로 된 기판(1)에 크롬 등으로 된 차광막(2)이 형성되어 소정의 패턴의 광투과부가 형성되어 있을 뿐이지만, 위상 쉬프트 리소그래피에서는 도 3(a)에 나타낸 바와 같이 레티클상의 인접하는 광투과부 한쪽에 위상을 반전(위상차 180°)시키기 위한 투과막으로 된 위상 쉬프터(3)가 설치되어 있다. 따라서 종래방법에 있어서는 레티클상의 광의 진폭은 도 4(b)에 나타낸 바와 같이 같은 위상이 되고, 웨이퍼상의 광의 진폭도 도 4(c)에 나타낸 바와 같이 같은 위상이 되므로 그 결과 도 4(d)와 같이 웨이퍼상의 패턴을 분리할 수 없는 데 반해, 위상 쉬프트 리소그래피에 있어서는 위상 쉬프터를 투과한 광은 도 3(b)에 나타낸 바와 같이 인접 패턴의 사이에서 서로 반대위상으로 이루어지기 때문에 패턴의 경계부에서 광강도가 0으로 되어 도 3(d)에 나타낸 바와 같이 인접하는 패턴을 명료하게 분리할 수 있다. 이와 같이 위상 쉬프트 리소그래피에 있어서는 종래에는 분리할 수 없었던 패턴도 분리 가능하게 되어 해상도를 향상시킬 수 있는 것이다.In the conventional method, as shown in Fig. 4A, a light shielding film 2 made of chromium or the like is formed on a substrate 1 made of quartz glass or the like to form a light transmitting portion having a predetermined pattern. In Fig. 3 (a), a phase shifter 3 made of a transmissive film for inverting the phase (phase difference 180 °) is provided on one of the adjacent light transmitting portions on the reticle. Therefore, in the conventional method, the amplitude of the light on the reticle is in the same phase as shown in Fig. 4 (b), and the amplitude of the light on the wafer is in the same phase as shown in Fig. 4 (c). While the patterns on the wafer cannot be separated as described above, in the phase shift lithography, the light transmitted through the phase shifter is formed in opposite phases between adjacent patterns as shown in FIG. As the intensity becomes 0, adjacent patterns can be clearly separated as shown in Fig. 3 (d). In this manner, in phase shift lithography, a pattern that has not been conventionally separated can be separated, thereby improving the resolution.

다음으로, 위상 쉬프트 레티클의 제조공정의 일예를 도면을 참조하면서 설명한다.Next, an example of the manufacturing process of a phase shift reticle is demonstrated, referring drawings.

도 5는 위상 쉬프트 레티클의 제조공정을 나타낸 단면도로서, 도면중 도면부호 11은 석영기판, 12는 크롬막, 13은 레지스트층, 14는 전리방사선, 15는 레지스트 패턴, 16은 에칭가스 플라즈마, 17은 크롬패턴, 18은 산소 플라즈마, 19는 투명막, 20은 레지스트층, 21은 전리방사선, 22는 레지스트 패턴, 23은 에칭가스 플라즈마, 24는 위상 쉬프트 패턴, 25는 산소 플라즈마를 각각 나타낸다.5 is a cross-sectional view showing the manufacturing process of the phase shift reticle, wherein reference numeral 11 is a quartz substrate, 12 is a chromium film, 13 is a resist layer, 14 is ionizing radiation, 15 is a resist pattern, 16 is an etching gas plasma, 17 Silver chromium pattern, 18 is oxygen plasma, 19 is transparent film, 20 is resist layer, 21 is ionizing radiation, 22 is resist pattern, 23 is etching gas plasma, 24 is phase shift pattern, and 25 is oxygen plasma.

우선, 도 5(a)에 나타낸 바와 같이, 광학연마된 석영기판(11)에 크롬막(12)을 형성하고, 이어 클로로메틸화 폴리스틸렌 등의 전리방사선 레지스트를 스핀코팅 등의 통상의 방법으로 균일하게 도포한 후 가열건조 처리하여 두께 0.1∼2.0㎛ 정도의 레지스트층(13)을 형성한다. 여기서 가열건조처리는 사용하는 레지스트의 종류에 따라 다르기도 하지만, 통상 ±80∼150℃에서 20∼60분 정도 행한다.First, as shown in Fig. 5 (a), a chromium film 12 is formed on the optically polished quartz substrate 11, and then ionizing radiation resists such as chloromethylated polystyrene are uniformly prepared by a conventional method such as spin coating. After coating, heat-drying is performed to form a resist layer 13 having a thickness of about 0.1 to 2.0 mu m. The heat drying treatment here is different depending on the type of resist to be used, but is usually performed at ± 80 to 150 ° C for about 20 to 60 minutes.

다음에 도 5(b)에 나타낸 바와 같이, 레지스트층(13)에 통상의 방법에 따라 전자선 묘화장치 등의 노광장치에 의해 전리방사선(14)으로 패턴을 묘화하고, 에틸셀로 솔브나 에스테르 등의 유기용제를 주성분으로 하는 현상액으로 현상한 후, 알코올로 린스하여 도 5(c)에 나타낸 바와 같은 레지스트 패턴(15)을 형성한다.Next, as shown in Fig. 5B, the resist layer 13 is patterned with ionizing radiation 14 by an exposure apparatus such as an electron beam drawing apparatus in accordance with a conventional method. After developing with a developer mainly composed of an organic solvent of, the substrate is rinsed with alcohol to form a resist pattern 15 as shown in Fig. 5C.

그 후 필요에 따라서 가열처리 및 디스컴(descum)처리를 하여 레지스트 패턴(15)의 에지부분 등에 남아있는 레지스트 조각이나 털형상의 불필요한 레지스트를 제거한 후, 도 5(d)에 나타낸 바와 같이 레지스트 패턴(15)의 개구부에서 노출하는 피가공부분 즉, 크롬층(12)을 에칭가스 플라즈마(16)로 드라이에칭하여 크롬 패턴(17)을 형성한다. 또한 이 크롬 패턴(17)의 형성은 에칭가스 플라즈마(16)에 의한 드라이에칭 대신에 습식식각(wet etching)으로 해도 되는 것이 당업자간에 알려져 있다.Thereafter, if necessary, heat treatment and descum treatment are performed to remove the pieces of resist or hair-like resist remaining on the edge portion of the resist pattern 15, and then, as shown in Fig. 5 (d). A chrome pattern 17 is formed by dry etching the portion to be exposed through the opening of 15, that is, the chromium layer 12 with the etching gas plasma 16. It is also known to those skilled in the art that the formation of the chromium pattern 17 may be wet etching instead of dry etching by the etching gas plasma 16.

이와 같이 하여 에칭한 후, 도 5(e)에 나타낸 바와 같이, 레지스트 패턴(15) 즉, 잔존하는 레지스트를 산소 플라즈마(18)로 회화(灰化)제거하여 도 5(f)에 나타낸 바와 같은 포토마스크를 완성시킨다. 또한 이 처리를 산소 플라즈마(18)에 의한 회화처리 대신에 용제박리에 의해 행하는 것도 가능하다.After etching in this manner, as shown in Fig. 5E, the resist pattern 15, i.e., the remaining resist is incinerated and removed by oxygen plasma 18, as shown in Fig. 5F. Complete the photomask. It is also possible to perform this treatment by solvent peeling instead of the ashing treatment by the oxygen plasma 18.

이어서 이 포토마스크를 검사하여 필요에 따라서는 패턴을 수정하여 세정한 후, 도 5(g)에 나타낸 바와 같이, 크롬 패턴(17)상에 SiO2등으로 된 투명막(19)을 형성한다. 다음에 도 5(h)에 나타낸 바와 같이, 투명막(19)상에 상기와 동일한 방식으로 클로로메틸화 폴리스틸렌 등의 전리방사선 레지스트층(20)을 형성하고, 도 5(i)에 나타낸 바와 같이, 레지스트층(20)에 통상의 방법에 따라 얼라이먼트(alignment)를 하고, 전자선 노광장치 등의 전리방사선(21)으로 소정의 패턴을 묘화하고, 현상, 린스하여 도 5(j)에 나타낸 바와 같이, 레지스트 패턴(22)을 형성한다.Subsequently, the photomask is inspected and, if necessary, the pattern is corrected and washed, and as shown in FIG. 5 (g), a transparent film 19 made of SiO 2 or the like is formed on the chromium pattern 17. Next, as shown in Fig. 5 (h), an ionizing radiation resist layer 20 such as chloromethylated polystyrene is formed on the transparent film 19 in the same manner as above, and as shown in Fig. 5 (i), The resist layer 20 is aligned in accordance with a conventional method, and a predetermined pattern is drawn, developed, and rinsed with ionizing radiation 21 such as an electron beam exposure apparatus, as shown in Fig. 5 (j). The resist pattern 22 is formed.

다음에 필요에 따라서 가열처리 및 디스컴처리를 한 후, 도 5(k)에 나타낸 바와 같이, 레지스트 패턴(22)의 개구부에서 노출하는 투명막(19) 부분을 에칭가스 플라즈마(23)로 드라이에칭하여 위상 쉬프터 패턴(24)을 형성한다.Next, after the heat treatment and the discompression process are necessary, as shown in FIG. 5 (k), the portion of the transparent film 19 exposed through the opening of the resist pattern 22 is dried by the etching gas plasma 23. By etching, the phase shifter pattern 24 is formed.

또한, 이 위상 쉬프터 패턴(24)의 형성은 에칭가스 플라즈마(23)에 의한 드라이에칭 대신에 습식식각으로 해도 되는 것이다.The phase shifter pattern 24 may be formed by wet etching instead of dry etching by the etching gas plasma 23.

다음에 남아있는 레지스트를 도 5(l)에 나타낸 바와 같이, 산소 플라즈마(25)로 회화제거한다. 이상의 공정에 의해 도 5(m)에 나타낸 바와 같은 위상 쉬프터(24)를 갖는 위상 쉬프트 마스크가 완성된다.The remaining resist is then incinerated with an oxygen plasma 25 as shown in Fig. 5 (l). By the above process, the phase shift mask which has the phase shifter 24 as shown to FIG. 5 (m) is completed.

그런데, 상술한 바와 같은 종래의 위상 쉬프트 레티클의 제조방법에 있어서, 위상 쉬프터를 형성하는 투명막(19)의 깊이 방향의 에칭제어는 정확하게 하지 않으면 안된다. 특히 기판(11)과 투명막(19)이 같은 SiO2계의 재료로 이루어지므로 투명막(19)의 에칭이 완료된 후에도 에칭을 계속하면 기판(11)도 에칭되게 되어 위상 쉬프터의 위상 쉬프트량이 180°보다 커져서 정확한 패턴의 전사(轉寫)가 어려워지게 된다.By the way, in the conventional method of manufacturing a phase shift reticle as described above, etching control in the depth direction of the transparent film 19 forming the phase shifter must be precise. In particular, since the substrate 11 and the transparent film 19 are made of the same SiO 2 material, if the etching is continued after the etching of the transparent film 19 is completed, the substrate 11 is also etched so that the phase shift amount of the phase shifter is 180. It becomes larger than °, making it difficult to transfer the correct pattern.

그래서 본 출원인은 위상 쉬프터를 형성하는 투명막과 기판 사이에 에칭 스톱퍼층을 설치하고, 이 층에 의하여 에칭을 자동적으로 정지하는 것을 일본국 특허출원 평2-29801호, 특허출원 평2-181795호로서 제안했다. 이들 출원에서 제안한 에칭 스톱퍼층용 재료는 탄탈, 몰리브덴, 텅스텐, 질화실리콘, SnO2등이 있다. 이 중에서 현상태에서의 에칭스토퍼로서는 SnO2가 사용되고 있다. SnO2는 투명도전막으로서 널리 알려져 있지만, 자외영역에서는 흡수성이 있어 투과율이 저하된다. i선 투과율 85% 이상을 확보하기 위해서는 막두께를 15nm이하로 할 필요가 있다. 또한 위상 쉬프터층과의 선택비도 10 이하로 불충분하다.Therefore, the present applicant provides an etching stopper layer between the transparent film forming the phase shifter and the substrate, and automatically stops the etching by this layer. Japanese Patent Application Laid-Open Nos. Hei 2-29801 and Hei 2-181795 As suggested. Materials for etching stopper layers proposed in these applications include tantalum, molybdenum, tungsten, silicon nitride, SnO 2, and the like. Among them, as the etching stopper in the present state is used it is SnO 2. SnO 2 is widely known as a transparent conductive film. However, SnO 2 is absorbable in the ultraviolet region and the transmittance is lowered. In order to secure 85% or more of i-ray transmittance, the film thickness needs to be 15 nm or less. In addition, the selectivity with the phase shifter layer is also insufficient to 10 or less.

또한, 이와 같은 재료로 된 에칭 스톱퍼층으로는 충분히 만족할 수 있도록 정확하게 에칭을 정지하는 것은 반드시 용이하다고는 할 수 없다.In addition, it is not necessarily easy to stop the etching accurately so that the etching stopper layer made of such a material is sufficiently satisfied.

본 발명은 이와 같은 상황을 감안하여 이루어진 것으로, 그 목적은 에칭 스톱퍼층으로서 에칭 선택성이 우수하고 확실하게 자동적으로 에칭을 정지할 수 있는 재료로 된 막을 사용한 위상 쉬프트 포토마스크를 제공하는 것이다.This invention is made | formed in view of such a situation, The objective is to provide the phase shift photomask which used the film | membrane of the material which is excellent in etching selectivity and can stop an etching automatically reliably as an etching stopper layer.

도 1은 본 발명에 관한 위상 쉬프트 포토마스크 제조방법의 공정을 나타낸 단면도,1 is a cross-sectional view showing a step of the method of manufacturing a phase shift photomask according to the present invention;

도 2는 본 발명에 관한 다른 위상 쉬프트 포토마스크의 제조공정을 나타낸 단면도,2 is a cross-sectional view showing a manufacturing process of another phase shift photomask according to the present invention;

도 3은 위상 쉬프트 방법의 원리를 나타낸 도,3 shows the principle of the phase shift method;

도 3는 종래 방법을 나타낸 도,3 shows a conventional method;

도 4는 종래의 위상 쉬프트 포토마스크의 제조공정을 나타낸 도.4 is a view showing a manufacturing process of a conventional phase shift photomask.

본 발명은 상기 문제를 감안하여 실용적이고 정밀도가 높은 위상 쉬프트 레티클의 위상 쉬프터를 개발하기 위해 연구한 결과, 스퍼터 가스(sputter gas)로서 불활성가스에 산소를 혼합하여 그 안에서 스퍼터링함으로써 막을 형성한 금속불화산화막이 금속불화물과 거의 동일하게 에칭 선택성이 우수하여 자외영역에서 투명성이 좋고 경도가 높은 것을 발견하여 이에 의거하여 본 발명을 완성한 것이다.In view of the above problems, the present invention has been conducted to develop a phase shifter of a practical and highly accurate phase shift reticle. As a result, a metal fluoride is formed by mixing oxygen with an inert gas as a sputter gas and sputtering therein. The oxide film was found to have almost the same etching selectivity as the metal fluoride, so that the transparency was good and the hardness was high in the ultraviolet region, thereby completing the present invention.

즉, 본 발명의 위상 쉬프트 포토마스크는 적어도 기판과, 그 표면에 차광 패턴을 통하여 또는 직접 설치된 산화실리콘을 주성분으로 하는 재료로 된 위상 쉬프터 패턴으로 된 위상 쉬프트 포토마스크에 있어서, 기판표면에 MgF2-2xOy, CaF2-2xOy, LiF2-2xOy, BaF2-2xOy, La2F6-2xOy, 또는 Ce2F6-2xOy로 된 막을 갖추어서 이루어진 것을 특징으로 한다.That is, the phase shift photomask of the present invention in at least the substrate and its surface the phase shifter pattern is a phase shift photomasks with silicon oxide through the light-shielding pattern, or directly installed from a material containing as a main component to, MgF the surface of the substrate 2 Consisting of a film of -2x O y , CaF 2-2x O y , LiF 2-2x O y , BaF 2-2x O y , La 2 F 6-2x O y , or Ce 2 F 6-2x O y It is characterized by.

본 발명의 위상 쉬프트 포토마스크는 기판과 위상 쉬프터용 보호막의 사이에 에칭 스톱퍼층으로서 MgF2-2xOy, CaF2-2xOy, LiF2-2xOy, BaF2-2xOy, La2F6-2xOy, 또는 Ce2F6-2xOy로 된 막을 설치한 것을 특징으로 하는 것이다. MgF2등의 금속불화물은 단파장에서의 투과율이 좋은 재료로서 알려져 있다. 1mm두께정도의 기판에서도 i선 투과율 93%이상, KrF레이저 광투과율 92% 이상이다. 통상 MgF2를 에칭 스톱퍼층으로서 사용하는 경우, MgF2등의 금속불화물을 타겟으로 하여 Ar 등의 불활성가스를 사용한 스퍼터를 사용한다. 이 때의 기판에 형성되는 막은 금속성분이 많은 막으로 되고 100nm의 막두께에서 i선 투과율 62%정도, KrF레이저 투과율 50%로 저하된다. 이것을 방지하기 위해서 불활성가스에 불소가스를 혼입한 반응성 스퍼터를 하는 것도 생각할 수 있지만, 불소가스는 부식가스이기 때문에 장치부식문제로 인하여 실용은 불가능하였다.The phase shift photomask of the present invention is an etching stopper layer between a substrate and a protective film for phase shifter, MgF 2-2x O y , CaF 2-2x O y , LiF 2-2x O y , BaF 2-2x O y , La 2 F 6-2x O y or Ce 2 F 6-2x O y is provided. Metal fluorides such as MgF 2 are known as materials having good transmittance at short wavelengths. Even with a substrate having a thickness of about 1 mm, i-line transmittance is 93% or more and KrF laser light transmittance is 92% or more. When MgF 2 is normally used as an etching stopper layer, a sputter using an inert gas such as Ar is used with a metal fluoride such as MgF 2 as a target. At this time, the film formed on the substrate becomes a film containing many metal components, and the film thickness is reduced to about 62% of i-line transmittance and 50% of KrF laser transmittance at a thickness of 100 nm. In order to prevent this, it is conceivable to form a reactive sputter in which fluorine gas is mixed with an inert gas. However, since fluorine gas is a corrosive gas, practical use is not possible due to the problem of device corrosion.

본 발명은, 스퍼터 가스로서 불활성가스에 산소를 혼입하여 스퍼터링함으로써 MgF2-2xOy등을 제작하고 MgF2등과 같은 위상 쉬프터와의 선택비, i선 투과율, KrF레이저 광투과율이 얻어지는 실용적인 에칭 스톱퍼층의 제조를 가능하게 하는 것도 특징으로 한다.The present invention provides a practical etching stopper in which MgF 2-2x O y is produced by incorporating and sputtering oxygen into an inert gas as a sputter gas, and selectivity with a phase shifter such as MgF 2 , i-ray transmittance, and KrF laser light transmittance are obtained. It is also characterized by the possibility of producing the layer.

이하에서 위상 쉬프트 포토마스크의 제조방법에 대하여 설명하면서 본 발명의 위상 쉬프트 포토마스크의 실시예에 대하여 설명한다.Hereinafter, an embodiment of the phase shift photomask of the present invention will be described with reference to a manufacturing method of the phase shift photomask.

도 1은 본 발명에 관한 위상 쉬프트층을 갖는 포토마스크(위상 쉬프트 포토마스크)제조방법의 공정을 나타낸 단면도이고, 도면중 도면부호 30은 기판, 31은 MgF2-2xOy, CaF2-2xOy, LiF2-2xOy, BaF2-2xOy, La2F6-2xOy, 또는 Ce2F6-2xOy로 된 에칭 스톱퍼층, 32는 차광층, 33은 레지스트층, 34는 전리방사선, 35는 레지스트 패턴, 36은 에칭가스 플라즈마, 37은 차광 패턴, 38은 산소 플라즈마, 39는 투명막, 40은 레지스트층, 41은 전리방사선, 42는 레지스트 패턴, 43은 반응성이온, 44는 위상 쉬프트 패턴, 45는 산소 플라즈마를 각각 나타낸다.1 is a cross-sectional view showing a step of a method for manufacturing a photomask (phase shift photomask) having a phase shift layer of the present invention, the drawing reference numeral 30 represents a substrate, 31 is 2-2x O y MgF, CaF 2-2x An etch stopper layer of O y , LiF 2-2x O y , BaF 2-2x O y , La 2 F 6-2x O y , or Ce 2 F 6-2x O y , 32 is a light shielding layer, 33 is a resist layer , 34 is ionizing radiation, 35 is resist pattern, 36 is etching gas plasma, 37 is shading pattern, 38 is oxygen plasma, 39 is transparent film, 40 is resist layer, 41 is ionizing radiation, 42 is resist pattern, 43 is reactive 44 denotes a phase shift pattern, and 45 denotes an oxygen plasma.

우선 도 1(a)에 있어서, 광학연마된 기판(30)상에 10∼200nm 두께의 차광층(32)을 순차적으로 형성하고, 또 클로로메틸화 폴리스틸렌 등의 전리방사선 레지스트를 스핀코팅 등의 통상의 방법으로 균일하게 도포하고 가열건조처리를 하여 두께 0.1∼2.0㎛ 정도의 레지스트층(33)을 형성한다. 여기에서 기판(30)으로서는 위상 쉬프트 마스크가 i선이나 엑시머 레이저 등의 단파장용인 것임을 고려하면 석영 또는 고순도 합성석영이 바람직하지만, 그 외에도 저팽창 유리, 백판, 청판(SL), MgF2, CaF2등을 사용할 수 있다. 또 에칭 스톱퍼층(31)은 MgF2-2xOy, CaF2-2xOy, LiF2-2xOy, BaF2-2xOy, La2F6-2xOy, 또는 Ce2F6-2xOy를 사용하여 형성할 수 있다. 여기에서x는 0.01에서 0.5,yyx의 범위가 바람직하고, 스퍼터 가스로서 아르곤을 사용하는 경우, 50% 이하의 산소를 혼합함으로써 이 범위의x,y가 얻어진다. 이때의 i선의 투과율은 92%, KrF레이저 광투과율 90%, SOG와의 에칭 선택비는 120이 되었다.First, in FIG. 1A, a light shielding layer 32 having a thickness of 10 to 200 nm is sequentially formed on an optically polished substrate 30, and an ionizing radiation resist such as chloromethylated polystyrene is spin-coated. It is uniformly applied by the method and heat-dried to form a resist layer 33 having a thickness of about 0.1 to 2.0 mu m. Here, the substrate 30 is preferably quartz or high-purity synthetic quartz, considering that the phase shift mask is for short wavelengths such as i-rays or excimer lasers, but in addition, low expansion glass, white plate, blue plate (SL), MgF 2 , CaF 2 Etc. can be used. The etching stopper layer 31 may include MgF 2-2x O y , CaF 2-2x O y , LiF 2-2x O y , BaF 2-2x O y , La 2 F 6-2x O y , or Ce 2 F 6 Can be formed using -2x O y . Herein, x is in a range of 0.01 to 0.5, and y is preferably in a range of yx . When argon is used as the sputter gas, x and y in this range are obtained by mixing 50% or less of oxygen. The i-line transmittance at this time was 92%, the KrF laser light transmittance at 90%, and the etching selectivity with SOG was 120.

또한, 차광층(32)은 크롬박막을 단층 또는 다층으로 형성함으로써 형성할 수 있지만, 그 외에도 질화크롬, 산화크롬, 텅스텐, 몰리브덴, 몰리브덴 실리사이드 등을 사용하여 형성할 수 있다. 또 레지스트의 가열건조처리는 레지스트의 종류에 따라 다르지만 통상 80∼200℃에서 5∼60분간 정도를 행한다.In addition, the light shielding layer 32 may be formed by forming a chromium thin film in a single layer or a multilayer, but may be formed using chromium nitride, chromium oxide, tungsten, molybdenum, molybdenum silicide, or the like. In addition, although the heat-drying process of a resist changes with kinds of resist, it is usually performed for about 5 to 60 minutes at 80-200 degreeC.

다음에, 도 1(b)에 나타낸 바와 같이, 레지스트층(33)에 통상의 방법에 따라 전자선묘화장치 등의 전리방사선(34)에 의한 노광장치로 소정의 패턴을 묘화하고, 에틸셀로솔브나 에스테르 등의 유기용제를 주성분으로 하는 현상액으로 현상한 후에 알코올로 린스하면, 도 1(c)에 나타낸 바와 같은 레지스트 패턴(35)이 형성된다.Next, as shown in Fig. 1 (b), a predetermined pattern is drawn on the resist layer 33 by an exposure apparatus by ionizing radiation 34 such as an electron beam drawing apparatus in accordance with a conventional method, and ethyl cellosol After developing with a developer mainly composed of organic solvents such as bromine or ester, and rinsing with alcohol, a resist pattern 35 as shown in Fig. 1C is formed.

그 후, 필요에 따라 가열처리 및 디스컴처리를 하여 레지스트 패턴(35)의 에지부분 등에 잔존하는 레지스트 조각, 털형상의 불필요한 레지스트를 제거한 후, 도 1(d)에 나타낸 바와 같이, 레지스트 패턴(35)의 개구부에서 노출하는 피가공부분 즉, 차광층(32)을 에칭가스 플라즈마(36)로 드라이에칭하여 차광 패턴(37)을 형성한다. 또 이 차광 패턴(37)의 형성은 에칭가스 플라즈마(36)에 의한 드라이에칭 대신에 습식식각으로 해도 된다.Thereafter, if necessary, heat treatment and decompression are performed to remove the pieces of the resist remaining in the edge portions of the resist pattern 35 and the unnecessary resist in the form of hairs. Then, as shown in Fig. 1 (d), the resist pattern ( The light-shielding pattern 37 is formed by dry etching the part to be exposed from the opening of the opening 35, that is, the light shielding layer 32 with the etching gas plasma 36. The light shielding pattern 37 may be formed by wet etching instead of dry etching by the etching gas plasma 36.

이와 같이 하여 에칭한 후, 도 1(e)에 나타낸 바와 같이, 잔존하는 레지스트(35)를 산소 플라즈마(38)로 회화제거하여 도 1(f)에 나타낸 바와 같은 기판(30)상에 에칭 스톱퍼층(31)이 형성되고, 또 그 위에 소정의 차광 패턴(37)이 형성된 포토마스크를 작성한다. 또 이 처리는 산소 플라즈마(38)에 의한 회화처리 대신에 용제박리에 의해 하는 것도 가능하다.After etching in this manner, as shown in FIG. 1 (e), the remaining resist 35 is removed by incineration with an oxygen plasma 38 to etch the stopper on the substrate 30 as shown in FIG. 1 (f). The photomask in which the layer 31 is formed and the predetermined light shielding pattern 37 was formed on it is created. This treatment can also be performed by solvent peeling instead of the incineration treatment by the oxygen plasma 38.

이어서, 이 포토마스크를 검사하여 필요에 따라서는 패턴을 수정하여 세정한 후, 도 1(g)에 나타낸 바와 같이, 차광 패턴(37)상에 증착, SOG에 의해 SiO2를 주성분으로 하는 투명막(39)을 형성한다. 투명막(39)의 막두께(d)는 투명막(39)을 형성하는 재료의 굴절율을 n, 노광파장을 λ로 하면 d = λ/2(n-1)로 주어지는 값으로 SOG를 사용한 경우에는 n=1.45으로, λ=365nm으로 하면 d의 값은 약 406nm이다.Subsequently, the photomask is inspected and, if necessary, the pattern is corrected and washed, and as shown in FIG. 1 (g), a transparent film containing SiO 2 as a main component by vapor deposition on the light shielding pattern 37 and SOG is shown. Form 39. The film thickness d of the transparent film 39 is a value given by d = λ / 2 (n-1) when the refractive index of the material forming the transparent film 39 is n and the exposure wavelength is λ. If n = 1.45 and? = 365 nm, the value of d is about 406 nm.

다음에, 도 1(b)에 나타낸 바와 같이, 투명막(39)상에 상술한 것과 동일한 방식으로 클로로메틸화 폴리스틸렌 등의 전리방사선 레지스트를 균일하게 도포하여 레지스트층(40)을 형성하고, 도 1(i)에 나타낸 바와 같이, 레지스트층(40)에 통상의 방법에 따라 얼라이먼트를 하여 전자선 노광장치 등의 전리방사선(41)으로 위상을 쉬프트해야할 위치에 소정의 패턴을 묘화하고, 소정의 현상액으로 현상, 린스하여 도 1(j)에 나타낸 바와 같이 레지스트 패턴(42)을 형성한다.Next, as shown in Fig. 1B, an ionizing radiation resist such as chloromethylated polystyrene is uniformly applied on the transparent film 39 to form a resist layer 40, and Fig. 1 As shown in (i), the resist layer 40 is aligned in accordance with a conventional method, and a predetermined pattern is drawn at a position where the phase should be shifted by ionizing radiation 41 such as an electron beam exposure apparatus. The resist pattern 42 is formed by developing and rinsing as shown in Fig. 1 (j).

이어서, 필요에 따라 가열처리 및 디스컴처리를 한 후, 도 1(k)에 나타낸 바와 같이, 레지스트 패턴(42)의 개구부에서 노출하는 투명막(39)부분을 CF4, C2F6, CHF3+ O2및 이들 혼합가스를 사용한 반응성 이온(43)에 의한 반응성이온 에칭으로 드라이에칭하여 위상 쉬프트 패턴(44)을 형성한다.Subsequently, after the heat treatment and the discompression process are necessary, as shown in Fig. 1 (k), portions of the transparent film 39 exposed through the openings of the resist pattern 42 are CF 4 , C 2 F 6 , The phase shift pattern 44 is formed by dry etching by reactive ion etching with CHF 3 + O 2 and reactive ions 43 using these mixed gases.

이 때, 종래의 방법에서는 에칭이 기판(30)까지 이르게 되어 에칭의 종점판정이 어려워지거나 기판(30)도 에칭되게 되어 위상 쉬프터의 위상 쉬프트량이 180°보다 커져서 정확한 패턴의 전사가 어려워지게 된다는 문제가 있었지만, 본 발명에 있어서는 상기 불소계의 반응성이온에 대해 에칭내성이 크고, 투명성, 경도가 우수한 MgF2-2xOy, CaF2-2xOy, LiF2-2xOy, BaF2-2xOy, La2F6-2xOy, 또는 Ce2F6-2xOy를 에칭 스톱퍼층(31)으로 사용하고 있으므로 투명막(39)을 확실하게 에칭할 수 있음과 동시에 자동적으로 에칭으로 정지시킬 수 있어 보다 고품질의 위상 쉬프트 포토마스크를 작성할 수 있다.At this time, in the conventional method, the etching reaches the substrate 30, which makes it difficult to determine the end point of the etching, or the substrate 30 is also etched, so that the phase shift amount of the phase shifter becomes larger than 180 °, making it difficult to transfer the correct pattern. but is, in the present invention large, the etching resistance to the reactive ion of the fluorine, the transparency, and hardness superior 2-2x O y MgF, CaF 2-2x O y, y O 2-2x LiF, BaF 2-2x O Since y , La 2 F 6-2x O y , or Ce 2 F 6-2x O y is used as the etching stopper layer 31, the transparent film 39 can be reliably etched and stopped automatically by etching. It is possible to create a higher quality phase shift photomask.

다음에 도 1(l)에 나타낸 바와 같이, 잔존하는 레지스트를 산소 플라즈마(45)로 회화제거한다. 이것에 의해 도 1(m)에 나타낸 바와 같은 고정밀도의 위상 쉬프트 포토마스크가 완성된다. 또 이 처리는 산소 플라즈마(45)에 의한 회화처리 대신에 용제박리에 의해 하는 것도 가능하다.Next, as shown in FIG. 1 (l), the remaining resist is incinerated with an oxygen plasma 45. This completes a highly accurate phase shift photomask as shown in Fig. 1 (m). In addition, this process can also be performed by solvent peeling instead of the ashing process by the oxygen plasma 45. FIG.

그리고, 이와 같은 에칭 스톱퍼층은 도 3에 나타낸 바와 같은 위상 쉬프터 위에 얹어놓은 형태의 위상 쉬프트 포토마스크에 한정하지 않고, 위상 쉬프터 아래에 놓은 형태의 위상 쉬프트 포토마스크에도 적용할 수 있다. 그 일예로서 본 출원인이 일본국 특허출원 평2-181795호에서 제안한 자기정합형 위상 쉬프트 포토마스크에 이 에칭 스톱퍼층을 적용한 경우에 관하여 다음에 간단하게 설명한다.Such an etching stopper layer is not limited to the phase shift photomask of the type placed on the phase shifter as shown in FIG. 3, but can also be applied to the phase shift photomask of the type placed below the phase shifter. As an example, the case where the present applicant applies this etching stopper layer to the self-aligned phase shift photomask proposed in Japanese Patent Application No. Hei 2-181795 will be briefly described below.

도 2는 다른 위상 쉬프트 포토마스크의 제조공정을 나타내는 단면도이고, 도면중 도면부호 50은 기판, 51은 MgF2-2xOy, CaF2-2xOy, LiF2-2xOy, BaF2-2xOy, La2F6-2xOy, 또는 Ce2F6-2xOy로 된 에칭 스톱퍼층, 52는 투명막, 53은 차광성 박막, 54는 레지스트층, 55는 레지스트 패턴, 56은 전리방사선, 57은 에칭가스 플라즈마, 58은 차광 패턴, 59는 산소 플라즈마, 60은 레지스트층, 61은 백 노광, 62는 반응성이온, 63은 위상 쉬프터 패턴, 64는 산소 플라즈마를 각각 나타낸다.2 is a cross-sectional view showing a manufacturing process of another phase shift photomask, wherein 50 is a substrate, 51 is MgF 2-2x O y , CaF 2-2x O y , LiF 2-2x O y , and BaF 2- An etch stopper layer of 2x O y , La 2 F 6-2x O y , or Ce 2 F 6-2x O y , 52 is a transparent film, 53 is a light-shielding thin film, 54 is a resist layer, 55 is a resist pattern, 56 Silver ionizing radiation, 57 an etching gas plasma, 58 a light shielding pattern, 59 an oxygen plasma, 60 a resist layer, 61 a back exposure, 62 a reactive ion, 63 a phase shifter pattern, and 64 an oxygen plasma.

우선, 도 2(a)에 나타낸 바와 같이, 광학연마된 기판(50)상에 10∼200nm 두께의 균일한 에칭 스톱퍼층(51)과, SiO2를 주성분으로 하는 막두께 d = λ/2(n-1)의 투명막(52)과, 50∼200nm의 차광층(53)을 순차적으로 형성하여 포토마스크 블랭크스를 구성한다.First, as shown in Fig. 2 (a), the film thickness d = lambda / 2 (mainly composed of SiO 2 as a main component and a uniform etching stopper layer 51 of 10 to 200 nm thickness on the optically polished substrate 50). The transparent film 52 of n-1) and the light shielding layer 53 of 50-200 nm are formed sequentially, and a photomask blank is comprised.

그 다음에, 이 포토마스크 블랭크스상에 클로로메틸화 폴리스틸렌 등의 전지방사선 레지스트를 스핀코팅 등의 통상의 방법으로 균일하게 도포하고 가열건조처리를 하여 두께 0.1∼2.0㎛ 정도의 레지스트층(54)을 형성한다.Subsequently, a cell radiation resist such as chloromethylated polystyrene is uniformly applied on the photomask blank by a conventional method such as spin coating, and heat-dried to form a resist layer 54 having a thickness of about 0.1 to 2.0 mu m. do.

여기에서 기판(50)으로서는 본 발명의 위상 쉬프트 마스크가 통상 i선이나 엑시머 레이저 등의 단파장용인 것임을 고려하면 석영, 고순도석영, MgF2, CaF2등을 사용하는 것이 바람직하다. 그러나 그것보다 장파장인 경우에는 저팽창유리, 백판유리, 청판유리 등을 사용해도 된다. 또한 에칭 스톱퍼층(51)은 도 1의 경우와 마찬가지로 MgF2-2xOy, CaF2-2xOy, LiF2-2xOy, BaF2-2xOy, La2F6-2xOy, 또는 Ce2F6-2xOy를 사용하여 형성한다. 여기에서x는 0.5,yyx의 범위가 바람직하다. 또한 투명막(52)은 고순도인 SiO2막이 바람직하고, 이 막의 코팅법으로는 스퍼터법, CVD법 또는 SOG에 의한 코팅(예를 들면 siloxne을 스핀코팅하고 가열하여 SiO2막을 형성)이 채용된다. 또 차광층(53)은 크롬, 질화크롬, 산화크롬, 텅스텐, 몰리브덴, 몰리브덴 실리사이드 등의 막막을 단층 또는 다층으로 형성함으로써 형성할 수 있다.As the substrate 50, it is preferable to use quartz, high purity quartz, MgF 2 , CaF 2, or the like, considering that the phase shift mask of the present invention is usually for short wavelengths such as i-rays or excimer lasers. However, in the case of longer wavelength than that, low expansion glass, white glass, blue glass or the like may be used. In addition, the etching stopper layer 51 is the same as in the case of Figure 1 MgF 2-2x O y , CaF 2-2x O y , LiF 2-2x O y , BaF 2-2x O y , La 2 F 6-2x O y Or Ce 2 F 6-2x O y . Here, x is 0.5 and y is preferably in a range of yx . The transparent film 52 is preferably a high purity SiO 2 film, and a coating method of the film by sputtering, CVD, or SOG (for example, spin coating and heating siloxne to form a SiO 2 film) is adopted. . The light shielding layer 53 can be formed by forming a film of chromium, chromium nitride, chromium oxide, tungsten, molybdenum, molybdenum silicide or the like in a single layer or multiple layers.

또한, 레지스트의 가열건조처리는 레지스트의 종류에 따라 다르지만, 통상 80∼200℃에서 5∼60분간 정도를 행한다.In addition, although the heat-drying process of a resist changes with kinds of resist, it normally performs about 5 to 60 minutes at 80-200 degreeC.

다음에, 도 2(b)에 나타낸 바와 같이, 레지스트층(54)에 통상의 방법에 따라 전자선 묘화장치 등의 전리방사선(56)에 의한 노광장치로 소정의 패턴을 묘화하고, 에틸셀로솔브나 에스테르 등의 유기용제를 주성분으로 하는 현상액으로 현상한 후에 알코올로 린스하면, 도 2(c)에 나타낸 바와 같은 레지스트 패턴(55)이 형성된다.Next, as shown in Fig. 2B, a predetermined pattern is drawn on the resist layer 54 by an exposure apparatus using ionizing radiation 56, such as an electron beam drawing apparatus, according to a conventional method, and ethyl cellosol After developing with a developer containing organic solvents such as bromine or ester as a main component and rinsing with alcohol, a resist pattern 55 as shown in Fig. 2C is formed.

다음에, 필요에 따라 가열처리 및 디스컴처리를 하여 레지스트 패턴(55)의 에지부분 등에 남아있는 레지스트 조각, 털형상의 레지스트를 제거한 후, 도 2(c)에 나타낸 바와 같이, 레지스트 패턴(55)의 개구부에서 노출하는 피가공부분 즉, 차광층(53)을 에칭가스 플라즈마(57)로 드라이에칭하여 차광 패턴(58)을 형성한다(도 2(d)). 또 이 차광 패턴(58)의 형성은 에칭가스 플라즈마(57)에 의한 드라이에칭 대신에 습식식각으로 해도 된다.Subsequently, heat treatment and discombing are performed as necessary to remove the pieces of the resist and the hair-like resist remaining in the edge portions of the resist pattern 55 and the like, and as shown in Fig. 2C, the resist pattern 55 The light-shielding pattern 58 is formed by dry etching the workpiece, i.e., the light shielding layer 53, exposed through the opening of the () with the etching gas plasma 57 (FIG. 2 (d)). The light shielding pattern 58 may be formed by wet etching instead of dry etching by the etching gas plasma 57.

이와 같이 하여 에칭한 후, 도 2(d)에 나타낸 바와 같이, 남아 있는 레지스트(55)를 산소 플라즈마(59)로 회화제거하여 도 2(e)에 나타낸 바와 같은 기판(50)상에 에칭 스톱퍼층(51)이 형성되고, 그 위에 위상 쉬프트층(52)이 형성되고, 또 그 위에 소정의 차광 패턴(58)이 형성된 포토마스크가 형성된다. 또 남아있는 레지스트(55)의 제거처리는 산소 플라즈마(59)에 의한 회화처리 대신에 용제박리에 의해 하는 것도 가능하다.After etching in this manner, as shown in FIG. 2 (d), the remaining resist 55 is quenched and removed by oxygen plasma 59 to etch stopper on the substrate 50 as shown in FIG. 2 (e). The layer 51 is formed, the phase shift layer 52 is formed thereon, and the photomask in which the predetermined light shielding pattern 58 was formed is formed on it. In addition, the removal process of the remaining resist 55 can also be performed by solvent peeling instead of the ashing process by oxygen plasma 59. FIG.

이어서, 이 포토마스크를 검사하여 필요에 따라서는 패턴을 수정하여 세정한 후, 도 2(f)에 나타낸 바와 같이, 차광 패턴(58)상에 OFPR-800 등의 포토레지스트를 스핀코팅 등의 통상의 방법으로 균일하게 도포하고 가열건조처리를 하여 두께 1∼2㎛ 정도의 레지스트층(60)을 형성한다.Subsequently, the photomask is inspected and, if necessary, the pattern is corrected and washed, and then, as shown in FIG. 2 (f), a photoresist such as OFPR-800 or the like is spin-coated on the light shielding pattern 58. It is uniformly applied by the method of the following and heat-drying process to form a resist layer 60 of about 1 to 2㎛ thickness.

또 이어서, 유리기판(50)측에서 상기 레지스트층(60)을 백 노광(61)하고 테트라메틸 암모늄 하이드로옥사이드를 주성분으로 하는 알칼리 수용액으로 현상하고 순수한 물(純水)로 린스하여 차광 패턴(58)상에 레지스트 패턴이 놓인 패턴을 형성한다.Subsequently, on the glass substrate 50 side, the resist layer 60 is back-exposed 61, developed with an aqueous alkali solution containing tetramethyl ammonium hydroxide as a main component, and rinsed with pure water to shield the light shielding pattern 58 ) Forms a pattern on which a resist pattern is placed.

다음에 도 2(g)에 나타낸 바와 같이, 이 레지스트 패턴의 개구부에서 노출하는 피가공부분, 즉 위상 쉬프트층(52)을 CF4, C2F6, CHF3+O2및 이들의 혼합가스를 사용한 반응성이온(62)에 의한 반응성이온 에칭으로 드라이에칭하여 위상 쉬프터 패턴(63)을 형성한다(도 2(h)).Next, as shown in Fig. 2 (g), the portion to be processed exposed from the opening of the resist pattern, that is, the phase shift layer 52, is CF 4 , C 2 F 6 , CHF 3 + O 2 and mixed gas thereof. Dry etching is performed by reactive ion etching with reactive ions 62 using to form a phase shifter pattern 63 (Fig. 2 (h)).

이어서, 이 기판을 초산 제2세륨 암모늄을 주성분으로 하는 에칭액으로 처리하여 위상 쉬프터(63)와 레지스트(60) 사이에 끼워진 차광막(58)을 사이드 에칭한다. 이 사이드 에칭량은 패턴의 종류나 크기에 따라 다르지만, 통상 0.1∼0.5㎛ 정도이다.Subsequently, the substrate is treated with an etchant mainly composed of second cerium ammonium acetate to side-etch the light shielding film 58 sandwiched between the phase shifter 63 and the resist 60. Although this side etching amount changes with kinds and sizes of patterns, it is about 0.1-0.5 micrometer normally.

이와 같이 하여 에칭한 후, 도 2(i)에 나타낸 바와 같이, 잔존하는 레지스트(60)를 산소 플라즈마(64)로 회화제거하여 도 2(j)에 나타낸 바와 같은 자기정합형 위상 쉬프트 마스크를 완성한다.After etching in this manner, as shown in FIG. 2 (i), the remaining resist 60 is inversely removed by oxygen plasma 64 to complete a self-aligned phase shift mask as shown in FIG. 2 (j). do.

이 경우도 도 1의 경우와 마찬가지로 상기 불소계의 반응성이온에 대해 에칭내성이 크고, 투명성, 경도가 우수한 MgF2-2xOy, CaF2-2xOy, LiF2-2xOy, BaF2-2xOy, La2F6-2xOy, 또는 Ce2F6-2xOy를 에칭 스톱퍼층(51)으로 사용하고 있으므로 투명막(52)을 확실하게 에칭할 수 있음과 동시에, 자동적으로 에칭으로 정지시킬 수 있어 보다 고품질의 위상 쉬프트 포토마스크를 작성할 수 있다.Also in this case, as in the case of FIG. 1, MgF 2-2x O y , CaF 2-2x O y , LiF 2-2x O y , BaF 2-which have high etching resistance, excellent transparency, and hardness to the fluorine-based reactive ions. Since 2x O y , La 2 F 6-2x O y , or Ce 2 F 6-2x O y is used as the etching stopper layer 51, the transparent film 52 can be reliably etched and automatically The etching can be stopped to produce a higher quality phase shift photomask.

본 발명의 위상 쉬프트 포토마스크를 실시예에 의거하여 설명하였는데, 본 발명은 이 실시예에 한정되지 않고 여러가지 변형이 가능하다. 또한 상기 재질의 에칭 스톱퍼층을 적용할 수 있는 위상 쉬프트 포토마스크의 타입에 대해서는 이상의 예에 한정하지 않고 종래 공지의 어떤 타입, 예를 들면 하프톤(half-tone) 위상 쉬프트 포토마스크에도 적용할 수 있다.Although the phase shift photomask of this invention was demonstrated based on the Example, this invention is not limited to this Example, A various deformation | transformation is possible. In addition, the type of the phase shift photomask to which the etch stopper layer of the material can be applied is not limited to the above example, but may be applied to any conventionally known type, for example, a half-tone phase shift photomask. have.

본 발명의 상기 위상 쉬프트 포토마스크에 있어서는 기판표면에 MgF2-2xOy, CaF2-2xOy, LiF2-2xOy, BaF2-2xOy, La2F6-2xOy, 또는 Ce2F6-2xOy로 된 막이 설치되어 있으므로 위상 쉬프터 패턴을 에칭으로 형성할 때, MgF2-2xOy, CaF2-2xOy, LiF2-2xOy, BaF2-2xOy, La2F6-2xOy, 또는 Ce2F6-2xOy가 에칭 스톱퍼층으로서 작용하여 위상 쉬프터용 투명막을 확실하게 에칭할 수 있음과 동시에, 자동적으로 에칭을 정지시킬 수 있으므로 보다 고품질의 위상 쉬프트 포토마스크가 된다.In the phase shift photomask of the present invention, MgF 2-2x O y , CaF 2-2x O y , LiF 2-2x O y , BaF 2-2x O y , La 2 F 6-2x O y , Alternatively, since a film of Ce 2 F 6-2x O y is provided, when forming the phase shifter pattern by etching, MgF 2-2x O y , CaF 2-2x O y , LiF 2-2x O y , BaF 2-2x Since O y , La 2 F 6-2x O y , or Ce 2 F 6-2x O y act as an etching stopper layer, it is possible to reliably etch the transparent film for the phase shifter, and the etching can be stopped automatically. It becomes a higher quality phase shift photomask.

또, 상기 막은 자외영역에서 투명성이 좋으므로 자외선을 사용하는 위상 쉬프트 포토마스크에 적합한 것이고, 또한 경도가 높아 이와 같이 하여 작성된 위상쉬프트 포토마스크는 환경에 의한 열화가 적어 수명이 길어지게 된다.In addition, since the film has good transparency in the ultraviolet region, the film is suitable for a phase shift photomask using ultraviolet rays and has a high hardness, and thus the phase shift photomask prepared in this way has a low deterioration caused by the environment and thus has a long life.

Claims (1)

적어도 기판과, 그 표면에 차광 패턴을 통하여 또는 직접 설치된 산화실리콘을 주성분으로 하는 재료로 된 위상 쉬프터 패턴으로 된 위상 쉬프트 포토마스크에 있어서, 기판표면에 MgF2-2xOy, CaF2-2xOy, LiF2-2xOy, BaF2-2xOy, La2F6-2xOy, 또는 Ce2F6-2xOy로 된 막을 갖추어서 이루어진 것을 특징으로 하는 위상 쉬프트 포토마스크.A phase shift photomask comprising at least a substrate and a phase shifter pattern made of a material mainly composed of silicon oxide provided directly or through a light shielding pattern on the surface thereof, the MgF 2-2x O y , CaF 2-2x O formed on the surface of the substrate. A phase shift photomask comprising a film of y , LiF 2-2x O y , BaF 2-2x O y , La 2 F 6-2x O y , or Ce 2 F 6-2x O y .
KR1019990024454A 1992-04-08 1999-06-26 Phase shift photo mask KR100249726B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP8707392A JP3241793B2 (en) 1992-04-08 1992-04-08 Phase shift photomask
JP?4-087073 1992-04-08
KR1019920021133A KR100239960B1 (en) 1991-11-12 1992-11-11 Phase shift photomask

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1019920021133A Division KR100239960B1 (en) 1991-11-12 1992-11-11 Phase shift photomask

Publications (1)

Publication Number Publication Date
KR100249726B1 true KR100249726B1 (en) 2000-03-15

Family

ID=26428388

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990024454A KR100249726B1 (en) 1992-04-08 1999-06-26 Phase shift photo mask

Country Status (1)

Country Link
KR (1) KR100249726B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100749077B1 (en) * 2000-04-25 2007-08-13 가부시키가이샤 히타치세이사쿠쇼 An electron device manufacturing method, a pattern forming method and a photomask used for those mothods
KR200488948Y1 (en) 2018-05-23 2019-04-08 백문현 Space box for piling up with zigzag

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100749077B1 (en) * 2000-04-25 2007-08-13 가부시키가이샤 히타치세이사쿠쇼 An electron device manufacturing method, a pattern forming method and a photomask used for those mothods
KR200488948Y1 (en) 2018-05-23 2019-04-08 백문현 Space box for piling up with zigzag

Similar Documents

Publication Publication Date Title
KR100242364B1 (en) Photo mask and fabrication process therefor
US5380608A (en) Phase shift photomask comprising a layer of aluminum oxide with magnesium oxide
KR940002733B1 (en) Mask for exposure of light and manufacturing method thereof
KR100758052B1 (en) Phase Shift Photo Mask and Phase Shift Photo Mask Dry Etching Method
US5702847A (en) Phase shift photomask, phase shift photomask blank, and process for fabricating them
EP0577297B1 (en) Self-aligned method of making phase-shifting lithographic masks having three or more phase-shifts
KR100298609B1 (en) Method for manufacturing photo mask having phase shift layer
US6869736B2 (en) Halftone phase shift photomask and blank for halftone phase shift photomask
US5695896A (en) Process for fabricating a phase shifting mask
JPH10186632A (en) Blank for halftone type phase shift mask and halftone type phase shift mask
JP4478568B2 (en) Method of using an amorphous carbon layer for the production of an improved reticle
KR101319311B1 (en) Photomask blank and method for manufacturing photomask
JPH08123008A (en) Phase shift mask and its production
JPH06250376A (en) Phase shift mask and production of phase shift mask
JPH05289305A (en) Phase-shift photomask
KR100249726B1 (en) Phase shift photo mask
KR100239960B1 (en) Phase shift photomask
JPH10198017A (en) Phase shift photomask and blank for phase shift photomask
JP3202253B2 (en) Manufacturing method of exposure mask and exposure mask
US6852455B1 (en) Amorphous carbon absorber/shifter film for attenuated phase shift mask
JP2000221660A (en) Production of mask structure
JP3241793B2 (en) Phase shift photomask
KR100249725B1 (en) Phase shift photo mask
JP3225074B2 (en) Method for manufacturing phase shift photomask
KR100494442B1 (en) method for manufacturing of phase shift blank mask and photo-mask

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20061013

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee