KR100242224B1 - Resist composition and pattern forming method thereof and semiconductor manufacturing method - Google Patents

Resist composition and pattern forming method thereof and semiconductor manufacturing method Download PDF

Info

Publication number
KR100242224B1
KR100242224B1 KR1019970032341A KR19970032341A KR100242224B1 KR 100242224 B1 KR100242224 B1 KR 100242224B1 KR 1019970032341 A KR1019970032341 A KR 1019970032341A KR 19970032341 A KR19970032341 A KR 19970032341A KR 100242224 B1 KR100242224 B1 KR 100242224B1
Authority
KR
South Korea
Prior art keywords
resist
resist composition
group
film
monomer unit
Prior art date
Application number
KR1019970032341A
Other languages
Korean (ko)
Other versions
KR19980032221A (en
Inventor
꼬지 노자끼
에이 야노
께이지 와타나베
타까히사 나미끼
미와 이가라시
Original Assignee
아끼구사 나오유끼
후지쯔 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아끼구사 나오유끼, 후지쯔 가부시키가이샤 filed Critical 아끼구사 나오유끼
Publication of KR19980032221A publication Critical patent/KR19980032221A/en
Application granted granted Critical
Publication of KR100242224B1 publication Critical patent/KR100242224B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • Y10S430/11Vinyl alcohol polymer or derivative
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • Y10S430/111Polymer of unsaturated acid or ester

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명은 단파장 영역에서 노광 가능한 투명성, 감도, 해상성, 그리고 드라이 에칭 내성을 겸비함과 함께, 기판에 대한 밀착력이 우수한 레지스트 패턴을 형성할 수 있는 레지스트 조성물을 제공하는 것을 목적으로 한다.An object of the present invention is to provide a resist composition capable of forming a resist pattern having excellent adhesion to a substrate while having transparency, sensitivity, resolution, and dry etching resistance that can be exposed in a short wavelength region.

이를 위해, 본 발명은 화학 증폭성 레지스트 조성물에 있어서, 자체 염기성 수용액에 불용인 중합체이며, (A) 특정의 보호기로 보호된 카복실산 혹은 페놀을 갖는 단량체 단위 I 및 (B) 환상 카보네이트 부분을 포함하는 에스테르기 혹은 에테르기를 갖는 단량체 단위 II를 포함하고 또한 상기 단량체 단위 I의 보호기가 산의 작용에 의해 탈리한 때, 염기성 수용액에 가용성으로 될 수 있는 중합체로 된 기재 수지와, 결상용 방사선을 흡수하여 분해하면 상기 단량체 단위 I의 보호기의 탈리를 야기할 수 있는 산을 발생할 수 있는 광 산 발생체를 조합하여 포함하여 되도록 구성한다.To this end, the present invention provides a chemically amplifiable resist composition comprising a monomer unit I and (B) a cyclic carbonate moiety which is an insoluble polymer in its own basic aqueous solution and has a carboxylic acid or phenol protected with a specific protecting group. When the protective group of the monomer unit I containing the monomer unit II which has an ester group or an ether group, and is detach | desorbed by the action of an acid, it absorbs the base resin of polymer which can become soluble in basic aqueous solution, and imaging radiation, When decomposed, the photoacid generator may be combined to include an acid which may cause an acid which may cause desorption of the protecting group of the monomer unit I.

Description

레지스트 조성물, 레지스트 패턴의 형성 방법 및 반도체 장치의 제조 방법A resist composition, the formation method of a resist pattern, and the manufacturing method of a semiconductor device

본 발명은, 레지스트 조성물에 관한 것으로, 더 상세하게 말하면, 엑시머 레지어와 같은 보다 단파장의 공을 결상용 방사선으로서 사용할 수 있고, 또한 노광후에 염기성 수용액에 의해 현상할 수 있는 레지스트 조성물에 관한 것이다. 본 발명은, 또한, 이와 같은 레지스트 조성물을 사용한 포지티브형 레지스트 패턴의 형성 방법 및 그에 의해 형성된 레지스트 패턴을 마스크로서 사용한 반도체 장치의 제조 방법에 관한 것이다.TECHNICAL FIELD This invention relates to a resist composition. More specifically, it is related with the resist composition which can use shorter wavelength ball | holes like an excimer resin as imaging radiation, and can develop with basic aqueous solution after exposure. The present invention further relates to a method of forming a positive resist pattern using such a resist composition and a method of manufacturing a semiconductor device using the resist pattern formed thereby as a mask.

근년, 반도체 집적 회로는 고집적화가 진행되어, LSI나 VLSI가 실용화되어 있고, 배선 패턴의 최소선폭은 서브마이크론의 영역에 이르고 있다. 이로 인해, 미세 가공 기술을 확립할 필요가 있고, 리소그래피 분야에서는, 그 요구의 해결책으로서, 노광 광원의 자외선 파장을 원자의 영역의 단파장으로 이행시키고, 보다 심자외 영역 파장의 광원을 사용한 노광 방법의 연구도 활발히 행해지고 있다. 이에 따라, 레지스트 재료의 분야에서도, 상기와 같은 단파장에서의 광 흡수가 보다 작고, 감도가 양호하고 또한 높은 드라이 에칭 내성을 모두 지닌 재료의 개발이 요구되고 있다.In recent years, semiconductor integrated circuits have been highly integrated, and LSIs and VLSIs have been put into practical use, and the minimum line width of wiring patterns has reached the submicron range. For this reason, it is necessary to establish a microfabrication technique, and in the field of lithography, as a solution of the demand, the ultraviolet light of the exposure light source is shifted to the short wavelength of the atomic region, and the exposure method using the light source of the deep ultraviolet region wavelength is used. Research is also actively conducted. Accordingly, in the field of resist materials, there is a demand for the development of a material having both light absorption at the short wavelength as described above, good sensitivity and high dry etching resistance.

이와 같은 상황하에 있어서, 반도체 장치의 제조 프로세스에 있어서의 새로운 노광 광원으로서 불화 크리톤 엑시머 레이저(파장 248nm, 이하 KrF로 약한다)를 사용한 포토리소그래피가 활발히 연구되고 있다. 일부에서 실용화가 시작되고 있다.또한, 이와 같은 단파장 광원에 대응할 수 있는 고감도이고 고해상도를 지닌 레지스트로서, 화학 증폭형이라 불리는 개념을 사용한 레지스트 조성물이, 미국 IBM사의 H.Ito 등에 이미 제안되어 있다(예를 들어, J.M.J. Frechet 등, Proc. Microcircuit Eng., 260(1982), H.Ito 등, Digest of Technical Papers of 1983 Symposium on VLSI Technology, 86(1983), H.Ito 등, “Polymers in Electronics”, ACS Symposium Series 242, t. Davidson편, ACS, 11(1984), 그리고 미국특허 제4,491,628호를 참조) 이와 같은 레지스트 조성물의 기본 개념은, 상기 문헌 등에서 용이하게 이해될 수 있는 바와 같이, 레지스트 막중에서 촉매 반응을 일으켜 겉보기 양자 수율을 향상시킴으로써, 그 레지스트 조성물의 고감도화, 고해상도화를 도모하고 있다.Under such a situation, photolithography using a fluoride crytone excimer laser (wavelength 248 nm, hereinafter referred to as KrF) as a new exposure light source in a semiconductor device manufacturing process is actively studied. In some cases, practical applications have begun. In addition, as a resist having a high sensitivity and a high resolution that can cope with such a short wavelength light source, a resist composition using a concept called a chemical amplification type has already been proposed in H. Ito et al. For example, JMJ Frechet et al., Proc.Microcircuit Eng., 260 (1982), H. Ito et al., Digest of Technical Papers of 1983 Symposium on VLSI Technology, 86 (1983), H. Ito et al., “Polymers in Electronics” , ACS Symposium Series 242, t. Davidson, ACS, 11 (1984), and US Pat. No. 4,491,628). The basic concept of such a resist composition can be readily understood in the literature, such as By raising the catalytic reaction at and improving the apparent quantum yield, the resist composition is increased in sensitivity and high resolution.

여기까지 넓게 연구되어 온, 폴리(t-부톡시카보닐옥시스티렌)(t-BOCPVP)에, 광에 의해 산을 발생하는 작용을 갖는 광 산 발생제(PAG)를 첨가한 화학 증폭형 포지티브형 레지스트를 예로 들면, 레지스트의 노광부에서는, 노광 후의 가열, 소위 「PEB(Post-Exposure Baking)에 의해, 보호기인 t-BOC기가 탈리하고, 이소부텐과 이산화탄소로 된다. 그래서, t-BOC의 탈리 시에 생긴 프로톤 산이 촉매로 되어, 상기의 보호기 탈리 반응이 연쇄적으로 진행하여, 노광부의 극성이 크게 변화한다. 따라서, 노광부 극성의 큰 변화에 대응할 수 있는 적절한 현상액을 선택함으로써, 레지스트 패턴을 형성할 수 있다.Chemical amplification type positive type which added photoacid generator (PAG) which has the effect of generating an acid by light to poly (t-butoxycarbonyloxystyrene) (t-BOCPVP) which has been studied extensively so far Taking a resist as an example, in the exposed portion of the resist, a t-BOC group as a protecting group is detached by heating after exposure, so-called "PEB (Post-Exposure Baking), to be isobutene and carbon dioxide. Therefore, the protonic acid generated at the time of desorption of t-BOC becomes a catalyst, the protecting group desorption reaction proceeds in series, and the polarity of the exposed portion is greatly changed. Therefore, a resist pattern can be formed by selecting the suitable developing solution which can respond to the big change of the exposure part polarity.

또한 최근에, 1 GDRAM을 대표로 하는 일층 진화한 고집적 반도체 장치의 제조에 대해서는, 상기 KrF 엑시머 레이저보다도 더 파장이 짧은 불화 아르곤 엑시머레이저(파장 193nm, 이하 ArF라 약한다)의 노광 광원으로서의 사용이 기대되고 있다. 그러나, 이와 같은 심자외 파장 영역에서는, KrF 레이저에서는 사용 가능했던 폴리비닐페놀(PVP)을 베이스로 하는 레지스트도, 그 레지스트 중에 포함된 방향족환이 이 단파장 영역의 광을 강하게 흡수하므로, 패턴을 형성할 수 없다. 구체적으로는, 예를 들어 폴리비닐페놀(PVP)을 베이스로 하는 레지스트를 사용하여, ArF 리소그래피에 의해 0.2μm 이하의 미세한 회로 패턴을 형성한다고 하면, ArF 레이저의 조사시, 레지스트 중의 방향족 환이 광을 극단적으로 흡수하므로, 레이저 광이 레지스트 막의 아랫 부분에까지 도달하지 못하여, 소망의 레지스트 패턴을 형성하는 것이 곤란하게 된다.In recent years, in the manufacture of highly evolved semiconductor devices represented by one GDRAM, the use of an argon fluoride excimer laser (wavelength 193 nm, hereinafter referred to as ArF), which has a shorter wavelength than that of the KrF excimer laser, has been used as an exposure light source. It is expected. However, in such deep ultraviolet wavelength region, a resist based on polyvinylphenol (PVP), which has been available in KrF laser, also forms a pattern since the aromatic ring contained in the resist strongly absorbs light in this short wavelength region. Can't. Specifically, if a fine circuit pattern of 0.2 μm or less is formed by ArF lithography using a resist based on polyvinylphenol (PVP), for example, when the ArF laser is irradiated, the aromatic ring in the resist receives light. Due to the extreme absorption, the laser light does not reach the lower portion of the resist film, making it difficult to form a desired resist pattern.

상기한 바와 같은 문제점을 해결하기 위해, 본 발명자들은, 치환식 탄화수소기를 그 구조 중에 갖는 공중합체, 예를 들어 아다만틸메타크릴레이트-t-부틸메타크릴레이트 공중합체와 아다만틸메타크릴레이트-3-옥소시클로헥실메타크릴레이트 공중합체 등을 화학 증폭형 레지스트의 기재 수지로서 개발하여, 이미 특허출원했다(상세하게는, 예를 들어, 일본특허공보 특개평 5-346668호 공보 참조). 이와 같은 공중합체를 기재 수지로서 갖는 레지스트는, ArF 레이저 등을 노광 광원으로 사용한 경우, 그 노광 파장에 있어서 높은 투명성을 가지며, 또한 드라이 에칭 내성에도 우수하다. 그러나, 그 소수성이 높으므로, 하지인 기판에 대한 밀착성이 열악하고, 알칼리 현상 시에 기판에서 박리되는 또 다른 문제점이 있다. 따라서, 현재 ArF 레이저와 같은 심자외 파장 영역에서 노광 가능한 투명성, 감도, 드라이 에칭 내성, 그리고 해상성을 겸비한 것이며, 기판에 대한 밀착성도 양호한 레지스트를 개발하는 것이 급무로 되어 있다.In order to solve the problems as described above, the present inventors, a copolymer having a substituted hydrocarbon group in the structure, for example, adamantyl methacrylate-t-butyl methacrylate copolymer and adamantyl methacrylate A 3-oxocyclohexyl methacrylate copolymer or the like has been developed as a base resin of a chemically amplified resist, and has already been patented (see, for example, Japanese Patent Application Laid-Open No. 5-346668). The resist which has such a copolymer as a base resin has high transparency in the exposure wavelength, and is excellent also in dry etching tolerance, when an ArF laser etc. are used as an exposure light source. However, since the hydrophobicity is high, the adhesiveness to the substrate which is a base is poor, and there exists another problem which peels from a board | substrate at the time of alkali image development. Therefore, the present invention combines transparency, sensitivity, dry etching resistance, and resolution that can be exposed in a deep ultraviolet wavelength region such as an ArF laser, and it is urgent to develop a resist having good adhesion to a substrate.

본 발명의 목적은, 따라서, 상기한 바와 같은 종래 기술의 문제점을 해결하여, ArF 레이저와 같은 심자외 파장 영여게서 노광 가능한 투명성, 감도, 에칭 내성, 그리고 해상성을 겸비한 것이며, 기판에 대한 밀착성도 양호한 레지스트 조성물을 제공하는 것이다.Accordingly, an object of the present invention is to solve the problems of the prior art as described above, and combine transparency, sensitivity, etching resistance, and resolution that can be exposed in a deep ultraviolet wavelength such as an ArF laser. It is to provide a good resist composition.

본 발명의 목적은, 또한 현상액으로서, 염기성 수용액을 사용할 수 있고, 팽윤이 없는 미세한 패턴을 형성할 수 있는 레지스트 조성물을 제공하는 것이다.It is also an object of the present invention to provide a resist composition which can use a basic aqueous solution as a developer and can form a fine pattern without swelling.

본 발명의 다른 하나의 목적은, 이와 같은 레지스트 조성물을 사용한 레지스트 패턴의 형성 방법을 제공하는 것이다.Another object of the present invention is to provide a method of forming a resist pattern using such a resist composition.

본 발명의 또 다른 목적은, 본 발명 방법에 의해 형성된 레지스트 패턴을 이용하여 반도체 장치를 제조하는 방법을 제공하는 것이다.Still another object of the present invention is to provide a method of manufacturing a semiconductor device using a resist pattern formed by the method of the present invention.

본 발명의 그 외의 목적은, 이하의 상세한 설명에서 용이하게 이해할 수 있을 것이다.Other objects of the present invention will be readily understood from the following detailed description.

제1(a)도 내지 제1(d)도는 본 발명에 의한 레지스트 패턴의 형성 방법의 바람직한 일 실시예를 순차적으로 나타낸 단면도.1 (a) to 1 (d) are cross-sectional views sequentially showing one preferred embodiment of a method of forming a resist pattern according to the present invention.

제2(a)도 내지 제2(f)도는 본 발명에 의한 반도체 장치의 제조 방법의 바람직한 일 실시예의 공정을 순차적으로 나타낸 단면도들이다.2 (a) to 2 (f) are cross-sectional views sequentially showing processes of a preferred embodiment of the method of manufacturing a semiconductor device according to the present invention.

* 도면의 주요부분에 대한 부호의 설명* Explanation of symbols for main parts of the drawings

1 : 기판(실리콘 기판) 2 : 게이트 산화막1 substrate (silicon substrate) 2 gate oxide film

3 : 폴리실리콘막 4 : WSi막3: polysilicon film 4: WSi film

5 : 레지스트 막 6 : N-확산층5: resist film 6: N - diffusion layer

7 : CVD 산화막 8 : 사이드 월7: CVD oxide film 8: side wall

9 : N+확산층 10 : 열 산화막9: N + diffusion layer 10: thermal oxide film

11 : 층간 절연막 12 : 배선11 interlayer insulation film 12 wiring

본 발명자들은, 상기한 과제를 해결하기 위해 예의 연구한 결과, 화학 증폭형 레지스트 조성물에 있어서 기재 수지로서 사용하는 중합체로서, 보호된 카복실산 혹은 페놀을 갖는 단량체 단위와 환상 카보네이트 부분을 포함하는 에스테르기 또는 에테르기를 갖는 단량체 단위를 적어도 포함하는 것을 사용하는 것이 유효하다는 것을 알아내어, 본 발명을 완성하기에 이르렀다. 특히, 이 중합체 중에 포함되어 있는 환상 카보네이트 부분은, 염기성 수용액에서 레지스트 막을 현상하는 단계에서 일부 개환하여 현상액에 가용으로 되고, 카복실산 혹은 페놀에서 보호기의 탈리로 인하여 현상액에 대한 양호한 용해성을 더 한층 높이는 효과가 있다. 또한, 이 환상 카보네이트 부분은, 그 극성이 높기 때문에, 기판에 대한 양호한 밀착성을 보증할 수 있다.MEANS TO SOLVE THE PROBLEM As a result of earnestly researching in order to solve the said subject, as a polymer used as a base resin in a chemically amplified resist composition, it is an ester group containing the monomeric unit which has a protected carboxylic acid or a phenol, and a cyclic carbonate part, or It has been found that it is effective to use at least a monomer unit having an ether group, and the present invention has been completed. Particularly, the cyclic carbonate portion contained in the polymer is partially ring-opened in the step of developing the resist film in the basic aqueous solution to be soluble in the developer, and further enhances good solubility in the developer due to the detachment of the protecting group from the carboxylic acid or phenol. There is. Moreover, since this cyclic carbonate part has high polarity, the favorable adhesiveness to a board | substrate can be ensured.

본 발명은, 그 하나의 면에 있어서, 자체 염기성 수용액에 불용인 중합체이며, (A) 에스테르기, 에테르기, 아세탈기 및 케탈기로 된 군에서 선택한 산에서 탈리 가능한 보호기로 보호된 카복실산 혹은 페놀을 갖는 단량체 단위 I 및 (B) 환상 카보네이트 부분을 포함하는 에스테르기 또는 에테르기를 갖는 단량체 단위 II를 적어도 그 구조 중에 포함하며 또한, 상기 단량체 단위I의 보호기가 산의 작용에 의해 탈리한 때, 염기선 수용액에 가용성으로 될 수 있는 중합체로 된기재 수지와, 결상용 방사선을 흡수하여 분해하면 상기 단량체 단위 I의 보호기를 탈리를 야기할 수 있는 산을 발생할 수 있는 광 산 발생제를 조합하여 포함하는 것을 특징으로 하는, 염기성 수용액에서 현상 가능한 레지스트 조성물에 있다.In one aspect, the present invention is a carboxylic acid or phenol which is a polymer insoluble in its basic aqueous solution and protected by a protecting group that can be detached from an acid selected from the group consisting of (A) an ester group, an ether group, an acetal group and a ketal group. A monomer unit II having an ester group or an ether group comprising a monomeric unit I having a cyclic carbonate portion and (B) at least in its structure, and wherein the protecting group of the monomeric unit I is desorbed by the action of an acid, A combination of a base resin made of a polymer which can be made soluble in a linear aqueous solution, and a photoacid generator capable of absorbing and decomposing imaging radiation and generating an acid which may cause desorption of the protecting group of the monomer unit I. A resist composition developable in a basic aqueous solution is characterized by the above-mentioned.

또한, 본 발명은 다른 하나의 면에 있어서, 레지스트 패턴을 형성하는 방법에 있어서, 하기의 공정:본 발명의 레지스트 조성물을 피처리 기판 상에 도포하고, 형성된 레지스트 막을 상기 레지스트 조성물의 광 산 발생제의 분해를 유기할 수 있는 결상용 방사선을 선택적으로 노광하고, 노광 후의 레지스트 막을 염기성 수용액에서 현상함, 을 포함하여 된 것을 특징으로 하는, 포지티브형 레지스트 패턴의 형성 방법에 있다.In another aspect, the present invention provides a method of forming a resist pattern, comprising the following steps: The resist composition of the present invention is applied onto a substrate, and the formed resist film is a photo acid generator of the resist composition. A method of forming a positive resist pattern, comprising selectively exposing an imaging radiation capable of inducing decomposition of the film, and developing the resist film after exposure in a basic aqueous solution.

본 발명에 의한 레지스트 패턴의 형성 방법에 있어서, 피처리 기판 상에 형성된 레지스트 막은, 그것을 선택 노광 공정을 하기 전후에, 가열 처리를 하는 것이 바람직하다. 즉, 본 발명 방법에서는, 레지스트 막을, 그 노광 전에 프리베이킹처리를 함과 함께, 노광 후에 현상을 행하기 전, 먼저 PEB(Post-Exposure Baking)로 설명한 바의 포스트 베이킹 처리를 행한다. 이들 가열 처리는, 통상의 방법에 따라 유리하게 실시할 수 있다.In the method for forming a resist pattern according to the present invention, the resist film formed on the substrate to be treated is preferably subjected to heat treatment before and after the selective exposure step. That is, in the method of the present invention, the resist film is subjected to a prebaking treatment before the exposure, and before the development is performed after the exposure, a post-baking treatment as described by PEB (Post-Exposure Baking) is first performed. These heat treatments can be advantageously performed in accordance with a conventional method.

또한, 본 발명은 다른 하나의 면에 있어서, 하기의 공정:본 발명의 레지스트 조성물을 피처리 기판 상에 도포하여 레지스트 막을 형성하고, 상기 레지스트 막을 상기 레지스트 조성물의 광 산 발생제의 분해를 유기할 수 있는 결상용 방사선으로 선택적으로 노광하고, 노광 후의 레지스트 막을 염기성 수용액에서 현상하여 레지스트 패턴을 형성하고, 상기 레지스트 패턴을 마스크로 하여, 그 하지의 상기 피 처리 기판을 에칭에 의해 제거함, 을 포함하여 된 것을 특징으로 하는, 반도체 장치의 제조 방법을 제공한다.In another aspect, the present invention provides the following steps: The resist composition of the present invention is applied onto a substrate to be formed to form a resist film, and the resist film is subjected to decomposition of the photoacid generator of the resist composition. Selectively exposing with an image forming radiation, developing the resist film after exposure in a basic aqueous solution to form a resist pattern, and using the resist pattern as a mask to remove the substrate under treatment by etching; The manufacturing method of the semiconductor device characterized by the above-mentioned is provided.

본 발명에 의한 반도체 장치의 제조 방법에서는, 필요에 따라서, 형성된 레지스트 패턴을 하지의 에칭을 위한 마스크로서 사용하는 것이 아니고, 그대로 반도체장치의 1요소, 예를 들어 절연막 등으로 이용하여도 좋다.In the method for manufacturing a semiconductor device according to the present invention, if necessary, the formed resist pattern may not be used as a mask for etching the underlying material, but may be used as it is as one element of the semiconductor device, for example, an insulating film.

본 발명에 의한 레지스트 패턴의 형성 방법 및 반도체 장치의 제조 방법에 있어서, 레지스트 막을 결상용 방사선으로 노광하는 공정은, 사용하는 레지스트의 특성 등에 펙터에 따라서 여러 가지의 노광 광원을 사용하여 실시할 수 있지만, 바람직하게는, 그 레지스트의 특성을 최대한으로 발휘시키기 위해, KrF 엑시머 레이저 혹은 ArF 엑시머 레이저와 같은 단파장 영역의 광원을 사용할 수 있다.In the method for forming a resist pattern and the method for manufacturing a semiconductor device according to the present invention, the step of exposing the resist film with imaging radiation can be performed using various exposure light sources depending on the characteristics of the resist to be used and the like. Preferably, a light source in a short wavelength region, such as a KrF excimer laser or an ArF excimer laser, can be used to maximize the properties of the resist.

본 발명에 의한 레지스트 조성물, 레지스트 패턴의 형성 방법 및 반도체 장치의 제조 방법은, 각각, 이하의 상세한 설명에서 용이하게 이해할 수 있는 바와 같이, 여러 가지 형태로 실시할 수 있다.The resist composition, the method of forming a resist pattern, and the method of manufacturing a semiconductor device according to the present invention can be implemented in various forms, as can be easily understood in the following detailed description, respectively.

본 발명은, 피처리 기판 상에 포지티브형 레지스트 패턴을 형성하기 위한 것, 염기성 수용액에 의해 현상 가능한 화학 증폭형의 레지스트 조성물에 관한 것이다. 본 발명의 이 레지스트 조성물은, 자체 염기성 수용액에 불용인 피막 형성성의 중합체로 된 기재 수지와, 결상용 방사선의 노광 결과로서 산을 발생할 수 있는 광 산 발상제를 조합하여 갖는 것이다. 여기서, 기재 수지로서 사용되는 피막 형성성의 중합체는, 상기한 바와 같이, (A) 에스테르기, 에테르기, 아세탈기 및 케탈기로 된 군에서 선택된 산에서 탈리 가능한 보호기로 보호된 카복실산 혹은 페놀을 갖는 단량체 단위 I 및 (B) 환상 카보네이트 부분을 포함하는 에스테르기 또는 에테르기를 갖는 단량체 단위 II를 적어도 그 구조 중에 포함하며 또한, 상기 단량체 단위 I의 보호기가 산의 작용에 의해 탈리할 때, 염기성 수용액에 가용성으로 될 수 있는 중합체이다.TECHNICAL FIELD This invention relates to forming a positive resist pattern on a to-be-processed substrate, and relates to the chemically amplified resist composition which can be developed by basic aqueous solution. This resist composition of this invention combines the base resin of the film-forming polymer which is insoluble in the basic aqueous solution, and the photoacid generator which can generate | occur | produce an acid as a result of exposure of the imaging radiation. Here, the film-forming polymer used as the base resin has (A) a carboxylic acid or phenol protected with a protecting group that can be detached from an acid selected from the group consisting of an ester group, an ether group, an acetal group and a ketal group as described above. Monomer unit II having an ester group or ether group comprising monomeric unit I and (B) cyclic carbonate moiety is included at least in its structure, and when the protecting group of monomeric unit I is released by the action of an acid, Polymers that can be soluble.

이들 피막 형성성의 중합체는, 통상, 상기한 단량체 단위 I 및 II로 된 공중합체(이성분 공중합체) 혹은 이들 단량체 단위에 제3, 제4단량체 단위가 조합된 다성분의 공중합체(예를 들어, 3성분 공중합체)이다. 이들 공중합체를 구성하는데 사용되는 단량체 단위는, 노볼락 레지스트(Novolak resist) 정도의 드라이 에칭 내성을 얻는 것을 고려하는 경우, 비닐페놀계 단량체 단위, N-치환 말레이드계 단량체 단위, 스티렌계 단량체 단위, 복수개 또는 다환식의 지환식 탄화수소부분을 포함하는 에스테르기를 갖는 (메트)아크릴레이트계 단량체 단위, 즉, 아크릴레이트계 및 메타크릴레이트계 단량체 단위 등이다. 특히 적당한 단량체 단위는, 예를 들어, 보호된 카복실산을 갖는 단량체 단위 I에서는 (메트)아크릴레이트계 단량체 단위, 보호된 페놀을 갖는 단량체 단위 I에서는 비닐페놀계 단량체 단위, 그리고 환상 카보네이트 부분을 포함하는 에스테르기 또는 에테르기를 갖는 단량체 단위 II에서는 (메트)아크릴레이트계 단량체 단위이다. 특히, (메트)아크릴레이트계 단량체 단위는, 노광 광원으로서 단파장 영역의 것을 사용하는 경우에 그와 같은 파장의 광 흡수가 작은 점에 있어서 중요하다. 또한, 이 점에 관련하여, 단파장 영역의 광을 크게 흡수하는 방향족환이나, 공역 이중 결합 등의 몰 흡광 계수가 큰 발색단을 포함하지 않는 단량체 단위를 사용하는 것이 바람직하다.These film-forming polymers are usually copolymers (bicomponent copolymers) of the monomer units I and II described above or multicomponent copolymers in which third and fourth monomer units are combined with these monomer units (for example, , Three-component copolymer). The monomer unit used to construct these copolymers may be a vinylphenol monomer unit, an N-substituted maleide monomer unit, or a styrene monomer unit, in consideration of obtaining dry etching resistance of about novolak resist. And (meth) acrylate monomer units having an ester group including a plurality of or polycyclic alicyclic hydrocarbon moieties, that is, acrylate and methacrylate monomer units. Particularly suitable monomer units include, for example, (meth) acrylate based monomer units in monomeric units I with protected carboxylic acids, vinylphenol based monomeric units in monomeric units I with protected phenols, and cyclic carbonate moieties. In monomeric unit II which has an ester group or an ether group, it is a (meth) acrylate type monomer unit. In particular, the (meth) acrylate-based monomer unit is important in that light absorption at such wavelength is small when using a short wavelength region as an exposure light source. In addition, in connection with this point, it is preferable to use the monomer unit which does not contain the aromatic ring which absorbs the light of a short wavelength region largely, and a chromophore with a large molar extinction coefficient, such as a conjugated double bond.

또한, 상기한 카복실산 혹은 페놀을 갖는 단량체 단위 I의 보호기인 에스테르기, 에테르기, 아세탈기 및 케탈기는, 각각, 여태까지의 문헌 등에서 소개된 것이라면 특별한 제한이 없다. 적당한 보호기의 예는, 이하에 열거하는 것으로 한정되는 것은 아니지만, 다음과 같은 것을 포함한다.In addition, if the ester group, ether group, acetal group and ketal group which are the protecting group of the monomeric unit I which has the said carboxylic acid or phenol are each introduce | transduced in the literature etc., there is no restriction | limiting in particular. Examples of suitable protecting groups include, but are not limited to, those listed below.

Figure kpo00002
Figure kpo00002

상기 식에 있어서, R은 1~4개의 탄소 원자를 갖고 있는 알킬기, 예를 들어 메틸기, 에틸기 등이고, m은 4~8의 정수이며, n은 1~3의 정수이다.In said formula, R is an alkyl group which has 1-4 carbon atoms, for example, a methyl group, an ethyl group, etc., m is an integer of 4-8, n is an integer of 1-3.

또한, 페놀을 갖는 단량체 단위 I의 경우, 다음과 같은 기도 보호기로서 유리하게 도입할 수 있다.In addition, in the case of the monomer unit I which has a phenol, it can introduce advantageously as an airway protecting group as follows.

Figure kpo00003
Figure kpo00003

또한, ArF 에깃머 레이저를 노광 광원으로 하는 경우에는, 특히 카복실산 에스테르의 보호기로서 지환식 탄화수소기가 적당하다. 특히 적당한 지환식 탄화수소기는, 3급 알코올 골격을 갖고 또한 그 3급 알코올에 에스테르 결합하고 있는 지환식 혹은 다환계 지환식 탄화수소기이다. 이들 지환식 탄화수소기는, 특히 투명성이나 드라이 에칭 내성이 면에서 유용하다. 적당한 다환계 지횐식 탄화수소기는, 이하에 그 몇개를 예시한 바와 같은, 노르보난, 아다만탄 및 비시클로(2,2,2)옥탄등이다.Moreover, especially when an ArF emigmer laser is used as an exposure light source, an alicyclic hydrocarbon group is suitable as a protecting group of carboxylic acid ester. Particularly suitable alicyclic hydrocarbon groups are alicyclic or polycyclic alicyclic hydrocarbon groups which have a tertiary alcohol skeleton and are ester-bonded to the tertiary alcohol. These alicyclic hydrocarbon groups are particularly useful in terms of transparency and dry etching resistance. Suitable polycyclic branched hydrocarbon groups are norbornane, adamantane, bicyclo (2,2,2) octane and the like, some of which are illustrated below.

Figure kpo00004
Figure kpo00004

본 발명의 실시에 있어서 유리하게 사용할 수 있는 피막 형성성 중합체는, 보다 구체적으로는, 이하에 열거하는 것에 한정되는 것은 아니지만, 다음 같은 구조식(I),(II) 및 (III)에 의해 표시되는 중합체를 포함한다. 또한, 식 중의 o,p 및 q는, 각각, 상기한 중량 평균 분자량을 얻음에 필요한 단량체 단위(반복 단위)의 수이다.The film-forming polymer which can be advantageously used in the practice of the present invention is not particularly limited to those listed below, but is represented by the following structural formulas (I), (II) and (III). Polymers. In addition, o, p, and q in a formula are the number of the monomeric unit (repeated unit) required in order to obtain said weight average molecular weight, respectively.

Figure kpo00005
Figure kpo00005

상기 식에 있어서, X는, 특히 단서가 있는 경우를 제외하고, 임의의 치환기, 예를 들어, 수소 원자, 할로겐 원자, 예를 들어 염소, 취소(bromine)등, 저급 알킬기, 예를 들어, 메틸기, 에틸기 등, -CO2-R(식 중의 R은 유기기), 시아노기 등이고, PRO는 위에 나타낸 전형 예와 같은 보호기이고, x는 1~10의 정수이며, y는 1~3의 정수이다.In the above formula, X is any substituent, for example, a hydrogen atom, a halogen atom, for example chlorine, bromine, etc., except when there is a clue, a lower alkyl group, for example, a methyl group And -CO 2 -R (wherein R is an organic group), a cyano group, and the like, PRO is a protecting group as in the typical example shown above, x is an integer of 1 to 10, and y is an integer of 1 to 3. .

Figure kpo00006
Figure kpo00006

상기 식에 있어서, X는 PRO, x 및 y는, 각각, 상기의 정의와 같다.In the above formula, X is PRO, x and y are the same as the above definition, respectively.

Figure kpo00007
Figure kpo00007

상기 식에 있어서, ALC는 지환식 탄화수소기이고, PRO는 상기한 정의와 같다. 여기서, 지환식 탄화수소기 ALC의 적당한 예는 이하에 기재하는 것에 한정되는 것은 아니지만, 다음 같은 기를 포함한다.In the above formula, ALC is an alicyclic hydrocarbon group, and PRO is as defined above. Here, the suitable example of alicyclic hydrocarbon group ALC is not limited to what is described below, but contains the following groups.

Figure kpo00008
Figure kpo00008

상기 식에 있어서, x 및 y는 각각 상기 정의와 같고, z는 2~6의 정수이다.In said formula, x and y are the same as the said definition, respectively, z is an integer of 2-6.

상기한 바와 같은 단량체 단위의 중합에 의해 얻어지고 또한 본 발명의 실시에 있어서 유리하게 사용할 수 있는 (메트)아크릴레이트계 중합체 및 그 외의 피막형성성 중합체의 분자량(중량 평균 분자량, Mw)은, 넓은 범위에서 변경 가능하지만, 바람직하게는 2000~1000000의 범위이고, 더 바람직하게는 5000~50000의 범위이다.The molecular weight (weight average molecular weight, Mw) of the (meth) acrylate type polymer and other film forming polymer which are obtained by superposition | polymerization of the above-mentioned monomeric unit, and can be advantageously used in the practice of this invention, is wide Although it is changeable in the range, Preferably it is the range of 2000-1 million, More preferably, it is the range of 5000-50000.

또한, 이들(메트)아크릴레이트계 중합체 및 그 외의 피막 형성성 중합체는, 각각, 중합체 화학에 있어서 일반적으로 사용되는 중합법을 사용하여 제조할 수 있다. 예를 들어, 본 발명의 (메트)아크릴레이트계 중합체는, 본원 명세서에 있어서는 상세한 설명을 생략하지만, 소정의 단량체 성분을 프리 래디칼 개시제로서의 2,2′-아조비스이소부티로니트릴(AIBN)의 존재하에서 프리 래디칼 중합시킴으로써 유리하게 제조할 수 있다.In addition, these (meth) acrylate type polymers and other film-forming polymers can be manufactured using the polymerization method generally used in polymer chemistry, respectively. For example, although the (meth) acrylate type polymer of this invention abbreviate | omits detailed description in this specification, the predetermined monomer component of 2,2'- azobisisobutyronitrile (AIBN) as a free radical initiator is used. It can be produced advantageously by free radical polymerization in the presence.

환상 카보네이트 부분을 포함하는 에스테르기 또는 에테르기를 갖는 단량체 단위 II 및 그를 포함하는 피막 형성성 중합체도, 마찬가지로 일반적인 중합법을 사용하여 제조할 수 있다. 예를 들어, (1) W. N. Haworth 등, J. Chem. Soc., 151(1930), (2) K. Katsuta 등, Bull. Chem. Soc. Jpn., 58, 1699(1985) 및 (3) R. L. Let singer 등, J. Org. Chem., 32, 296(1967)에 개시되어 있는 바와 같이, 1,2- 또는 1,3-디올 부분을 갖는 단량체는, 염기성 화합물의 존재하, 포스겐(1), 트리클로로아세틸클로라이드(2) 또는 클로로-p-니트로페녹시카보네이트(3) 등의 시약으로부터 합성할 수 있다. 또한, G. brindoepke의 독일 특허 제3529263호(1987년)에 개시되어 있는 바와 같이, 에폭시기를 갖는 단량체는, 염화리튬 등의 촉매의 존재하, 이산화탄소를 상압에서 도입함으로써 용이하게 합성할 수 있다. 후자의 방법에서는, 고분자 반응도 가능하고, 예를 들어, 글리시딜 메타크릴레이트로 대표되는 에폭시기를 갖는 단량체를 미리 소망의 상대와 공중합시키고, 그 공중합체의 에폭시기를 상기의 방법에 의해 용이하게 5원환 카보네이트로 변환할 수 있다.(예를 들어, K. Kihara 등, Macromol. Chem., 193, 1481(1992) 등을 참조).Monomer unit II having an ester group or ether group comprising a cyclic carbonate moiety and a film-forming polymer comprising the same can also be produced using a general polymerization method. For example, (1) W. N. Haworth et al., J. Chem. Soc., 151 (1930), (2) K. Katsuta et al., Bull. Chem. Soc. Jpn., 58, 1699 (1985) and (3) R. L. Let singer et al., J. Org. As disclosed in Chem., 32, 296 (1967), monomers having 1,2- or 1,3-diol moieties can be used in the presence of a basic compound in the presence of a basic compound, phosgene (1), trichloroacetyl chloride (2). Or a reagent such as chloro-p-nitrophenoxycarbonate (3). Further, as disclosed in German Patent No. 3529263 (1987) to G. brindoepke, the monomer having an epoxy group can be easily synthesized by introducing carbon dioxide at atmospheric pressure in the presence of a catalyst such as lithium chloride. In the latter method, a polymer reaction is also possible. For example, a monomer having an epoxy group represented by glycidyl methacrylate is copolymerized with a desired partner in advance, and the epoxy group of the copolymer can be easily prepared by the above method. And to cyclic carbonates (see, eg, K. Kihara et al., Macromol. Chem., 193, 1481 (1992) and the like).

상기 설명에서 이해할 수 있는 바와 같이, 본 발명은, (A) 산촉매에 의해 탈보호할 수 있는 에스테르기, 에테르기, 아세탈기 또는 케탈기로 보호된 카복실산 혹은 페놀을 갖는 단량체 단위 I과, (B) 환상 카보네이트 부분을 포함하는 에스테르기 또는 에테르기를 갖는 단량체 단위 II를 적어도 그 구조 중에 포함하는 중합체를 기재 수지로 하는 신규한 레지스트를 제공하는 것이다. 여기서, 현재 범용되고 있는 노볼락 레지스트 정도의 드라이 에칭 내성(예를 들어 RIE 내성)이 필요한 경우에는, 중합체 중의 페놀계의 단량체 단위가, 에스테르기 또는 에테르기로 된 지환족계 화합물의 함유율은 50몰% 정도로 할 필요가 있다. 또한, 지환족계 화합물 중에서도, 고도로 환이 결합하고 있는 다환성 지환족을 에스테르기나 에테르기로 사용하면, 보다 높은 드라이 에칭 내성을 얻을 수 있다. 또한, ArF 엑시머 레이저의 파장(193nm)에 있어서의 투명성은, 상기한 지환족계 혹은 다환성 지환족계를 사용하면, 흡수가 강한 공역 이중 결합이나 방향족환을 포함하지 않는 구조이므로, 매우 유리하다.As can be understood from the above description, the present invention provides (A) a monomer unit I having a carboxylic acid or phenol protected with an ester group, an ether group, an acetal group or a ketal group which can be deprotected by an acid catalyst, and (B A novel resist comprising as a base resin a polymer comprising at least the monomer unit II having an ester group or an ether group comprising a cyclic carbonate moiety in its structure. Here, when dry etching resistance (for example, RIE resistance) about the novolak resist currently used is needed, the content rate of the alicyclic type compound in which the phenolic monomeric unit in the polymer is ester group or ether group is 50 mol%. I need to do that. In addition, among the cycloaliphatic compounds, higher dry etching resistance can be obtained by using a polycyclic alicyclic ring to which a ring is highly bonded, as an ester group or an ether group. In addition, transparency in the wavelength (193 nm) of an ArF excimer laser is very advantageous because the above-mentioned alicyclic or polycyclic alicyclic system does not contain a conjugated double bond or an aromatic ring with strong absorption.

그런데, 본 발명의 중합체에 있어서의, 산촉매에 의해 탈보호될 수 있는 카복실산 혹은 페놀을 갖는 단량체 단위 I의 함유율은, 바람직하게는 20~80중량%이다. 이 단량체 단위의 함유율이 20중량%를 하회하면, 만족스런 현상을 얻을 수 없으며 그에 따라 패터닝이 불가능하게 되고, 또한 그 반대로 80중량%를 상회하면, 염기성 수용액에 용해 가능하게 변화된다. 이러한 단량체 단위의 함유율은, 더 바람직하게는 30~70중량%이다.By the way, the content rate of the monomer unit I which has a carboxylic acid or phenol which can be deprotected by an acid catalyst in the polymer of this invention becomes like this. Preferably it is 20 to 80 weight%. If the content of this monomer unit is less than 20% by weight, a satisfactory phenomenon cannot be obtained and patterning becomes impossible accordingly. If the content of the monomer unit is higher than 80% by weight, on the contrary, it is changed so that it can be dissolved in a basic aqueous solution. The content rate of such a monomer unit becomes like this. More preferably, it is 30 to 70 weight%.

본 발명의 기재 수지로서의 중합체는, 레지스트의 특성을 훼손하지 않는 한, 상기한 단량체 단위 I 및 단량체 단위 II에 더하여 제3, 제4단량체 단위, 예를 들어 2-하이드록시에틸메타크릴레이트, 메틸메타크릴레이트 등의 단량체를 갖고 있어도 좋다. 즉, 위에서도 언급한 바와 같이, 본 발명의 중합체는, 2성분 공중합체 외에, 3성분 공중합체 혹은 4성분 공중합체이어도 좋다. ArF 엑시머 레이저를 노광광원으로 하는 경우는, 페놀이나 공역 이중 결합을 갖는 단량체 단위의 대신에, 심자외 영역에서 높은 투명성을 가짐이 잘 알려져 있는 (메트)아크릴레이트계 중합체를 사용하는 것이 바람직하다. 또한. 이와 같은 (메트)아크릴레이트게 중합체를 사용하는 경우에는, 드라이 에칭 내성을 향상시키기 위하여, 지환족계 혹은 다환성 지환족계 화합물을 그 중합체의 에스테르기에 20%이상~100%미만의 양으로 포함하는 것이 바람직하고, 보다 바람직하게는 30~70%이다.The polymer as the base resin of the present invention is, in addition to the monomer unit I and the monomer unit II described above, as long as the properties of the resist are not impaired, the third and fourth monomer units such as 2-hydroxyethyl methacrylate and methyl. You may have monomers, such as methacrylate. That is, as mentioned above, the polymer of the present invention may be a three-component copolymer or a four-component copolymer in addition to the two-component copolymer. When using an ArF excimer laser as an exposure light source, it is preferable to use the (meth) acrylate type polymer which is well known to have high transparency in the deep ultraviolet region instead of a phenol or a monomer unit having a conjugated double bond. Also. In the case of using such a (meth) acrylate crab polymer, in order to improve dry etching resistance, it is preferable to include an alicyclic or polycyclic alicyclic compound in an amount of 20% or more and less than 100% in the ester group of the polymer. Preferably, it is 30 to 70% more preferably.

본 발명의 레지스트 조성물에 잇어서 상기한 바와 같은 피막 형성성 중합체와 조합시켜 사용하는 광 산 발생제(PAG)는, 레지스트의 화학에 있어서 일반적으로 사용되고 있는 광 산 발생제, 즉, 자외선, 원자외선, 진공 자외선, 전자선, X선, 레이저 광 등의 방사선의 조사에 의해 프로톤산을 생성하는 물질일 수 있다. 본 발명의 실시에 있어서 적당한 광 산 발생제는, 이하에 열거하는 것에 한정되지는 않지만, 다음과 같은 것을 포함한다.The photoacid generator (PAG) used in combination with the film-forming polymer as described above in the resist composition of the present invention is a photoacid generator generally used in the chemistry of the resist, namely ultraviolet rays, far ultraviolet rays, It may be a material generating protonic acid by irradiation of radiation such as vacuum ultraviolet rays, electron beams, X-rays, laser light. Suitable photoacid generators in the practice of the present invention include, but are not limited to, those listed below.

(1) 다음 식에 의해 표시되는 오늄 염류:(1) Onium salts represented by the following formula:

Figure kpo00009
Figure kpo00009

(상기 식에 있어서, R1은, t-부틸기, 알킬기, 예를 들어 메틸기 등, 할로겐, 예를 들어, 염소, 취소 등, 아릴기, 예를 들어, 페닐기 등을 표시하며, 그리고 X1은, BF4, BF6, PF6, AsF6, SbF6, CF3SO3, ClO4등을 나타낸다)(In the above formula, R 1 represents a t-butyl group, an alkyl group, for example, a methyl group, halogen, for example, chlorine, cancellation, etc., an aryl group, for example, a phenyl group, etc., and X 1 Represents BF 4 , BF 6 , PF 6 , AsF 6 , SbF 6 , CF 3 SO 3 , ClO 4, etc.)

(2) 다음 식에 의해 표시되는 술폰산 에스테르류:(2) sulfonic acid esters represented by the following formula:

Figure kpo00010
Figure kpo00010

(3) 다음 식에 의해 표시되는 할로겐화물류:(3) halides represented by the following formula:

Figure kpo00011
Figure kpo00011

(상기 식에 있어서, X2는 할로겐, 예를 들어 Cl, Br 또는 I이고, 단, -CX2기의 1개는 치환 혹은 비치환의 아릴기 또는 알케닐기이어도 좋다).(In the above formula, X 2 is halogen, for example, Cl, Br or I, provided that one of the -CX 2 groups may be a substituted or unsubstituted aryl group or alkenyl group).

(4) 다음 식에 의해 표시되는 s-트리아진 유도체:(4) an s-triazine derivative represented by the following formula:

Figure kpo00012
Figure kpo00012

(상기 식에 있어서, X2는 상기의 정의와 마찬가지이다)(In the above formula, X 2 is the same as the above definition.)

(5) 다음 식에 의해 표시되는 디술폰 유도체:(5) disulfone derivatives represented by the following formula:

Ar-SO2-SO2-ArAr-SO 2 -SO 2 -Ar

(상기 식에 있어서, Ar은 치환 혹은 비치환의 방향족기, 예를 들어 페놀기 또는 할로겐, 메틸기, t-부틸기 등으로 치환된 페놀기 혹은 지환식기를 나타낸다).(In the above formula, Ar represents a substituted or unsubstituted aromatic group, for example, a phenol group or an alicyclic group substituted with a phenol group or a halogen, methyl group, t-butyl group or the like).

(6) 다음 식에 의해 표시되는 이미드 화합물:(6) an imide compound represented by the following formula:

Figure kpo00013
Figure kpo00013

(상기 식에 있어서, X1은 상기의 정의와 마찬가지이다).(In the above formula, X 1 is the same as the above definition).

이들 광 산 발생제는, 본 발명의 레지스트 조성물 중에 있어서 여러 가지의 양으로 사용할 수 있다. 본 발명자들의 지식에 의하면, 광 산 발생제의 사용량은, 바람직하게는, 기재 수지로서의 피막 형성성 중합체의 전량을 기준으로 하여 0.1~50중량%이다. 이 광 산 발생제의 양이 50중량%를 상회하면, 과도하게 광이 흡수되는 결과, 패터닝을 행할 수 없게 된다. 광 산 발생제의 사용량은, 더 바람직하게는, 중합체의 전량을 기준으로 하여 1~15중량%이다.These photoacid generators can be used in various amounts in the resist composition of this invention. According to the knowledge of the present inventors, the usage-amount of a photo acid generator becomes like this. Preferably it is 0.1-50 weight% based on the whole quantity of the film formation polymer as base resin. If the amount of this photoacid generator exceeds 50% by weight, light is excessively absorbed, and as a result, patterning cannot be performed. The amount of the photoacid generator used is more preferably 1 to 15% by weight based on the total amount of the polymer.

또한, 상기에 관련하여, 피막 형성성 중합체와 광 산 발생제로 된 본 발명의 레지스트 조성물의 노광 파장에 있어서의 투과율(막두께 1μm의 레지스트 피막을 석영 기판 상에 형성한 때의 값)이 20%이상이 되도록, 중합체 및 광 산 발생제의 구조 및 광 산 발생제의 사용량을 고려하는 것이 바람직하다.In addition, in connection with the above, the transmittance | permeability (the value at the time of forming a resist film with a film thickness of 1 micrometer on a quartz substrate) in the exposure wavelength of the resist composition of this invention which consists of a film forming polymer and a photo acid generator is 20%. As mentioned above, it is preferable to consider the structure of a polymer and a photo acid generator, and the usage-amount of a photo acid generator.

본 발명의 레지스트 조성물은, 통상, 상기한 피막 형성성 중합체 및 광 산 발생제를 적당한 유기 용매에 용해하여, 레지스트 용액의 형태로 유리하게 사용할 수 있다.In the resist composition of the present invention, the above-mentioned film-forming polymer and photoacid generator are usually dissolved in a suitable organic solvent, and can be advantageously used in the form of a resist solution.

레지스트 용액의 조제에 유용한 유기 용매는, 젖산 에틸, 메틸아밀케톤, 메틸-3-메톡시프로피오네이트, 에틸-3-에톡시프로피오네이트, 프로필렌글리콜 메틸에테르아세테이트 등이 추천 권장되지만, 이들에 한정되지 않는다. 이들의 용매는, 단독으로 사용하여도 좋지만, 필요에 따라서, 2종류 이상의 용매를 혼합하여 사용하여도 좋다. 이들 용매의 사용량은, 특별히 한정되지 않지만, 전형적인 도포법인 스핀 코팅 등의 도포법에 적당한 점도 및 소망의 레지스트 막두께를 얻기에 충분한 양으로 사용하는 것이 바람직하다.Useful organic solvents for preparing a resist solution are recommended, such as ethyl lactate, methyl amyl ketone, methyl-3-methoxypropionate, ethyl-3-ethoxypropionate, propylene glycol methyl ether acetate, and the like. It is not limited. Although these solvent may be used independently, you may mix and use two or more types of solvent as needed. Although the usage-amount of these solvents is not specifically limited, It is preferable to use in the amount sufficient to acquire the viscosity suitable for application | coating methods, such as spin coating which is a typical application | coating method, and desired resist film thickness.

본 발명의 레지스트 용액에서는, 필요에 따라서, 상기한 바와 같은 용매(특히 주용매라 함)에 더하여 보조 용매를 사용하여도 좋다. 보조 용매의 사용은, 용질의 용해성에 따라서는 필요 없지만, 용해도가 낮은 용질을 사용한 경우, 통상, 주용매에 대해서 1~20중량%의 양으로 첨가하는 것이 바람직하고, 보다 바람직하게는 10~20중량%이다. 유용한 보조 용매의 예는, 이것도 이하에 열거하는 것에 한정되지는 않지만, 초산 부틸, γ-부티로락톤, 프로필렌글리콜 메틸에테르 등을 포함한다.In the resist solution of this invention, you may use an auxiliary solvent in addition to the above-mentioned solvent (especially a main solvent) as needed. Although the use of an auxiliary solvent is not necessary depending on the solubility of the solute, when a solubility with a low solubility is used, it is usually preferable to add it in an amount of 1 to 20% by weight relative to the main solvent, more preferably 10 to 20 Weight percent. Examples of useful co-solvents include, but are not limited to, those listed below, butyrate acetate, γ-butyrolactone, propylene glycol methyl ether and the like.

본 발명에 의한 레지스트 조성물은, 이상의 설명에서 충분히 이해 가능할 것이다. 여기서, 본 발명의 레지스트 조성물을 작용의 면에서 더 설명하면, 다음과 같다:The resist composition according to the present invention will be fully understood from the above description. Here, the resist composition of the present invention is further described in terms of function, as follows:

본 발명의 최대 특징은, 기재 수지로서 사용하는 중합체에 있어서, 종래의 산에서 탈리하는 카복실산 혹은 페놀의 보호기가 노광 후 가열함으로써 탈리할 뿐만아니라, 환상 카보네이트가 염기성 수용액으로 되는 현상액 중에서 일부 개환하여 현상액에 가용이 되는 것이고, 이에 의해서, 종래의 화학 증폭형 레지스트(공중합체 중 일방의 단량체 성분만이 탈리하여, 용해성에 변화를 주는 것) 보다도 높은 감도와 해상성을 동시에 구현할 수 있다. 또한, 종래의 화학 증폭형 레지스트에 관하여는, 예를 들어, Kaimoto 등, Proc. SPIE, vol. 1672, 66-73(1992)에 기재되어 있다.In the polymer used as a base resin, the biggest characteristic of this invention WHEREIN: The protecting group of the carboxylic acid or phenol which detach | desorbs from the conventional acid does not only detach | desorb by heating after exposure, but also ring-opens in the developing solution which cyclic carbonate becomes basic aqueous solution, This makes it possible to simultaneously realize higher sensitivity and resolution than conventional chemically amplified resists (only one monomer component in the copolymer is removed to change the solubility). In addition, regarding the conventional chemically amplified resist, for example, Kaimoto et al., Proc. SPIE, vol. 1672, 66-73 (1992).

ArF 엑시머 레이저를 노광 광원으로 하는 경우는, 공역 이중 결합을 포함하지 않는 (메트)아크릴레이트계 중합체를 기재 수지로서 사용하는 것이 바람직하다. 지환족, 다환성 지환족 및 환상 카보네이트는, 각각 파장 190~250nm에 있어서 몰흡광 계수가 큰 발색단을 포함하지 않는 구조이므로, 적량의 결상용 방사선을 흡수하여 분해하면 산을 발생하고, 상기 에스테르기를 탈리시킬 수 있는 광 산 발생제(PAG)에 조합시키면, 파장 193nm의 심자외선을 사용한 노광에도 유리하게 대응할 수 잇는 고감도의 레지스트를 실현할 수 있다.When using an ArF excimer laser as an exposure light source, it is preferable to use the (meth) acrylate type polymer which does not contain a conjugated double bond as a base resin. Since the alicyclic, polycyclic alicyclic and cyclic carbonates each do not contain a chromophore having a large molar extinction coefficient at a wavelength of 190 to 250 nm, the acid is generated by absorbing and decomposing an appropriate amount of imaging radiation. When combined with a photo acid generator (PAG) that can be detached, a highly sensitive resist that can advantageously cope with exposure using deep ultraviolet light having a wavelength of 193 nm can be realized.

PAG는, 결상용 방사선을 흡수하여 산을 발생한다. 생성된 산은 촉매로 되고, 가열함으로써, 레지스트 막의 노광부에 있어서 다음과 같은 반응이 발생한다. 또한, 중합체 중의 환상 카보네이트는, 일반적으로 산에 대해서는 안정하지만, 그 중합체와 조합하여 사용되는 PAG가 초강산을 발생하는 것이 많고 열도 가하므로, 일부는 탈탄산하여 디올로 변화하는 것이다.PAG absorbs the imaging radiation and generates an acid. The generated acid becomes a catalyst and is heated to generate the following reaction in the exposed portion of the resist film. In addition, although the cyclic carbonate in a polymer is generally stable with respect to an acid, since PAG used in combination with this polymer produces | generates a super strong acid and heat | fever is added, a part decarboxylates and changes into a diol.

Figure kpo00014
Figure kpo00014

Figure kpo00015
Figure kpo00015

에스테르기가 탈리했으므로 고극성으로 된 노광부에서는, 곧 이어서 염기성 수용액에 의한 현상의 사이에 이하의 반응이 일어나고, 염기성 수용액에 의한 현상성이 일층 향상된다. 레지스트 막의 미노광부에서는, 상대 단량체 단위가 미반응이므로 소수성이 강해 현상액이 침투하지 않으므로, 하기의 반응은 레지스트 막의 극히 표면에만 한정된다. 이로 인해, 미노광부의 용해가 촉진되어 콘트라스트가 저하하는 것과 같은 악영향은 없다.In the exposure part which became high polarity, since the ester group detach | desorbed, the following reaction arises immediately between image development by basic aqueous solution, and developability by basic aqueous solution improves further. In the unexposed portion of the resist film, since the relative monomer unit is unreacted, the hydrophobicity is strong and the developer does not penetrate. Therefore, the following reaction is limited only to the very surface of the resist film. For this reason, there is no adverse effect like dissolution of an unexposed part and a contrast falling.

Figure kpo00016
Figure kpo00016

본 발명의 중합체에서는, 그 단량체 단위의 카복실산 혹은 페놀의 부분에 산촉매의 존재하에 있어서의 가열에 의해 용이하게 탈리 가능한 관능기를 도입하고 있으므로, 그 탈리에 의해서 프로톤산을 재생할 수 있고, 따라서, 고감도를 달성할 수 있다. 또한, 관능기의 탈리후에는 카복실산 혹은 페놀이 생성하므로, 레지스트 막의 노광부는 염기에 가용으로 되고, 따라서, 염기성 수용액에서 현상할 수 있다.In the polymer of the present invention, since a functional group which can be easily detached by heating in the presence of an acid catalyst is introduced into the carboxylic acid or phenol portion of the monomer unit, protonic acid can be regenerated by the desorption, thus providing high sensitivity. Can be achieved. In addition, since the carboxylic acid or phenol is produced after the detachment of the functional group, the exposed portion of the resist film becomes soluble in the base, and thus can be developed in the basic aqueous solution.

얻어진 레지스트 패턴은, 노광부를 용해 제거시키므로, 포지티브형 패턴이다. 또한, 본 발명에서는, 중합체에 있어서 생성된 극성의 변화를 이용하여 패턴 형성을 행하므로, 팽윤이 없는 패턴이 얻어진다.Since the obtained resist pattern dissolves and removes an exposure part, it is a positive pattern. Moreover, in this invention, since pattern formation is performed using the change of the polarity produced | generated in a polymer, the pattern without swelling is obtained.

본 발명은 또한, 상기한 바와 같은 레지스트 조성물을 사용하여, 피처리 기판 상에 레지스트 패턴, 특히 포지티브형 레지스트 패턴을 형성하는 방법도 제공한다. 본 발명에 의한 레지스트 패턴의 형성 방법은, 하기의 공정:The present invention also provides a method of forming a resist pattern, in particular a positive resist pattern, on a substrate to be treated using the resist composition as described above. The method of forming a resist pattern according to the present invention comprises the following steps:

본 발명의 레지스트 조성물을 피처리 기판 상에 도포하고, 형성된 레지스트 막을 상기 레지스트 조성물이 광 산 발생제의 분해를 유기할 수 있는 결상용 방사선으로 선택적으로 노광하고, 노광 후의 레지스트 막을 염기성 수용액에서 현상함, 을 포함하여 된 것을 특징으로 한다.The resist composition of the present invention is applied onto a substrate to be treated, and the formed resist film is selectively exposed to the imaging radiation in which the resist composition can induce decomposition of the photo acid generator, and the exposed resist film is developed in a basic aqueous solution. It characterized in that, including.

본 발명 방법에 의한 레지스트 패턴의 형성은, 통상, 다음과 같이 하여 실시할 수 있다(제1도를 참조).Formation of the resist pattern by the method of this invention can be normally performed as follows (refer FIG. 1).

먼저, 제1(a)도에 나타낸 바와 같은 피처리 기판(1)을 준비한 후, 그 피처리 기판(1) 상에, 제1(b)도에 나타낸 바와 같이, 본 발명의 레지스트 조성물을 도포하여 레지스트 막(5)을 형성한다. 피처리 기판은, 반도체 장치, 그 외의 장치에 있어서 통상 사용되고 있는 기판일수 있고, 그 몇 개를 예로서, 실리콘 기판, 유리 기판, 비자성 세라믹 기판, 화합물 반도체 기판, 알루미나 등의 절연성 결정 기판 등을 열거할 수 있다. 또한, 이들 기판의 상방에는, 필요에 따라서, 추가의 층, 예를 들어 실리콘 산화막, 배선용 금속층, 층간 절연막, 자성막 등이 존재하여도 좋고, 또한, 각종의 배선, 회로 등이 만들어져 있어도 좋다. 본원 명세서에서는, 이들 추가의 층 혹은 각종의 배선, 회로 등을 총칭하여, 「피 에칭층」이라 한다. 피 에칭층은, 그 재료의 전형예를 구체적으로 열거하면, PSG, TEOS, SiON, TiN, 아모포스 카본, Al-Si, Al-Si-Cu, WSi 등의 금속 실리사이드, 폴리실리콘, 아모포스 실리콘, SiO2, Ga-As, 등이다. 또한, 피처리 기판은, 그것에 대한 레지스트 막의 밀착성을 높이기 위해, 통상의 방법에 따라서 소수화 처리되어 있어도 좋다. 적당한 소수화 처리제로서는, 예를 들어 1,1,1,3,3,3-헥사메틸디실라잔 등을 들 수 있다.First, after preparing the to-be-processed board | substrate 1 as shown to FIG. 1 (a), on the to-be-processed board | substrate 1, as shown to FIG. 1 (b), the resist composition of this invention is apply | coated The resist film 5 is formed. The substrate to be processed may be a substrate commonly used in semiconductor devices and other devices, and examples thereof include an insulating crystal substrate such as a silicon substrate, a glass substrate, a nonmagnetic ceramic substrate, a compound semiconductor substrate, and alumina. Can be enumerated. In addition, an additional layer, for example, a silicon oxide film, a metal layer for wiring, an interlayer insulating film, a magnetic film, or the like may exist above these substrates, and various wirings, circuits, and the like may be formed. In this specification, these additional layers or various wirings, circuits, and the like are collectively referred to as "etched layers". If the etched layer specifically lists typical examples of the material, metal silicides such as PSG, TEOS, SiON, TiN, amorphous carbon, Al-Si, Al-Si-Cu, WSi, polysilicon and amorphous silicon , SiO 2 , Ga-As, and the like. In addition, the substrate to be processed may be hydrophobized in accordance with a conventional method in order to improve the adhesion of the resist film to the substrate. As a suitable hydrophobization treatment agent, 1,1,1,3,3,3-hexamethyldisilazane etc. are mentioned, for example.

레지스트 조성물의 도포는, 상기한 바와 같이, 그것을 레지스트 용액으로 하여 피처리 기판 상에 도포하는 것이 바람직하다. 레지스트 용액의 도포는, 스핀 코팅, 롤 코팅, 딥 코팅 등의 사용의 기법이지만, 특히 스핀 코팅이 유용하다. 레지스트 막 두께는, 약 0.1~200μm의 범위가 추천 권장되지만, KrF 노광의 경우는, 약 0.1~1.5μm가 추천 권장된다. 또한, 형성된 레지스트 막의 두께는, 그 레지스트 막의 용도 등의 펙터에 따라서 넓게 변경할 수 있다.As mentioned above, it is preferable to apply | coat a resist composition on a to-be-processed board | substrate using it as a resist solution. Application of the resist solution is a technique of use of spin coating, roll coating, dip coating, etc., but spin coating is particularly useful. Although the range of about 0.1-200 micrometers is recommended for the resist film thickness, about 0.1-1.5 micrometers is recommended in the case of KrF exposure. In addition, the thickness of the formed resist film can be changed widely according to factors, such as the use of the resist film.

기판 상에 도포한 레지스트 막은, 그것을 결상용 방사선으로 선택적으로 노광하기 전에, 약 60~160℃의 온도에서 약 60~120초간에 걸쳐서 프리베이킹하는 것이 바람직하다. 이 프리베이킹은, 레지스트 프로세스에서 상용하는 가열 수단을 사용하여 실시할 수 있다. 적당한 가열 수단으로서, 예를 들어, 핫 플레이트, 적외선 가열 오븐, 마이크로파 오븐 등을 들 수 있다.The resist film coated on the substrate is preferably prebaked for about 60 to 120 seconds at a temperature of about 60 to 160 ° C. before selectively exposing it to imaging radiation. This prebaking can be performed using heating means commonly used in a resist process. As suitable heating means, a hotplate, an infrared heating oven, a microwave oven, etc. are mentioned, for example.

다음으로, 제1(c)도에 나타낸 바와 같이, 프리베이킹 후의 레지스트 막(1)을 상용의 노광 장치에서 결상용 방사선으로 선택적으로 노광한다. 도면 중에서, 노광 방사선은 화살표로 표시되어 있다. 적당한 노광 장치는, 시판의 자외선(원자외선, 심자외선) 노광 장치, X선 노광 장치, 전자빔 노광 장치, 엑시머 스테퍼 등이다. 노광 조건은, 그 때마다, 적당한 조건을 선택할 수 있다. 특히, 본 발명에서는, 앞에서도 언급한 바와 같이, 엑시머 레이저(파장 248nm의 KrF 레이저 및 파장 193nm의 ArF 레이저)를 노광 광원으로서 유리하게 사용할 수 있다. 부언하면, 본원 명세서에서는, 만약 “방사선”이라는 말을 사용한 경우, 이들의 여러 가지의 광원에서의 광, 즉, 자외선, 원자외선, 심자외선, 전자빔(EB), X선, 레이저 광 등을 의미하는 것으로 한다. 이 선택적 노광의 결과, 레지스트 막의 노광 영역에 포함되는 용해금지제 화합물이 방사선을 흡수하고, 분해하여, 당해 노광 영여을 염기성 수용액에 대해 가용화한다.Next, as shown in FIG. 1 (c), the resist film 1 after prebaking is selectively exposed to imaging radiation by a commercial exposure apparatus. In the figure, exposure radiation is indicated by an arrow. Suitable exposure apparatuses are commercial ultraviolet (ultraviolet, deep ultraviolet) exposure apparatus, X-ray exposure apparatus, electron beam exposure apparatus, excimer stepper, and the like. As the exposure conditions, appropriate conditions can be selected each time. In particular, in the present invention, as mentioned above, an excimer laser (A KrF laser having a wavelength of 248 nm and an ArF laser having a wavelength of 193 nm) can be advantageously used as an exposure light source. In addition, in the present specification, when the term "radiation" is used, it means light from various light sources, that is, ultraviolet light, far ultraviolet light, deep ultraviolet light, electron beam (EB), X-ray, laser light and the like. I shall do it. As a result of this selective exposure, the dissolution inhibiting compound contained in the exposure region of the resist film absorbs and decomposes the radiation, so that the exposure is solubilized with respect to the basic aqueous solution.

다음으로, 노광 후의 레지스트 막을 노광 후 베이킹(PEB)함으로써, 산을 촉매로 한 보호기의 탈리 반응을 일으킨다. 이 노광 후 베이킹은, 앞의 프리베이킹과 마찬가지로 행할 수 있다. 예를 들어, 베이킹 온도는 약 60~150℃, 바람직하게는 약 100~150℃이다.Next, post-exposure baking (PEB) of the exposed resist film causes desorption reaction of the protecting group using the acid as a catalyst. This post-exposure baking can be performed similarly to the above prebaking. For example, the baking temperature is about 60-150 ° C., preferably about 100-150 ° C.

노광 후 베이킹을 완료한 후, 노광 후의 레지스트 막을 현상액으로서의 염기성 수용액에서 현상한다. 이 현상을 위해, 스핀 디벨로퍼(spin developer), 딥 디벨로퍼(dip developer), 또는 스프레이 디벨로퍼(spray developer) 등의 상용의 현상 장치를 사용할 수 있다. 여기서, 현상액으로서 유리하게 사용할 수 있는 염기성 수용액은, 수산화 칼륨 등으로 대표되는 주기율표의 I, II족에 속하는 금속의 수산화물의 수용액이나, 수산화 테르라알킬암모늄 등의 금속 이온을 함유하지 않는유기 염기의 수용액이다. 염기성 수용액은, 보다 바람직하게는, 수산화 테트라메틸암모늄(TMAH), 수산화 테트라에틸암모늄(TEAH) 등의 수용액이다. 또한, 이러한 염기성 수용액은, 그 현상 효과의 향상을 위하여, 계면 활성제 등과 같은 첨가물을 함유하고 있어도 좋다. 현상의 결과로서, 제1(d)도에 나타낸 바와 같이, 레지스트 막의 노광 영역이 용해 제거되어, 미노광 영역만이 레지스트 패턴(5)으로서 기판(1)상에 잔류한다.After the post-exposure baking is completed, the resist film after exposure is developed in a basic aqueous solution as a developer. For this phenomenon, a commercial developing device such as a spin developer, a deep developer, or a spray developer can be used. Here, the basic aqueous solution which can be advantageously used as a developer is an aqueous solution of a hydroxide of a metal belonging to Groups I and II of the periodic table represented by potassium hydroxide or the like or an organic base containing no metal ions such as teraalkylammonium hydroxide. Aqueous solution. The basic aqueous solution is more preferably an aqueous solution of tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH) or the like. Moreover, such basic aqueous solution may contain additives, such as surfactant, etc. in order to improve the image development effect. As a result of the development, as shown in FIG. 1 (d), the exposed area of the resist film is dissolved and removed, and only the unexposed area remains on the substrate 1 as the resist pattern 5.

본 발명은 또한, 상기한 바와 같이 하여 형성한 레지스트 패턴을 마스크로서 사용하여, 반도체 장치를 제조하는 방법도 제공한다. 본 발명에 의한 이 반도체 장치의 제조 방법은, 하기의 공정:This invention also provides the method of manufacturing a semiconductor device using the resist pattern formed as mentioned above as a mask. The manufacturing method of this semiconductor device by this invention is the following process:

본 발명의 레지스트 조성물을 피처리 기판 상에 도포하여 레지스트 막을 형성하고, 상기 레지스트 막을 상기 레지스트 조성물의 광 산 발생제의 분해를 유기할 수 있는 결상용 방사선으로 선택적으로 노광하고, 노광 후의 레지스트 막을 염기성 수용액에서 현상하여 레지스트 패턴을 형성하고, 상기 레지스트 패턴을 마스크로 하여, 그 하지의 상기 피처리 기판을 에칭에 의해 제거함, 을 포함하여 된 것을 특징으로 한다.The resist composition of the present invention is applied onto a substrate to be treated to form a resist film, the resist film is selectively exposed to imaging radiation capable of inducing decomposition of the photoacid generator of the resist composition, and the resist film after exposure is basic. It develops in aqueous solution, and forms a resist pattern, The said to-be-processed board | substrate of the base material is removed by etching using the said resist pattern as a mask, It is characterized by the above-mentioned.

이 방법에서, 레지스트 막의 형성, 방사선에 의한 선택 노광, 그리고 레지스트 패턴의 형성 공정은, 앞에서 제1도을 참조하여 설명한 바와 같은 방법에 따라서 유리하게 실시할 수 있다.In this method, the formation of the resist film, the selective exposure by radiation, and the formation of the resist pattern can be advantageously performed in accordance with the method described above with reference to FIG.

또한, 이어지는 에칭 공정은, 웨트 에칭 혹은 드라이 에칭으로 실시 할 수 있고, 바람직하게는 드라이 에칭이다. 드라이 에칭은, 주지한 바와 같이, 기상 중에서 피처리 기판을 에칭하는 것이다. 적당한 드라이 에칭은, 플라즈마 에칭, 예를 들어 반응성 이온 에칭(RIE), 반응성 이온빔 에칭(RIBE), 이온빔 에칭 등이다. 이들 드라이 에칭은, 상업적으로 입수 가능한 에칭 장치를 사용하여, 소정의 조건 하에서 실시할 수 있다.In addition, the following etching process can be performed by wet etching or dry etching, Preferably it is dry etching. As is well known, dry etching etches a substrate to be processed in a gaseous phase. Suitable dry etching is plasma etching, for example reactive ion etching (RIE), reactive ion beam etching (RIBE), ion beam etching and the like. These dry etching can be performed on predetermined conditions using the commercially available etching apparatus.

또한, 본 발명 방법에 의해 형성된 레지스트 패턴은, 통상, 하지로서 존재하는 피처리 기판의 에칭의 마스크로서 유리하게 이용할 수 있지만, 그 레지스트 패턴이 특성 등에 관한 소정의 요건을 만족하는 것이면, 반도체 장치의 1요소, 예를 들어 절연막 등으로 하여 사용해도 좋다.In addition, although the resist pattern formed by the method of this invention is normally advantageously used as a mask of the etching of the to-be-processed substrate which exists as a base, as long as the resist pattern satisfy | fills predetermined requirements regarding a characteristic etc. of a semiconductor device, It may be used as one element, for example, as an insulating film.

여기서, 「반도체 장치」로는, 특히 한정되는 것이 아니고, 이 기술 분야에 있어서 일반적으로 인식되고 있는 바와 같은, IC, LSI, VLSI 등의 반도체 주 회로 일반 혹은 그 외의 관련 디바이스를 가리킨다. 좀 더 특정하면, 본 발명의 반도체 장치는, 고집적화 혹은 미세 가공이 가능한, 환언하면, 0.2μm 이하의 패턴룰을 지닌 반도체 장치이다. 이와 같은 반도체 장치로서, 이하에 열거하는 것에 한정되는 것은 아니지만, 예를 들어, MOS 트랜지스터 등을 들 수 있다.Here, the "semiconductor device" is not particularly limited, and refers to a general semiconductor main circuit such as IC, LSI, VLSI, or other related devices as generally recognized in the technical field. More specifically, the semiconductor device of the present invention is a semiconductor device having a pattern rule of 0.2 μm or less, that is, capable of high integration or fine processing. As such a semiconductor device, it is not limited to what is enumerated below, For example, a MOS transistor etc. are mentioned.

본 발명 방법에 의한 반도체 장치의 제조는, 그 일 예를 나타내면, 제2도에 순서를 따라 나타낸 바와 같이 실시할 수 있다. 또한, 도시의 예는, MOS 트랜지스터의 제조예이다.The manufacture of a semiconductor device by the method of the present invention can be carried out as shown in the order of FIG. In addition, the example of illustration is a manufacture example of a MOS transistor.

먼저, 제2(a)도에 나타낸 바와 같이, 실리콘 기판(1) 상에, 게이트 산화막(2), 폴리실리콘막(3), 그리고 SWi 막(4)을 순차 형성한다. 각각의 박막의 형성은, 이 기술 분야에 있어서 통상 행해지고 있는 바와 같이, 열산화, 화학 증착법(CVD법) 등에 의해 행할 수 있다.First, as shown in FIG. 2 (a), the gate oxide film 2, the polysilicon film 3, and the SWi film 4 are sequentially formed on the silicon substrate 1. Formation of each thin film can be performed by thermal oxidation, chemical vapor deposition (CVD method), etc. as is normally done in this technical field.

다음에, 본 발명에 의한 레지스트 조성물을 최상층인 WSi 막 상에 도포하여 레지스트 막을 형성한다. 이 레지스트 막에 그 패터닝에 맞는 방사선, 예를 들어 엑시머 레이저 광을 선택적으로 조사하여, 염기성 수용액에서 현상한다. 얻어진 레지스트 패턴을 마스크로 하여, 하지의 폴리실리콘막 및 WSi 막을 드라이 에칭한다. 폴리실리콘막 및 WSi 막으로 된 게이트 전극을 형성한 후, 이온 주입에 의해 인을 주입하여 LDD 구조의 N-확산층을 형성한다. 이와 같은 일련의 공정을 거쳐, 제2(b)도에 나타낸 바와 같은 게이트 전극 구조가 얻어진다. 도면에서, 참조 부호 5가 레지스트 막(마스크로서 사용), 그리고 참조 부호 6이 N- 확산층이다.Next, the resist composition according to the present invention is applied onto the WSi film, which is the uppermost layer, to form a resist film. The resist film is selectively irradiated with radiation suitable for its patterning, for example excimer laser light, and developed in a basic aqueous solution. The underlying polysilicon film and the WSi film are dry-etched using the obtained resist pattern as a mask. After forming a gate electrode made of a polysilicon film and a WSi film, phosphorus is implanted by ion implantation to form an N diffusion layer having an LDD structure. Through such a series of steps, a gate electrode structure as shown in FIG. 2 (b) is obtained. In the figure, reference numeral 5 denotes a resist film (used as a mask), and reference numeral 6 denotes an N-diffusion layer.

게이트 전극 상의 레지스트 막을 박리 제거한 후, 제2(c)도에 나타낸 바와 같이, 산화막(7)을 CVD에 의해 전면적으로 형성한다. 또한, 형성된 CVD 막(7)을 제2(d)도에 나타낸 바와 같이 이방성 에칭하여, 폴리실리콘 막(3) 및 WSi 막(4)으로 된 게이트 전극의 측벽부에 사이드 월(8)을 형성한다. 계속하여, WSi 막(4) 및 사이드 월(8)을 마스크로하여 이온 주입을 행하여, N+확산층(9)을 형성한다. 이어서, 제2(e)도에 나타낸 바와 같이, 게이트 전극을 열 산화막(10)으로 피복한다.After the resist film on the gate electrode is peeled off, the oxide film 7 is entirely formed by CVD, as shown in FIG. 2 (c). In addition, the formed CVD film 7 is anisotropically etched as shown in FIG. 2 (d) to form sidewalls 8 in the sidewall portions of the gate electrodes made of the polysilicon film 3 and the WSi film 4. do. Subsequently, ion implantation is performed using the WSi film 4 and the sidewalls 8 as a mask to form an N + diffusion layer 9. Next, as shown in FIG. 2 (e), the gate electrode is covered with the thermal oxide film 10.

최후에, 기판의 최상층에 층간 절연막을 CVD에 의해 전면적으로 형성하고, 본 발명의 레지스트 조성물을 다시 도포하여 선택적으로 에칭하여, 배선 형성부에 홀 패턴을 형성한다. 또한, 이 레지스트 패턴을 마스크로 하여 하지의 층간 절연막을 에칭하고, 콘택홀을 개공한다. 이 콘택홀에 알루미늄(Al) 배선을 채워 넣으면, 제2(f)도에 도시한 바와 같이, N채널의 미세 MOS 트랜지스터가 완성된다. 도면에서, 참조 부호 11은 층간 절연막, 그리고 참조 부호 12는 Al 배선이다.Finally, an interlayer insulating film is formed over the top layer of the substrate by CVD, and the resist composition of the present invention is applied again and selectively etched to form a hole pattern in the wiring forming portion. Further, using the resist pattern as a mask, the underlying interlayer insulating film is etched to open a contact hole. When the aluminum (Al) wiring is filled in this contact hole, as shown in FIG. 2 (f), the N-channel fine MOS transistor is completed. In the figure, reference numeral 11 denotes an interlayer insulating film, and reference numeral 12 denotes an Al wiring.

다음으로, 본 발명을 기재 수지로서의 피막 형성성 중합체의 합성 및 레지스트 조성물의 조제 및 레지스트 패턴의 형성 및 반도체 장치의 제조에 관하여 몇 개의 실시예를 참조하여 설명한다. 또한, 하기의 실시예는 단지 일 예에 불과하며, 이것에 의해 본 발명의 범위가 한정되는 것은 아니다.Next, the present invention will be described with reference to several examples regarding the synthesis of a film-forming polymer as a base resin, preparation of a resist composition, formation of a resist pattern, and production of a semiconductor device. In addition, the following Example is only an example, The scope of the present invention is not limited by this.

[실시예 1]Example 1

4-t-부톡시카복닐옥시스티렌/4-비닐페놀/프로필렌카보네이트메타크릴레이트 공중합체의 합성Synthesis of 4-t-butoxycarbonyloxystyrene / 4-vinylphenol / propylenecarbonate methacrylate copolymer

100ml의 가지형 플라스크에, 7.82g(63밀리몰)의 비닐페놀, 4.69g(33밀리몰)의 글리시딜메타크릴레이트, TeflonTM-코팅된 스터링바(stirring bar), 33ml의 디옥산 및 2.44g(14.9밀리몰)의 아조비스이소부티로니트릴(AIBN)을 첨가하고, 질소 분위기 하에 70℃에서 8시간 교반했다. 반응 용액을 테트라하이드로푸란(THF)으로 희석한 후, 소량의 하이드로퀴논을 함유하는 1.5리터의 에테르로 적하했다. 생성한 침전을 글래스 필터로 필터링하고, 0.1mmHg 및 45℃에서 16시간 건조시켰다. 얻어진 백색의 분말을 다시 THF에 용해시켜, 상기한 것과 동일하게 침전~건조 작업을 2회 반복했다. 백색의 수지 분말이 얻어졌다.In a 100 ml eggplant flask, 7.82 g (63 mmol) of vinylphenol, 4.69 g (33 mmol) glycidyl methacrylate, Teflon -coated stiring bar, 33 ml dioxane and 2.44 g (14.9 mmol) of azobisisobutyronitrile (AIBN) was added and stirred at 70 ° C. for 8 hours under a nitrogen atmosphere. The reaction solution was diluted with tetrahydrofuran (THF) and then added dropwise with 1.5 liter of ether containing a small amount of hydroquinone. The resulting precipitate was filtered with a glass filter and dried at 0.1 mm Hg and 45 ° C. for 16 hours. The obtained white powder was dissolved in THF again, and the precipitation-drying operation was repeated twice in the same manner as described above. White resin powder was obtained.

다음에, 얻어진 백색 분말을 100ml의 삼구 플라스크에 넣고, 또한 TeflonTM코팅된 스터링바를 넣고, 딤로드 콘덴서 및 이산화탄소 도입관을 부착한 후, 100ml의 N-메틸피롤리돈(NMP)에 용해했다. 플라스크의 내용물을, 드라이아이스를 기화함으로써 이산화탄소를 도입하면서, 100℃에서 3시간 교반했다. 반응 혼합물ㅇ르 1.5리터의 물-에탄올 혼합 용액(1:1)에 적하했다. 생성한 침전을 글래스 필터로 필터링하고, 0.1mmHg 및 45℃에서 16시간 건조시켰다. 얻어진 백색의 분말을 THF에 용해시켜, 상기한 것과 같은 침전~건조 작업을 2회 반복했다. 10.2g의 백색 수지 분말이 얻어졌다. 이 백색 분말의 조성비를1H NMR에서 조사한 바, 페놀:메타크릴레이트=70:30임이 판명됐다.Next, the obtained white powder was placed in a 100 ml three-necked flask, followed by a Teflon coated sterling bar, a dim rod condenser and a carbon dioxide inlet tube were attached, and then dissolved in 100 ml of N-methylpyrrolidone (NMP). The contents of the flask were stirred at 100 ° C for 3 hours while introducing carbon dioxide by evaporating dry ice. The reaction mixture was added dropwise to 1.5 liter of water-ethanol mixed solution (1: 1). The resulting precipitate was filtered with a glass filter and dried at 0.1 mm Hg and 45 ° C. for 16 hours. The obtained white powder was dissolved in THF, and the same precipitation-drying operation as described above was repeated twice. 10.2 g of a white resin powder were obtained. The composition ratio of this white powder was examined by 1 H NMR, and it was found that phenol: methacrylate = 70: 30.

이어서, 얻어진 백색 분말을 100ml의 가지형 플라스크에 넣고, TeflonTM코팅된 스터링바 및 100ml의 디옥산을 첨가했다. 질소 분위기하, 1.68g(15밀리몰)의 칼륨-t-부틸디카보네이트를 첨가하여 0℃에서 4시간 교반하고, 계속해서 3g(13.9밀리몰)의 디-t-부틸디카보네이트를 가하여 0℃에서 3시간 교반했다. 반응 용액을 농축 후, 1.5리터의 메탄올에 적하하여 침전시켰다. 생성된 침전을 글래스 필터로 필터링하여, 0.1mmHg 및 45℃에서 16시간 건조시켰다. 얻어진 백색의 분말을 THF에 용해시켜, 상기한 것과 같은 침전~건조 작업을 2회 반복했다. 백색의 수지 분말이 얻어졌다. 이 백색 분말의 조성비를1H NMR에서 조사한 바, 공중합비가, 페놀:부톡시카보니레ㅍ놀:메타크릴레이트=31:39:30임이 판명됐다. 이 공중합체의 파장 248nm에 있어서의 투과율은, 60%(막두께 1μm, 석영 기판상)이고, 투명성이 우수함을 나타낸다. 또한, 그 외의 분석 결과는, 다음과 같다.The white powder obtained was then placed in a 100 ml eggplant flask and Teflon coated stir bars and 100 ml dioxane were added. In a nitrogen atmosphere, 1.68 g (15 mmol) of potassium-t-butyldicarbonate was added and stirred at 0 ° C for 4 hours, followed by 3 g (13.9 mmol) of di-t-butyldicarbonate, followed by 3 at 0 ° C. It stirred for hours. The reaction solution was concentrated and then dropwise added to 1.5 liters of methanol to precipitate. The resulting precipitate was filtered with a glass filter and dried at 0.1 mm Hg and 45 ° C. for 16 hours. The obtained white powder was dissolved in THF, and the same precipitation-drying operation as described above was repeated twice. White resin powder was obtained. The composition ratio of this white powder was examined by 1 H NMR, and it was found that the copolymerization ratio was phenol: butoxycarbonirenpanol: methacrylate = 31: 39: 30. The transmittance | permeability in wavelength 248nm of this copolymer is 60% (film thickness of 1 micrometer, on a quartz substrate), and shows that it is excellent in transparency. In addition, other analysis results are as follows.

수량:8g(63.9%).Quantity: 8 g (63.9%).

중량평균분자량:17800(표준 폴리스티렌 환산).Weight average molecular weight: 17800 (standard polystyrene conversion).

분산도:1.78Dispersion: 1.78

IR(KRS-5, cm-1):3500, 1815, 1160, 1103.IR (KRS-5, cm- 1 ): 3500, 1815, 1160, 1103.

[실시예 2]Example 2

메타크릴산 테트라하이드로피라닐/메타크릴산 프로필렌카보네이트 공중합체의 합성Synthesis of methacrylic acid tetrahydropyranyl / methacrylate propylene carbonate copolymer

100ml의 가지형 플라스크에, 5g(29.4밀리몰)의 메타크릴산 테트라하이드로피라닐, 4.17g(29.4밀리몰)의 글리시딜메타크릴레이트, TeflonTM-코팅된 스터링바, 19.6ml의 디옥산 및 1.45g(8.8밀리몰)의 아조비스이소부티로니트릴(AIBN)을 첨가하고, 질소 분위기하에 70℃에서 8시간 교반했다. 반응 용액을 테트라하이드로푸란(THF)으로 희석한 후, 소량의 하이드로퀴논을 함유하는 1.5리터의 메탄올에 적하했다. 생성한 침전을 글래스 필터로 필터링하고, 0.1mmHg 및 45℃에서 16시간 건조시켰다. 얻어진 백색의 분말을 다시 THF에 용해시켜, 싱기한 것과 동일하게 침전~건조 작업 작업을 2회 반복했다. 백색의 수지 분말이 얻어졌다.In a 100 ml eggplant flask, 5 g (29.4 mmol) methacrylate tetrahydropyranyl, 4.17 g (29.4 mmol) glycidyl methacrylate, Teflon -coated sterling bar, 19.6 ml dioxane and 1.45 g (8.8 mmol) of azobisisobutyronitrile (AIBN) was added and stirred at 70 ° C. for 8 hours under a nitrogen atmosphere. The reaction solution was diluted with tetrahydrofuran (THF) and then added dropwise to 1.5 liters of methanol containing a small amount of hydroquinone. The resulting precipitate was filtered with a glass filter and dried at 0.1 mm Hg and 45 ° C. for 16 hours. The obtained white powder was dissolved in THF again, and the sedimentation-drying operation was repeated twice in the same manner as fresh. White resin powder was obtained.

다음에, 얻어진 백색 분말을 100ml의 삼구 플라스크에 넣고, 또한 TeflonTM코팅된 스터링바를 넣고, 딤로드 콘덴서 및 이산화탄소 도입관을 부착한 후, 100ml의 N-메틸피롤리돈(NMP)에 용해했다. 플라스크의 내용물을, 드라이아이스를 기화시킴으로써 이산화탄소를 도입하면서, 100℃에서 3시간 교반했다. 반응 혼합물을 1.5리터의 메탄올에 적하했다. 생성한 침전을 글래스 필터로 필터링하고, 0.1mmHg 및 45℃에서 16시간 건조시켰다. 얻어진 백색의 분말을 THF에 용해시켜, 상기한 것과 같은 침전~건조 작업을 2회 반복했다. 백색 수지 분말이 얻어졌다. 이 백색 분말의 조성비를1H NMR에서 조사한 바, 공중합비가, 테트라하이드로피라닐:카보네이트=49:51임이 판명됐다. 이 공중합체의 파장 248nm 및 193nm에 있어서의 투과율은, 각각 96% 및 64%(막두께 1μm, 석영 기판상)이고, 투명성이 우수함을 나타낸다. 또한, 그 외의 분석 결과는, 다음과 같다.Next, the obtained white powder was placed in a 100 ml three-necked flask, followed by a Teflon coated sterling bar, a dim rod condenser and a carbon dioxide inlet tube were attached, and then dissolved in 100 ml of N-methylpyrrolidone (NMP). The contents of the flask were stirred at 100 ° C for 3 hours while introducing carbon dioxide by vaporizing dry ice. The reaction mixture was added dropwise to 1.5 liters of methanol. The resulting precipitate was filtered with a glass filter and dried at 0.1 mm Hg and 45 ° C. for 16 hours. The obtained white powder was dissolved in THF, and the same precipitation-drying operation as described above was repeated twice. White resin powder was obtained. The composition ratio of this white powder was examined by 1 H NMR, and it was found that the copolymerization ratio was tetrahydropyranyl: carbonate = 49: 51. The transmittance | permeability in wavelength 248nm and 193nm of this copolymer is 96% and 64% (film thickness of 1 micrometer, on a quartz substrate), respectively, and shows that transparency is excellent. In addition, other analysis results are as follows.

수량:7.03g(76.7%)Quantity: 7.03 g (76.7%)

중량평균분자량:13900(표준 폴리스티렌 환산)Weight average molecular weight: 13900 (standard polystyrene equivalent)

분산도:1.75Dispersion: 1.75

IR(KRS-5, cm-1):1812, 1724, 1259, 1161, 1103.IR (KRS-5, cm- 1 ): 1812, 1724, 1259, 1161, 1103.

[실시예 3)Example 3

메타크릴산 2-메틸-2-아다만틸/메타크릴산 프로필렌카보네이트 공중합체의 합성Synthesis of Methacrylic Acid 2-Methyl-2-adamantyl / Methacrylic Acid Propylenecarbonate Copolymer

100ml의 가지형 플라스크에, 7.15g(29.4밀리몰)의 메타크릴산 2-메틸-2-아다만틸, 4.17g(29.4밀리몰)의 글리시딜메타크릴레이트, TeflonTM-코팅된 스터링바, 19.6ml의 디옥산 및 1.45g(8.8밀리몰)의 아조비스이소부티로니트릴(AIBN)을 첨가하고, 질소 분위기하에 70℃에서 8시간 교반했다. 반응 용액을 테트라하이드로푸란(THF)으로 희석한 후, 소량의 하이드로퀴논을 함유하는 1.5리터의 메탄올에 적하했다. 생성한 침전을 글래스 필터로 필터링하고, 0.1mmHg 및 45℃에서 16시간 건조시켰다. 얻어진 백색의 분말을 다시 THF에 용해시켜, 상기한 것과 동일하게 침전~건조 작업을 2회 반복했다. 백색의 수지 분말이 얻어졌다.In a 100 ml eggplant flask, 7.15 g (29.4 mmol) methacrylic acid 2-methyl-2-adamantyl, 4.17 g (29.4 mmol) glycidyl methacrylate, Teflon -coated sterling bar, 19.6 ml of dioxane and 1.45 g (8.8 mmol) of azobisisobutyronitrile (AIBN) were added and the mixture was stirred at 70 ° C. for 8 hours under a nitrogen atmosphere. The reaction solution was diluted with tetrahydrofuran (THF) and then added dropwise to 1.5 liters of methanol containing a small amount of hydroquinone. The resulting precipitate was filtered with a glass filter and dried at 0.1 mm Hg and 45 ° C. for 16 hours. The obtained white powder was dissolved in THF again, and the precipitation-drying operation was repeated twice in the same manner as described above. White resin powder was obtained.

다음에, 얻어진 백색 분말을 100ml의 삼구 플라스크에 넣고, 또한 TeflonTM코팅된 스터링바를 넣고, 딤로드 콘덴서 및 이산화탄소 도입관을 부착한 후, 100ml의 NMP에 용해했다. 플라스크의 내용물을, 드라이아이스를 기화시킴으로써 이산화탄소를 도입하면서, 100℃에서 3시간 교반했다. 반응 혼합물을 1.5리터의 메탄올에 적하했다. 생성한 침전을 글래스 필터로 필터링하고, 0.1mmHg 및 45℃에서 16시간 건조시켰다. 얻어진 백색의 분말을 THF에 용해시켜, 상기한 것과 같은 침전~건조작업을 2회 반복했다. 백색 수지 분말이 얻어졌다. 이 백색 분말의 조성비를1H NMR에서 조사한 바, 공중합비가, 아다만틸:카보네이트=52:48임이 판명됐다. 이 공중합체이 파장 248nm 및 193nm에 있어서의 투과율은, 각각 96% 및 63%(막두께 1μm, 석영 기판상)으로, 투명성이 우수함을 나타낸다. 또한, 그 외의 분석 결과는, 다음과 같다.Next, the obtained white powder was placed in a 100 ml three-necked flask, followed by a Teflon coated sterling bar, and a dim rod condenser and a carbon dioxide inlet tube were attached, and then dissolved in 100 ml of NMP. The contents of the flask were stirred at 100 ° C for 3 hours while introducing carbon dioxide by vaporizing dry ice. The reaction mixture was added dropwise to 1.5 liters of methanol. The resulting precipitate was filtered with a glass filter and dried at 0.1 mm Hg and 45 ° C. for 16 hours. The obtained white powder was dissolved in THF, and the same precipitation-drying operation as described above was repeated twice. White resin powder was obtained. The composition ratio of this white powder was examined by 1 H NMR, and it was found that the copolymerization ratio was adamantyl: carbonate = 52: 48. The transmittances of the copolymer at wavelengths of 248 nm and 193 nm are 96% and 63% (film thickness of 1 m, on a quartz substrate), respectively, indicating that the transparency is excellent. In addition, other analysis results are as follows.

수량:7.92g(70%)Quantity: 7.92g (70%)

중량평균분자량:18500(표준 폴리스티렌 환산)Weight average molecular weight: 18500 (standard polystyrene equivalent)

분산도:1.75Dispersion: 1.75

IR(KRS-S, cm-1):1814, 1731, 1259, 1157, 1101.IR (KRS-S, cm- 1 ): 1814, 1731, 1259, 1157, 1101.

[실시예 4]Example 4

메타크릴산 3-옥소시클로헥실/메타크릴산 프로필렌카보네이트 공중합체의 합성Synthesis of Methacrylic Acid 3-Oxocyclohexyl / Methacrylic Acid Propylene Carbonate Copolymer

100ml의 가지형 플라스크에, 5.35g(29.4밀리몰)의 메타크릴산 3-옥소시클로헥실, 4.17g(29.4밀리몰)의 글리시딜메타크릴레이트, TelfonTM-코팅된 스터링바, 19.6ml의 디옥산 및 1.45g(8.8밀리몰)의 아조비스이소부티로니트릴(AIBN)을 첨가하고, 질소 분위기하에 70℃에서 8시간 교반했다. 반응 용액을 테트라하이드로푸란(THF)으로 희석한 후, 소량의 하이드로퀴논을 함유하는 1.5리터의메탄올에 적하했다. 생성한 침전을 글래스 필터로 필터링하고, 0.1mmHg 및 45℃에서 16시간 건조시켰다. 얻어진 백색의 분말을 다시 THF에 용해시켜, 상기한 것과 동일하게 침전~건조 작업을 2회 반복했다. 백색의 수지 분말이 얻어졌다.In a 100 ml eggplant flask, 5.35 g (29.4 mmol) methacrylic acid 3-oxocyclohexyl, 4.17 g (29.4 mmol) glycidyl methacrylate, Telfon TM -coated sterling bar, 19.6 ml dioxane And 1.45 g (8.8 mmol) of azobisisobutyronitrile (AIBN) were added, followed by stirring at 70 ° C. for 8 hours under a nitrogen atmosphere. The reaction solution was diluted with tetrahydrofuran (THF) and then added dropwise to 1.5 liters of methanol containing a small amount of hydroquinone. The resulting precipitate was filtered with a glass filter and dried at 0.1 mm Hg and 45 ° C. for 16 hours. The obtained white powder was dissolved in THF again, and the precipitation-drying operation was repeated twice in the same manner as described above. White resin powder was obtained.

다음에, 얻어진 백색 분말을 100ml의 삼구 플라스크에 넣고, 또한 TelfonTM코팅된 스터링바를 넣고, 딤로드 콘덴서 및 이산화탄소 도입관을 부착한 후, 100ml의 NMP에 용해했다. 플라스크의 내용물을, 드라이아이스를 기화시킴으로써 이산화탄소를 도입하면서, 100℃에서 3시간 교반했다. 반응 혼합물을 1.5리터의 메탄올에 적하했다. 생성한 침전을 글래스 필터로 필터링하고, 0.1mmHg 및 45℃에서 16시간 건조시켰다. 얻어진 백색의 분말을 THF에 용해시켜, 상기한 것과 같은 침전~건조작업을 2회 반복했다. 백색 수지 분말이 얻어졌다. 이 백색 분말의 조성비를1H-NMR에서 조사한 바, 공중합비가, 옥소시클로헥실:카보네이트=49:51임이 판명됐다. 이 공중합체의 파장 248nm 및 193nm에 있어서의 투과율은, 각각 96% 및 65%(막두께 1μm, 석영 기판상)이고, 투명성이 우수함을 나타낸다. 또한, 그 외의 분석 결과는, 다음과 같다.Next, the obtained white powder was placed in a 100 ml three-necked flask, followed by a Telfon coated sterling bar, and a dim rod condenser and a carbon dioxide inlet tube were attached, and then dissolved in 100 ml of NMP. The contents of the flask were stirred at 100 ° C for 3 hours while introducing carbon dioxide by vaporizing dry ice. The reaction mixture was added dropwise to 1.5 liters of methanol. The resulting precipitate was filtered with a glass filter and dried at 0.1 mm Hg and 45 ° C. for 16 hours. The obtained white powder was dissolved in THF, and the same precipitation-drying operation as described above was repeated twice. White resin powder was obtained. The composition ratio of this white powder was examined by 1 H-NMR, and it was found that the copolymerization ratio was oxocyclohexyl: carbonate = 49:51. The transmittance | permeability in wavelength 248nm and 193nm of this copolymer is 96% and 65% (film thickness of 1 micrometer, on a quartz substrate), respectively, and shows that transparency is excellent. In addition, other analysis results are as follows.

수량:6.28g(66%)Quantity: 6.80 g (66%)

중량평균분자량:14200(표준 폴리스티렌 환산)Weight average molecular weight: 14200 (standard polystyrene equivalent)

분산도:1.68Dispersion: 1.68

IR(KRS-R, cm-1):1814,1730, 1262, 1160, 1103.IR (KRS-R, cm- 1 ): 1814,1730, 1262, 1160, 1103.

[실시예 5]Example 5

메타크릴산 메발로닉락톤/메타크릴산 프로필렌카보네이트 공중합체의 합성Synthesis of Methacrylic Acid Mealonic Lactone / Methacrylic Acid Propylene Carbonate Copolymer

100ml의 가지형 플라스크에, 5.82g(29.4밀리몰)의 메타크릴산 메발로닉락톤, 4.17g(29.4밀리몰)의 글리시딜메타크릴레이트, TelfonTM-코팅된 스터링바, 19.6ml의 디옥산 및 1.45g(8.8밀리몰)의 아조비스이소부티로니트릴(AIBN)을 첨가하고, 질소분위기하에 70℃에서 8시간 교반했다. 반응 용액을 테트라하이드로푸란(THF)으로 희석한 후, 소량의 하이드로퀴논을 함유하는 1.5리터의 메탄올에 적하했다. 생성한 침전을 글래스 필터로 필터링하고, 0.1mmHg 및 45℃에서 16시간 건조시켰다. 얻어진 백색의 분말을 다시 THF에 용해시켜, 상기한 것과 동일하게 침전~건조 작업을 2회 반복했다. 백색의 수지 분말이 얻어졌다.In a 100 ml eggplant flask, 5.82 g (29.4 mmol) methacrylic acid methacrylic lactone, 4.17 g (29.4 mmol) glycidyl methacrylate, Telfon TM -coated sterling bar, 19.6 ml dioxane and 1.45 g (8.8 mmol) of azobisisobutyronitrile (AIBN) was added and the mixture was stirred at 70 ° C. for 8 hours under a nitrogen atmosphere. The reaction solution was diluted with tetrahydrofuran (THF) and then added dropwise to 1.5 liters of methanol containing a small amount of hydroquinone. The resulting precipitate was filtered with a glass filter and dried at 0.1 mm Hg and 45 ° C. for 16 hours. The obtained white powder was dissolved in THF again, and the precipitation-drying operation was repeated twice in the same manner as described above. White resin powder was obtained.

다음에, 얻어진 백색 분말을 100ml의 삼구 플라스크에 넣고, 또한 TelfonTM코팅된 스터링바를 넣고, 딤로드 콘덴서 및 이산화탄소 도입관을 부착한 후, 100ml의 NMP에 용해했다. 플라스크의 내용물을, 드라이아이스를 기화시킴으로써 이산화탄소를 도입하면서, 100℃에서 3시간 교반했다. 반응 혼합물을 1.5리터의 메탄올에 적하했다. 새성한 침전을 글래스 필터로 필터링하고, 0.1mmHg 및 45℃에서 16시간 건조시켰다. 얻어진 백색의 분말을 THF에 용해시켜, 상기한 것과 같은 침전~건조작업을 2회 반복했다. 백색 수지 분말이 얻어졌다. 이 백색 분말의 조성비를1H NMR에서 조사한 바, 공중합비가, 락톤:카보네이트=49:51임이 판명됐다. 이 공중합체의 파장 248nm 및 193nm에 있어서의 투과율은, 각각 96% 및 65%(막두깨 1μm, 석영 기판상)이고, 투명성이 우수함을 나타낸다. 또한, 그 외의 분석 결과는, 다음과 같다.Next, the obtained white powder was placed in a 100 ml three-necked flask, followed by a Telfon coated sterling bar, and a dim rod condenser and a carbon dioxide inlet tube were attached, and then dissolved in 100 ml of NMP. The contents of the flask were stirred at 100 ° C for 3 hours while introducing carbon dioxide by vaporizing dry ice. The reaction mixture was added dropwise to 1.5 liters of methanol. Fresh precipitate was filtered with a glass filter and dried at 0.1 mm Hg and 45 ° C. for 16 hours. The obtained white powder was dissolved in THF, and the same precipitation-drying operation as described above was repeated twice. White resin powder was obtained. The composition ratio of this white powder was examined by 1 H NMR, and it was found that the copolymerization ratio was lactone: carbonate = 49: 51. The transmittance | permeability in wavelength 248nm and 193nm of this copolymer is 96% and 65% (1 micrometer in film thickness, on a quartz substrate), respectively, and shows that transparency is excellent. In addition, other analysis results are as follows.

수량:6.79g(68%)Quantity: 6.79 g (68%)

중량평균분자량:16500(표준 폴리스티렌 환산)Weight average molecular weight: 16500 (standard polystyrene equivalent)

분산도:1.75Dispersion: 1.75

IR(KRS-5, cm-1):1814, 1738, 1260, 1160, 1107.IR (KRS-5, cm- 1 ): 1814, 1738, 1260, 1160, 1107.

[실시예 6]Example 6

메타크릴산 메발로닉락톤/메타크릴산 2,3-시클로헥산카보네이트 공중합체의 합성Synthesis of Methacrylic Acid Mealonic Lactone / Methacrylic Acid 2,3-cyclohexanecarbonate Copolymer

100ml의 가지형 플라스크에, 5.82g(29.4밀리몰)의 메타크릴산 메발로닉락톤, 5.35g(29.4밀리몰)의 메타크릴산 2,3-시클로헥산옥시드, TelfonTM-코팅된 스터링바, 19.6ml의 디옥산 및 1.45g(8.8밀리몰)의 아조비스이소부티로니트릴(AIBN)을 첨가하고, 질소 분위기하에 70℃에서 8시간 교반했다. 반응 용액을 테트라하이드로푸란(THF)으로 희석한 후, 소량의 하이드로퀴논을 함유하는 1.5리터의 메탄올에 적하했다. 생성한 침전을 글래스 필터로 필터링하고, 0.1mmHg 및 45℃에서 16시간 건조시켰다. 얻어진 백색의 분말을 다시 THF에 용해시켜, 상기한 것과 동일하게 침전~건조 작업을 2회 반복했다. 백색의 수지 분말이 얻어졌다.In a 100 ml eggplant flask, 5.82 g (29.4 mmol) methacrylic acid methacrylic acid lactone, 5.35 g (29.4 mmol) methacrylic acid 2,3-cyclohexaneoxide, Telfon TM -coated sterling bar, 19.6 ml of dioxane and 1.45 g (8.8 mmol) of azobisisobutyronitrile (AIBN) were added and the mixture was stirred at 70 ° C. for 8 hours under a nitrogen atmosphere. The reaction solution was diluted with tetrahydrofuran (THF) and then added dropwise to 1.5 liters of methanol containing a small amount of hydroquinone. The resulting precipitate was filtered with a glass filter and dried at 0.1 mm Hg and 45 ° C. for 16 hours. The obtained white powder was dissolved in THF again, and the precipitation-drying operation was repeated twice in the same manner as described above. White resin powder was obtained.

다음에, 얻어진 백색 분말을 100ml의 삼구 플라스크에 넣고, 또한 TelfonTM코팅된 스터링바를 넣고, 딤로드 콘덴서 및 이산화탄소 도입관을 부착한 후, 100ml의 NMP에 용해했다. 플라스크의 내용물을, 드라이아이스를 기화시킴으로써 이산화탄소를 도입하면서, 100℃에서 3시간 교반했다. 반응 혼합물을 1.5리터의 메탄올에 적하했다. 생성한 침전을 글래스 필터로 필터링하고, 0.1mmHg 및 45℃에서 16시간 건조시켰다. 얻어진 백색의 분말을 THF에 용해시켜, 상기한 것과 같은 침전~건조작업을 2회 반복했다. 백색 수지 분말이 얻어졌다. 이 백색 분말의 조성비를1H NMR에서 조사한 바, 공중합비가, 락톤:카보네이트=50:50임이 판명됐다. 이 공중합체의 파장 248nm 및 193nm에 있어서의 투과율은, 각각 96% 및 65%(막두께 1μm, 석영 기판상)이고, 투명성이 우수함을 나타낸다. 또한, 그 외의 분석 결과는, 다음과 같다.Next, the obtained white powder was placed in a 100 ml three-necked flask, followed by a Telfon coated sterling bar, and a dim rod condenser and a carbon dioxide inlet tube were attached, and then dissolved in 100 ml of NMP. The contents of the flask were stirred at 100 ° C for 3 hours while introducing carbon dioxide by vaporizing dry ice. The reaction mixture was added dropwise to 1.5 liters of methanol. The resulting precipitate was filtered with a glass filter and dried at 0.1 mm Hg and 45 ° C. for 16 hours. The obtained white powder was dissolved in THF, and the same precipitation-drying operation as described above was repeated twice. White resin powder was obtained. The composition ratio of this white powder was examined by 1 H NMR, and it was found that the copolymerization ratio was lactone: carbonate = 50: 50. The transmittance | permeability in wavelength 248nm and 193nm of this copolymer is 96% and 65% (film thickness of 1 micrometer, on a quartz substrate), respectively, and shows that transparency is excellent. In addition, other analysis results are as follows.

수량:7.96g(70%)Quantity: 7.96 g (70%)

중량평균분자량:18100(표준 폴리스티렌 환산)Weight average molecular weight: 18100 (standard polystyrene equivalent)

분산도:1.81Dispersion: 1.81

IR(KRS-5, cm-1:1815, 1730, 1260, 1160, 1103.IR (KRS-5, cm -1 : 1815, 1730, 1260, 1160, 1103.

[실시예 7]Example 7

레지스트 패턴의 형성Formation of a resist pattern

상기 실시예 1에서 합성한 공중합체를 프로필렌글리콜 메틸에테르아세테이트에 용해하여 19중량% 용액으로 했다. 또한, 이 공중합체 용액에는, 보조 용매로서, 8중량%의 γ-부티롤락톤도 포함시켰다. 얻어진 용액에, 공중합체에 대하여 5중량%양의 트리페닐술포늄 트리플루오로메탄 술포네이트를 가하여 충분히 용해시켰다. 얻어진 레지스트 용액을 0.2μm의 TelfonTM-멤브란 필터로 여과한 후, HMDS처리를 행한 시리콘 기판상에 3000rpm으로 시핀 코팅하고, 120℃에서 60초간 프리베이킹했다. 막두께 0.7μm의 레지스트 피막이 얻어졌다. 이 레지스트 피막을 KrF 엑시머 레이저 스테퍼(NA=0.45)로 노광한 후, 100℃에서 90초간 베이킹하여, 2.38%의 테트라메틸암모늄하이드로옥시드(TMAH) 수용액에서 현상하고, 탈이온수에서 60초간 린스했다. 16.4mJ/cm2의 노광량에서, 0.25μm의 라인-앤드-스페이스(line-and-space)(L/S) 패턴을 해상할 수 있었다.The copolymer synthesized in Example 1 was dissolved in propylene glycol methyl ether acetate to obtain a 19% by weight solution. Moreover, 8 weight% of (gamma) -butyrolactone was also contained in this copolymer solution as an auxiliary solvent. To the obtained solution, triphenylsulfonium trifluoromethane sulfonate in an amount of 5% by weight based on the copolymer was added and completely dissolved. The resulting resist solution was filtered through a 0.2 μm Telfon -Membrane filter, followed by chipin coating at 3000 rpm on a silicon substrate subjected to HMDS treatment, and prebaked at 120 ° C. for 60 seconds. A resist film with a film thickness of 0.7 μm was obtained. The resist film was exposed with KrF excimer laser stepper (NA = 0.45), baked at 100 ° C. for 90 seconds, developed in a 2.38% aqueous tetramethylammonium hydroxide (TMAH) solution, and rinsed in deionized water for 60 seconds. . At an exposure dose of 16.4 mJ / cm 2 , a line-and-space (L / S) pattern of 0.25 μm could be resolved.

[실시예 8]Example 8

레지스트 패턴의 형성Formation of a resist pattern

상기 실시예 2에 있어서 합성한 공중합체를 젖산 에틸에 용해시켜 16중량% 용액으로 했다. 얻어진 젖산 에틸 용액에, 공중합체에 대해서 5중량% 양의 트리페닐술폰늄 트리플루오로메탄술포네이트를 가하여 충분히 용해시켰다. 얻어진 레지스트 용액을 0.2μm의 TelfonTM멤브란 필터로 여과한 후, HMDS 처리를 행한 실리콘 기판상에 3000rpm으로 시핀 코팅하고, 120℃에서 60초간 프리베이킹했다. 막두께 0.7μm의 레지스트 피막이 얻어졌다. 이 레지스트 피막을 KrF 엑시머 레이저 스테퍼(NA=0.45)로 노광한 후, 120℃에서 60초간 베이킹하여, 2.38%의 테트라메틸암모늄 하이드로옥시드(TMAH) 수용액에서 현상하고, 탈이온수에서 60초간 린스했다.The copolymer synthesized in Example 2 was dissolved in ethyl lactate to obtain a 16 wt% solution. To the obtained ethyl lactate solution, triphenylsulfonium trifluoromethanesulfonate in an amount of 5% by weight based on the copolymer was added and completely dissolved. The resulting resist solution was filtered through a 0.2 μm Telfon TM membrane filter, followed by chipin coating at 3000 rpm on a silicon substrate subjected to HMDS treatment, and prebaked at 120 ° C. for 60 seconds. A resist film with a film thickness of 0.7 μm was obtained. After exposing this resist film with KrF excimer laser stepper (NA = 0.45), it baked at 120 degreeC for 60 second, developed in 2.38% of tetramethylammonium hydrooxide (TMAH) aqueous solution, and rinse for 60 second in deionized water. .

18.6mJ/cm2의 노광량에서, 0.25μm의 라인-앤드-스페이스(L/S) 패턴을 해상할 수 있었다.At an exposure dose of 18.6 mJ / cm 2 , a 0.25 μm line-and-space (L / S) pattern could be resolved.

[실시예 9]Example 9

레지스트 패턴의 형성Formation of a resist pattern

상기 실시예 3에 있어서 합성한 공중합체를 젖산 에틸에 용해시켜 16중량% 용액으로 했다. 얻어진 젖산 에틸 용액에, 공중합체에 대해서 5중량% 양의 트리페닐술폰늄 트리플루오로메탄 술포네이트를 가하여 충분히 용해시켰다. 얻어진 레지스트 용액을 0.2μm이 TelfonTM멤브란 필터로 여과한 후, HMDS 처리를 행한 실리콘 기판상에 3000rpm으로 스핀 코팅하고, 120℃에서 60초간 프리베이킹했다. 막두께 0.7μm의 레지스트 피막이 얻어졌다. 이 레지스트 피막을 KrF 엑시머 레이저 스테퍼(NA=0.45)로 노광한 후, 120℃에서 60초간 베이킹하여, 2.38%의 테트라메틸암모늄 하이드로옥시드(TMAH) 수용액에서 현상하고, 탈이온수에서 60초간 린스했다.The copolymer synthesized in Example 3 was dissolved in ethyl lactate to obtain a 16 wt% solution. To the obtained ethyl lactate solution, triphenylsulfonium trifluoromethane sulfonate in an amount of 5% by weight based on the copolymer was added and completely dissolved. The resulting resist solution was filtered with a 0.2 μm Telfon TM membrane filter, spin-coated at 3000 rpm on a silicon substrate subjected to HMDS treatment, and prebaked at 120 ° C. for 60 seconds. A resist film with a film thickness of 0.7 μm was obtained. After exposing this resist film with KrF excimer laser stepper (NA = 0.45), it baked at 120 degreeC for 60 second, developed in 2.38% of tetramethylammonium hydrooxide (TMAH) aqueous solution, and rinse for 60 second in deionized water. .

16mJ/cm2의 노광량에서, 0.30μm의 라인-앤드-스페이스(L/S) 패턴을 해상할 수 있었다.At an exposure dose of 16 mJ / cm 2 , a 0.30 μm line-and-space (L / S) pattern could be resolved.

[실시예 10]Example 10

레지스트 패턴의 형성Formation of a resist pattern

상기 실시예 4에 있어서 합성한 공중합체를 젖산 에틸에 용해시켜 16중량% 용애으로 했다. 얻어진 젖산 에틸 용액에, 공중합체에 대해서 5중량% 양의 트리페닐술폰늄 트리플루오로메탄 술포테이트를 가하여 충분히 용해시켰다. 얻어진 레지스트 용애을 0.2μm의 TelfonTM멤브란 필터로 여과한 후, HMDS 처리를 행한 실리콘 기판상에 3000rpm으로 스핀 코팅하고, 120℃에서 60초간 프리베이킹했다. 막두께 0.7μm의 레지스트 피막이 얻어졌다. 이 레지스트 피마을 KrF 엑시머 레이저 스테퍼(NA=0.45)로 노광한 후, 120℃에서 60초간 베이킹하여, 2.38%의 테트라메틸암모늄 하이드로옥시드(TMAH) 수용액에서 현상하고, 탈이온수에서 60초간 린스했다.The copolymer synthesized in Example 4 was dissolved in ethyl lactate to obtain a 16 wt% solvent. To the obtained ethyl lactate solution, triphenylsulfonium trifluoromethane sulfonate in an amount of 5% by weight based on the copolymer was added and completely dissolved. The resulting resist solvent was filtered through a 0.2 μm Telfon TM membrane filter, spin-coated at 3000 rpm on a HMDS-treated silicon substrate, and prebaked at 120 ° C. for 60 seconds. A resist film with a film thickness of 0.7 μm was obtained. The resist film was exposed to KrF excimer laser stepper (NA = 0.45), baked at 120 ° C. for 60 seconds, developed in a 2.38% aqueous tetramethylammonium hydrooxide (TMAH) solution, and rinsed in deionized water for 60 seconds. .

15mJ/cm2의 노광량에서, 0.25μm의 라인-앤드-스페이스(L/S) 패턴을 해상할 수 있었다.At an exposure dose of 15 mJ / cm 2 , a 0.25 μm line-and-space (L / S) pattern could be resolved.

[실시예 11]Example 11

레지스트 패턴의 형성Formation of a resist pattern

상기 실시예 5에 있어서 합성한 공중합체를 젖산 에틸에 용해시켜 16중량% 용액으로 했다. 얻어진 젖산 에틸 용액에, 공중합체에 대해서 5중량% 양의 트리페닐술폰늄 트리플루오로메탄 술포네이트를 가하여 충분히 용해시켰다. 얻어진 레지스트 용액을 0.2μm의 TelfonTM멤브란 필터로 여과한 후, HMDS 처리를 행한 실리콘 기판상에 3000rpm으로 스핀 코팅하고, 120℃에서 60초간 프리베이킹했다. 막두께 0.7μm의 레지스트 피막이 얻어졌다. 이 레지스트 피막을 KrF 엑시머 레이저 스테퍼(NA=0.45)로 노광한 후, 100℃에서 60초간 베이킹하여, 2.38%의 테트라메틸암모늄 하이드로옥시드(TMAH) 수용액으로 현상하고, 탈이노수에서 60초간 린스했다.The copolymer synthesized in Example 5 was dissolved in ethyl lactate to obtain a 16 wt% solution. To the obtained ethyl lactate solution, triphenylsulfonium trifluoromethane sulfonate in an amount of 5% by weight based on the copolymer was added and completely dissolved. The resulting resist solution was filtered through a 0.2 μm Telfon TM membrane filter, spin-coated at 3000 rpm on a HMDS-treated silicon substrate, and prebaked at 120 ° C. for 60 seconds. A resist film with a film thickness of 0.7 μm was obtained. The resist film was exposed with KrF excimer laser stepper (NA = 0.45), baked at 100 ° C. for 60 seconds, developed with a 2.38% aqueous tetramethylammonium hydrooxide (TMAH) solution, and rinsed in deinosized water for 60 seconds. did.

18.2mJ/cm2의 노광량에서, 0.25μm의 라인-앤드-스페이스(L/S) 패턴을 해상할 수 있었다.At an exposure dose of 18.2 mJ / cm 2 , a 0.25 μm line-and-space (L / S) pattern could be resolved.

[실시예 12]Example 12

레지스트 패턴의 형성Formation of a resist pattern

상기 실시예 6에 있어서 합성한 공중합체를 젖산 에틸에 용해시켜 16중량% 용액으로 했다. 얻어진 젖산 에틸 용액에, 공중합체에 대해서 5중량% 양의 디페닐요오드늄 트리플루오로메탄 술포네이트를 가하여 충분히 용해시켰다. 얻어진 레지스트 용액을 0.2μm의 TelfonTM멤브란 필터로 여과한 후, HMDS 처리를 행한 실리콘 기판상에 3000rpm으로 시핀 코팅하고, 120℃에서 60초간 프리베이킹했다. 막두께 0.7μm의 레지스트 피막이 얻어진다. 이 레지스트 피막을 KrF 엑시머 레이저 스테퍼(NA=0.45)로 노광한 후, 100℃에서 90초간 베이킹하여, 2.38%의 테트라메틸암모늄 하이드로옥시드(TMAH) 수용액에서 현상하고, 탈이온수에서 60초간 린스했다.The copolymer synthesized in Example 6 was dissolved in ethyl lactate to obtain a 16 wt% solution. Diphenyliodonium trifluoromethane sulfonate in an amount of 5% by weight based on the copolymer was added to the obtained ethyl lactate solution and sufficiently dissolved. The resulting resist solution was filtered through a 0.2 μm Telfon TM membrane filter, followed by chipin coating at 3000 rpm on a silicon substrate subjected to HMDS treatment, and prebaked at 120 ° C. for 60 seconds. A resist film with a film thickness of 0.7 μm is obtained. After exposing this resist film with KrF excimer laser stepper (NA = 0.45), it baked at 100 degreeC for 90 second, developed in 2.38% of tetramethylammonium hydrooxide (TMAH) aqueous solution, and rinse for 60 second in deionized water. .

16.2mJ/cm2의 노광량에서, 0.25μm의 라인-앤드-스페이스(L/S) 패턴을 해상할 수 있었다.At an exposure dose of 16.2 mJ / cm 2 , a 0.25 μm line-and-space (L / S) pattern could be resolved.

[실시예 13]Example 13

레지스트 패턴의 형성Formation of a resist pattern

상기 실시예 2에 있어서 합성한 공중합체를 젖산 에틸에 용해시켜 18중량% 용액으로 했다. 얻어진 젖산 에틸 용액에, 공중합체에 대해서 2중량% 양의 디페닐 요오드늄 트리플루오로메탄 술포네이트를 가하여 충분히 용해시켰다. 얻어진 레지스트 용액을 0.2μm의 TelfonTM멤브란 필터로 여과한 후, HMDS 처리를 행한 실리콘 기판상에 3500rpm으로 스핀 코팅하고, 120℃에서 60초간 프리베이킹했다. 막두께 0.5μm의 레지스트 피막이 얻어졌다. 이 레지스트 피막을 ArF 엑시머 레이저 노광장치(NA=0.55)로 노광한 후, 100℃에서 60초간 베이킹하여, 2.38%의 테트라메틸암모늄하이드로옥시드(TMAH) 수용액에서 현상하고, 탈이온수에서 60초간 린스했다.The copolymer synthesized in Example 2 was dissolved in ethyl lactate to obtain an 18% by weight solution. To the obtained ethyl lactate solution, diphenyl iodonium trifluoromethane sulfonate in an amount of 2% by weight based on the copolymer was added and completely dissolved. The resulting resist solution was filtered through a 0.2 μm Telfon TM membrane filter, spin-coated at 3500 rpm on a HMDS-treated silicon substrate, and prebaked at 120 ° C. for 60 seconds. A resist film having a film thickness of 0.5 μm was obtained. The resist film was exposed with an ArF excimer laser exposure apparatus (NA = 0.55), baked at 100 ° C. for 60 seconds, developed in a 2.38% aqueous tetramethylammonium hydroxide (TMAH) solution, and rinsed in deionized water for 60 seconds. did.

6mJ/cm2의 노광량에서, 0.18μm의 라인-앤드-스페이스(L/S) 패턴을 해상할 수 있었다.At an exposure dose of 6 mJ / cm 2 , a 0.18 μm line-and-space (L / S) pattern could be resolved.

[실시예 14]Example 14

레지스트 패턴의 형성Formation of a resist pattern

상기 실시예 3에 있어서 합성한 공중합체를 젖산 에틸에 용해시켜 16중량% 용액으로 했다. 얻어진 젖산 에틸 용액에, 공중합체에 대해서 2중량% 양의 디페닐요오드늄 트리플루오로메탄 술포네이트를 가하여 충분히 용해시켰다. 얻어진 레지스트 용액을 0.2μm의 TelfonTM멤브란 필터로 여과한 후, HMDS 처리를 행한 실리콘 기판상에 3500rpm으로 스핀 코팅하고, 120℃에서 60초간 프리베이킹했다. 막두께 0.5μm의 레지스트 피막이 얻어졌다. 이 레지스트 피막을 ArF 엑시머 레이저 노광장치(NA=0.55)에서 노광한 후, 120℃에서 60초간 베이킹하여, 2.38%의 테트라메틸암모늄하이드로옥시드(TMAH) 수용액에서 현상하고, 탈이온수에서 60초간 린스했다. 7mJ/cm2의 노광량에서, 0.20μm의 라인-앤드-스페이스(L/S) 패턴을 해상할 수 있었다.The copolymer synthesized in Example 3 was dissolved in ethyl lactate to obtain a 16 wt% solution. To the obtained ethyl lactate solution, diphenyliodonium trifluoromethane sulfonate in an amount of 2% by weight based on the copolymer was added and completely dissolved. The resulting resist solution was filtered through a 0.2 μm Telfon TM membrane filter, spin-coated at 3500 rpm on a HMDS-treated silicon substrate, and prebaked at 120 ° C. for 60 seconds. A resist film having a film thickness of 0.5 μm was obtained. The resist film was exposed with an ArF excimer laser exposure apparatus (NA = 0.55), baked at 120 ° C. for 60 seconds, developed in a 2.38% aqueous tetramethylammonium hydroxide (TMAH) solution, and rinsed in deionized water for 60 seconds. did. At an exposure dose of 7 mJ / cm 2 , a 0.20 μm line-and-space (L / S) pattern could be resolved.

[실시예 15]Example 15

레지스트 패턴의 형성Formation of a resist pattern

상기 실시예 4에 있어서 합성한 공중합체를 젖산 에틸에 용해시켜 18중량% 용액으로 했다. 얻어진 젖산 에틸 용액에, 공중합체에 대해서 2중량% 양의 트리페닐술포늄 트리플루오로메탄 술포네이트를 가하여 충분히 용해시켰다. 얻어진 레지스트 용액을 0.2μm의 TelfonTM멤브란 필터로 여과한 후, HMDS 처리를 행한 실리콘 기판상에 3500rpm으로 스핀 코팅하고, 120℃에서 60초간 프리베이킹했다. 막두께 0.5μm의 레지스트 피막이 얻어졌다. 이 레지스트 피막을 ArF 엑시머 레이저 노광장치(NA=0.55)에서 노광한 후, 100℃에서 90초간 베이킹하여, 2.38%의 테트라메틸암모늄하이드로옥시드(TMAH) 수용액에서 현상하고, 탈이온수에서 60초간 린스했다. 8mJ/cm2의 노광량에서, 0.18μm의 라인-앤드-스페이스(L/S) 패턴을 해상할 수 있었다.The copolymer synthesized in Example 4 was dissolved in ethyl lactate to obtain an 18% by weight solution. To the obtained ethyl lactate solution, triphenylsulfonium trifluoromethane sulfonate in an amount of 2% by weight based on the copolymer was added and completely dissolved. The resulting resist solution was filtered through a 0.2 μm Telfon TM membrane filter, spin-coated at 3500 rpm on a HMDS-treated silicon substrate, and prebaked at 120 ° C. for 60 seconds. A resist film having a film thickness of 0.5 μm was obtained. The resist film was exposed with an ArF excimer laser exposure apparatus (NA = 0.55), baked at 100 ° C. for 90 seconds, developed in a 2.38% aqueous tetramethylammonium hydroxide (TMAH) solution, and rinsed in deionized water for 60 seconds. did. At an exposure dose of 8 mJ / cm 2 , a line-and-space (L / S) pattern of 0.18 μm could be resolved.

[실시예 16]Example 16

레지스트 패턴의 형성Formation of a resist pattern

상기 실시예 5에 있어서 합성한 공중합체를 젖산 에틸에 용해하여 18중량% 용액으로 했다. 얻어진 젖산 에틸 용액에, 공중합체에 대해서 2중량% 양의 트리페닐술포늄 트리플루오로메탄 술포네이트를 가하여 충분히 용해시켰다. 얻어진 레지스트 용액을 0.2μm의 TelfonTM멤브란 필터로 여과한 후, HMDS 처리를 행한 실리콘 기판상에 3500rpm으로 스핀 코팅하고, 120℃에서 60초간 프리베이킹했다. 막두께 0.5μm의 레지스트 피막이 얻어졌다. 이 레지스트 피막을 ArF 엑시머 레이저 노광장치(NA=0.55)로 노광한 후, 100℃에서 90초간 베이킹하여, 2.38%의 테트라메틸암모늄하이드로옥시드(TMAH) 수용액에서 현상하고, 탈이온수에서 60초간 린스했다. 7mJ/cm2의 노광량에서, 0.18μm의 라인-앤드-스페이스(L/S) 패턴을 해상할 수 있었다.The copolymer synthesized in Example 5 was dissolved in ethyl lactate to obtain an 18% by weight solution. To the obtained ethyl lactate solution, triphenylsulfonium trifluoromethane sulfonate in an amount of 2% by weight based on the copolymer was added and completely dissolved. The resulting resist solution was filtered through a 0.2 μm Telfon TM membrane filter, spin-coated at 3500 rpm on a HMDS-treated silicon substrate, and prebaked at 120 ° C. for 60 seconds. A resist film having a film thickness of 0.5 μm was obtained. The resist film was exposed with an ArF excimer laser exposure apparatus (NA = 0.55), baked at 100 ° C. for 90 seconds, developed in a 2.38% aqueous tetramethylammonium hydroxide (TMAH) solution, and rinsed in deionized water for 60 seconds. did. At an exposure dose of 7 mJ / cm 2 , a line-and-space (L / S) pattern of 0.18 μm could be resolved.

[실시예 17]Example 17

레지스트 패턴의 형성Formation of a resist pattern

상기 실시예 6에 있어서 합성한 공중합체를 젖산 에틸에 용해하여 16중량% 용액으로 했다. 얻어진 젖산 에틸 용액에, 공중합체에 대해서 2중량% 양의 트리페닐술포늄 트리플루오로메탄 술포네이트를 가하여 충분히 용해시켰다. 얻어진 레지스트 용액을 0.2μm의 TelfonTM멤브란 필ㅌ로 여과한 후, HMDS 처리를 행한 실리콘 기판상에 3500rpm으로 스핀 코팅하고, 120℃에서 60초간 프리베이킹했다. 막두께 0.5μm의 레지스트 피막이 얻어졌다. 이 레지스트 피막을 ArF 엑시머 레이저 노광장치(NA=0.55)로 노광한 후, 120℃에서 60초간 베이킹하여, 2.38%의 테트라메틸암모늄하이드로옥시드(TMAH) 수용액에서 현상하고, 탈이온수에서 60초간 린스했다. 7mJ/cm2의 노광량에서, 0.17μm의 라인-앤드-스페이스(L/S) 패턴을 해상할 수 있었다.The copolymer synthesized in Example 6 was dissolved in ethyl lactate to obtain a 16 wt% solution. To the obtained ethyl lactate solution, triphenylsulfonium trifluoromethane sulfonate in an amount of 2% by weight based on the copolymer was added and completely dissolved. The resulting resist solution was filtered through a 0.2 μm Telfon membrane filter, spin-coated at 3500 rpm on a HMDS-treated silicon substrate, and prebaked at 120 ° C. for 60 seconds. A resist film having a film thickness of 0.5 μm was obtained. The resist film was exposed with an ArF excimer laser exposure apparatus (NA = 0.55), baked at 120 ° C. for 60 seconds, developed in a 2.38% aqueous tetramethylammonium hydroxide (TMAH) solution, and rinsed in deionized water for 60 seconds. did. At an exposure dose of 7 mJ / cm 2 , a line-and-space (L / S) pattern of 0.17 μm could be resolved.

[실시예 18]Example 18

드라이 에칭 내성의 평가Evaluation of Dry Etch Resistance

상기 실시예 1~실시예 6에서 제조한 공중합체를 사용하여, 상기 실시예 7에 기재된 방법을 반복했다. 다음에, 얻어진 레지스트 패턴의 드라이 에칭 내성을 평가하기 위해, 실리콘 기판을 평행 평판형 RIE 장치에 수용하고, Pμ=200W, 압력-0.02토르, 사불화탄소(CF4) 가스=100sccm, 스패터링 시간=5분인 조건 하에서 CF4스패터링 에칭을 행했다. 또한, 비교를 위해, 상업적으로 입수 가능한 노볼락 레지스트인 나가센 포지티브형 레지스트 NPR-820(나가센산업사제) 및 폴리메틸메타크릴레이트(PMAA)에 대해서도, 마찬가지의 평가를 실시했다. 다음의 표 1과 같은 결과가 얻어졌다.Using the copolymer prepared in Examples 1 to 6, the method described in Example 7 was repeated. Next, in order to evaluate the dry etching resistance of the obtained resist pattern, the silicon substrate was housed in a parallel plate RIE apparatus, Pμ = 200 W, pressure -0.02 Torr, carbon tetrafluoride (CF 4 ) gas = 100 sccm, sputtering time = CF 4 sputtering etching was performed on 5 minutes of conditions. In addition, similar evaluation was also performed about the Nagasen positive-type resist NPR-820 (made by Nagasen Industrial Co., Ltd.) and polymethyl methacrylate (PMAA) which are commercially available novolak resists. The results shown in Table 1 below were obtained.

Figure kpo00017
Figure kpo00017

상기한 결과에서 이해할 수 있는 바와 같이, 본 발명에 의한 레지스트 조성물의 에칭 내성은, 페놀환이나 지환족기를 포함하는 수지 구조이면, NPR-820과 같은 정도이고, PMMA보다는 현저히 우수하다.As can be understood from the above results, the etching resistance of the resist composition according to the present invention is about the same as that of NPR-820, and is significantly superior to PMMA as long as it is a resin structure containing a phenol ring or an alicyclic group.

[실시예 19]Example 19

메타크릴산 2-메틸-2-비시클로[2,2,2]옥탄/메타크릴산 프로필렌 카보네이트 공중합체의 합성Synthesis of 2-methyl-2-bicyclo [2,2,2] octane / methacrylate propylene carbonate copolymer

100ml의 가지형 플라스크에, 6.12g(29.4밀리몰)의 메타크리산 2-메틸-2-비시클로[2,2,2]옥탄, 4.17g(29.4밀리몰)의 글리시딜메타크릴레이트, TeflonTM-코팅된 스터링바, 19.6ml의 디옥산 및 1.45g(8.8밀리몰)의 아조비스이소부티로니트릴(AIBN)을 첨가하여, 질소 분위기하에 70℃ㅔ서 8시간 교반했다. 반응 용액을 테트라하이드로푸란(THF)으로 희석한 후, 소량의 하이드로퀴논을 함유하는 1.5리터의 메탄올에 적하했다. 생성한 침전을 글래스 필터로 필터링하고, 0.1mmHg 및 45℃에서 16시간 건조시켰다. 얻어진 백색의 분말을 다시 THF에 용해시켜, 상기한 것과 동일하게 침전~건조 작업을 2회 반복했다. 백색의 수지 분말이 얻어졌다.In a 100 ml eggplant flask, 6.12 g (29.4 mmol) methacrylic acid 2-methyl-2-bicyclo [2,2,2] octane, 4.17 g (29.4 mmol) glycidyl methacrylate, Teflon A coated sterling bar, 19.6 ml of dioxane and 1.45 g (8.8 mmol) of azobisisobutyronitrile (AIBN) were added and stirred at 70 ° C. under a nitrogen atmosphere for 8 hours. The reaction solution was diluted with tetrahydrofuran (THF) and then added dropwise to 1.5 liters of methanol containing a small amount of hydroquinone. The resulting precipitate was filtered with a glass filter and dried at 0.1 mm Hg and 45 ° C. for 16 hours. The obtained white powder was dissolved in THF again, and the precipitation-drying operation was repeated twice in the same manner as described above. White resin powder was obtained.

다음에, 얻어진 백색 분말을 100ml의 삼구 플라스크에 넣고, 또한 TeflonTM코팅된 스터링바를 넣고, 딤로드 콘덴서 및 이산화탄소 도입관을 부착한 후, 100ml의 NMP에 용해했다. 플라스크의 내용물을, 드라이아이스를 기화시킴으로써 이산화탄소를 도입하면서, 100℃에서 3시간 교반했다. 반응 혼합물을 1.5리터의 메탄올에 적하했다. 생성한 침전을 글래스 필터로 필터링하고, 0.1mmHg 및 45℃에서 16시간 건조시켰다. 얻어진 백색의 분말을 THF에 용해시켜, 상기한 것과 같은 침전~건조작업을 2회 반복했다. 백색 수지 분말이 얻어졌다. 이 백색 분말의 조성비를1H NMR에서 조사한 바, 공중합비가, 비시클로옥탄:카보네이트=52:48임이 판명됐다. 이 공중합체의 파장 248nm 및 193nm에 있어서의 투과율은, 각각 96% 및 64%(막두께 1μm, 석영 기판상)로, 투명성이 우수함을 나타낸다. 또한, 그 외의 분석 결과는, 다음과 같다.Next, the obtained white powder was placed in a 100 ml three-necked flask, followed by a Teflon coated sterling bar, and a dim rod condenser and a carbon dioxide inlet tube were attached, and then dissolved in 100 ml of NMP. The contents of the flask were stirred at 100 ° C for 3 hours while introducing carbon dioxide by vaporizing dry ice. The reaction mixture was added dropwise to 1.5 liters of methanol. The resulting precipitate was filtered with a glass filter and dried at 0.1 mm Hg and 45 ° C. for 16 hours. The obtained white powder was dissolved in THF, and the same precipitation-drying operation as described above was repeated twice. White resin powder was obtained. The composition ratio of this white powder was examined by 1 H NMR, and it was found that the copolymerization ratio was bicyclooctane: carbonate = 52: 48. The transmittance | permeability in wavelength 248nm and 193nm of this copolymer is 96% and 64% (film thickness of 1 micrometer, on a quartz substrate), respectively, and shows that transparency is excellent. In addition, other analysis results are as follows.

수량:7.3g(71%)Quantity: 7.3 g (71%)

중량평균분자량:14200(표준 폴리스티렌 환산)Weight average molecular weight: 14200 (standard polystyrene equivalent)

분산도:1.88Dispersion: 1.88

IR(KRS-5, cm-1):1813, 1723, 1259, 1162, 1102.IR (KRS-5, cm- 1 ): 1813, 1723, 1259, 1162, 1102.

[실시예 20]Example 20

레지스트 패턴의 형성Formation of a resist pattern

상기 실시예 19에 있어서 합성한 공중합체를 프로필렌글리콜 메틸에테르 아세테이트에 용해하여 19중량% 용액으로 했다. 또한, 이 공중합체 용액에는, 보조용매로서, 10중량%의 γ-부티로락톤도 포함시켰다. 얻어진 용액에, 공중합체에 대해서 5중량% 양의 트리페닐술포늄 트리플루오로메탄 술포네이트를 가하여 충분히 용해시켰다. 얻어진 레지스트 용액을 0.2μm의 TeflonTM멤브란 필터로 여과한 후, HMDS 처리를 행한 실리콘 기판상에 3000rpm으로 스핀 코팅하고, 120℃에서 60초간 프리베이킹했다. 막두께 0.7μm의 레지스트 피막이 얻어졌다. 이 레지스트 피막을 KrF 엑시머 레이저 스테퍼(NA=0.45)로 노광한 후, 100℃에서 60초간 베이킹하여, 2.38%의 테트라메틸암모뉴하이드로옥시드(TMAH) 수용액에서 현상하고, 탈이온수에 서 60초간 린스했다. 18mJ/cm2의 노광량에서, 0.25μm의 라인-앤드-스페이스(L/S) 페턴을 해상할 수 있었다.The copolymer synthesized in Example 19 was dissolved in propylene glycol methyl ether acetate to obtain a 19 wt% solution. The copolymer solution also contained 10% by weight of γ-butyrolactone as a cosolvent. To the obtained solution, triphenylsulfonium trifluoromethane sulfonate in an amount of 5% by weight based on the copolymer was added and completely dissolved. The resulting resist solution was filtered through a 0.2 μm Teflon membrane filter, spin-coated at 3000 rpm on a HMDS-treated silicon substrate, and prebaked at 120 ° C. for 60 seconds. A resist film with a film thickness of 0.7 μm was obtained. The resist film was exposed with KrF excimer laser stepper (NA = 0.45), baked at 100 ° C. for 60 seconds, developed in a 2.38% aqueous tetramethylammonium hydroxide (TMAH) solution, and dehydrated for 60 seconds in deionized water. Rinse. At an exposure dose of 18 mJ / cm 2 , a 0.25 μm line-and-space (L / S) pattern could be resolved.

[실시예 21]Example 21

레지스트 패턴의 형성Formation of a resist pattern

상기 실시예 19에 있어서 합서한 공중합체를 젖산 에틸에 용해하여 18중량% 용액으로 했다. 얻어진 젖산 에틸 용액에, 공중합체에 대해서 5중량% 양의 트리페닐술포늄 트리플루오로메탄 술포네이트를 가하여 충분히 용해시켰다. 얻어진 레지스트 용액을 0.2μm TeflonTM 멤브란 필터로 여과한 후, HMDS 처리를 행한 실리콘 기판상에 3500rpm으로 스핀 코팅하고, 130℃에서 60초간 프리베이킹했다. 막두께 0.5μm의 레지스트 피막이 얻어졌다. 이 레지스트 피막을 ArF 엑시머 레이저 노광장치(NA=0.55)로 노광한 후, 100℃에서 60초간 베이킹하여, 2.38%의 테트라메틸암모늄하이드로옥시드(TMAH) 수용액에서 현상하고, 탈이온수에서 60초간 린스했다 7.4mJ/cm2의 노광량에서, 0.18μm의 라인-앤드-스페이스(L/S) 패턴을 해상할 수 있었다.In Example 19, the copolymer was dissolved in ethyl lactate to obtain an 18% by weight solution. To the obtained ethyl lactate solution, triphenylsulfonium trifluoromethane sulfonate in an amount of 5% by weight based on the copolymer was added and completely dissolved. The obtained resist solution was 0.2 μm TeflonTM After filtration with a membrane filter, spin coating was carried out at 3500 rpm on a silicon substrate subjected to HMDS treatment and prebaked at 130 ° C. for 60 seconds. A resist film having a film thickness of 0.5 μm was obtained. The resist film was exposed with an ArF excimer laser exposure apparatus (NA = 0.55), baked at 100 ° C. for 60 seconds, developed in a 2.38% aqueous tetramethylammonium hydroxide (TMAH) solution, and rinsed in deionized water for 60 seconds. Was 7.4mJ / cm2At an exposure dose of, a line-and-space (L / S) pattern of 0.18 μm could be resolved.

[실시예 22]Example 22

드라이 에칭 내성의 평가Evaluation of Dry Etch Resistance

상기 실시예 19에서 제조한 공중합체를 사용하여, 상기 실시예 20에 기재된 방법을 반복했다. 다음에, 얻어진 레지스트 패턴의 드라이 에칭 내성을 평가하기 위해, 실리콘 기판을 평행 평판형 RIE 장치에 수용하고, Pμ=200W, 압력=0.02토르, 사불화탄소(CF4) 가스=100sccm, 스패터링 시간=5분인 조건 하에서 CF4스패터링 에치했다. 또한, 비교를 위해, 상업적으로 입수 가능한 노볼락 레지스터인 나가센 포지티브형 레지스트 NPR-820(나가센산업자제) 및 폴리메틸메타크릴레이트(PMMA)에 대해서도, 마찬가지의 평가를 실시했다. 다음의 표 2와 같은 결과가 얻어졌다.Using the copolymer prepared in Example 19, the method described in Example 20 was repeated. Next, in order to evaluate the dry etching resistance of the obtained resist pattern, the silicon substrate was housed in a parallel plate-type RIE apparatus, Pμ = 200 W, pressure = 0.02 Torr, carbon tetrafluoride (CF 4 ) gas = 100 sccm, sputtering time = CF 4 sputtering etch was carried out under conditions that were 5 minutes. In addition, similar evaluation was performed also about the Nagasen positive resist NPR-820 (made by Nagasen Industrial Co., Ltd.) and polymethyl methacrylate (PMMA) which are commercially available novolak registers. The results shown in Table 2 below were obtained.

Figure kpo00018
Figure kpo00018

상기한 결과에서 이해될 수 있는 바와 같이, 본 발명에 의한 레지스트 조성물의 에칭 내성은, NPR-820과 같은 정도이고, PMMA보다는 현저히 우수하다.As can be understood from the above results, the etching resistance of the resist composition according to the present invention is on the same level as NPR-820 and is significantly superior to PMMA.

[실시예 23]Example 23

레지스트 막의 해상성의 평가Evaluation of the resolution of the resist film

상기 실시예 3에 있어서 합성한 메타크릴산 2-메틸-2-아다만틸/메타크릴산 프로필렌 카보네이트 공중합체를 젖산 에틸에 용해하여 18중량% 용액으로 했다. 얻어진 젖산 에틸 용액에, 공중합체에 대해서 2중량% 양의 트리페닐술포늄 트리플루오로메탄 술포네이트를 가하여 충분히 용해시켰다. 얻어진 레지스트 용액을 0.2μm의 TeflonTM-멤브란 필터로 여과한 후, HMDS 처리를 행한 실리콘 기판상에 4000rpm으로 스핀 코팅하고, 120℃에서 60초간 프리베이킹했다. 막두께 0.5μm의 레지스트 피막이 얻어졌다. 이 레지스트 피막을 ArF 엑시머 레이저 노광 장치(NA=0.55)로 노광한 후, 120℃에서 60초간 베이킹하여, 2.38%의 테트라메틸암모늄하이드로옥시드(TMAH) 수용액에서 현상하고, 탈이온수에서 60초간 린스했다. 16mJ/cm2의 노광량에서, 0.25μm의 라인-앤드-스페이스(L/S) 패턴을 해상할 수 있었다. 또한, 얻어진 레지스트 패턴의 기판에 대한 밀착력은 강력하고, 린스 동안에 박리가 발생하지 않았다.Methacrylic acid 2-methyl-2-adamantyl / methacrylic acid propylene carbonate copolymer synthesized in Example 3 was dissolved in ethyl lactate to obtain an 18% by weight solution. To the obtained ethyl lactate solution, triphenylsulfonium trifluoromethane sulfonate in an amount of 2% by weight based on the copolymer was added and completely dissolved. The resulting resist solution was filtered through a 0.2 μm Teflon -Membrane filter, spin-coated at 4000 rpm on a HMDS-treated silicon substrate, and prebaked at 120 ° C. for 60 seconds. A resist film having a film thickness of 0.5 μm was obtained. After exposing this resist film with ArF excimer laser exposure apparatus (NA = 0.55), it bakes at 120 degreeC for 60 second, develops in 2.38% of tetramethylammonium hydroxide (TMAH) aqueous solution, and rinses for 60 second in deionized water. did. At an exposure dose of 16 mJ / cm 2 , a 0.25 μm line-and-space (L / S) pattern could be resolved. In addition, the adhesion of the obtained resist pattern to the substrate was strong, and no peeling occurred during rinsing.

다음에, 비교를 위해, 상기 공중합체 대신에 메타크릴산 2-메틸-2-아다만틸/메타크릴산 메틸(공중합비=51:49, 중량평균분자량=18500(표준 폴리스티렌 환산) 및 분산도=1.88)을 사용하여 상기의 방법을 반복했다. 탈이온수에서 린즈한 바, 0.35μm 이하의 폭의 레지스트 패턴은 기판에서 박리되어, 해상하기에 미치지 못했다. 이 것은, 본 발명과 같이 단량체 단위의 일방에 프로필렌카보네이트를 사용함으로써, 높은 해상성이 용이하게 얻어짐을 나타내고 있다.Next, for comparison, 2-methyl-2-adamantyl / methyl methacrylate (copolymerization ratio = 51: 49, weight average molecular weight = 18500 in terms of standard polystyrene) and dispersion degree in place of the copolymer. = 1.88) was repeated. When rinsed in deionized water, a resist pattern having a width of 0.35 μm or less was peeled off from the substrate, which was less than resolution. This shows that high resolution is easily obtained by using propylene carbonate for one of the monomer units as in the present invention.

[실시예 24]Example 24

MOS 트랜지스터의 제조Fabrication of MOS Transistors

실리콘 기판의 표면에 게이트 산화막을 형성하고, 그 위에 다시 폴리-실리콘 막을 CVD에 의해 형성하고, 인 등의 n형 불순물을 중비하여 저저항화했다. 그 후, 스퍼터링에 의해 WSi막을 형성했다. 다음에, 최상층인 WSi막 상에 상기 실시예 9에 기재된 방법에 따라 0.30μm L&S의 레지스트 패턴을 형성했다. 얻어진 레지스트 패턴을 마스크로서, 하지의 폴리실리콘막 및 WSi 막을 이방성 에칭했다. 폴리실리콘 막 WSi 막으로 된 게이트 전극이 형성되었다.A gate oxide film was formed on the surface of the silicon substrate, and a poly-silicon film was again formed on the surface of the silicon substrate by CVD to reduce the resistance by heavy n-type impurities such as phosphorus. Thereafter, a WSi film was formed by sputtering. Next, a resist pattern of 0.30 µm L & S was formed on the WSi film as the uppermost layer by the method described in Example 9. Using the obtained resist pattern as a mask, the underlying polysilicon film and the WSi film were anisotropically etched. A gate electrode made of a polysilicon film WSi film was formed.

게이트 전극의 형성 후, 이온 주입에 의해 인을 주입하여 LDD 구조의 N- 확산층을 형성했다. 다음에, 게이트 전극 상의 레지스트 막을 박리 제거한 후, 산화막을 CVD에 의해 전면적으로 형성했다. 또한, 형성된 CVD 산화막을 이방성 에칭하여, 폴리실리콘 막 및 WSi막으로 된 게이트 전극의 측벽부에 사이드 월을 형성했다. 그 후, WSi 막 및 사이드 월을 마스크로서 이온 주입을 행하여, N+확산층을 형성했다. 이어서, 이것을 활성화하기 위해, 실리콘 기판을 질소 분위기 중에서 혈처리하고, 또한 그 후에 산소 분위기 중에서 가열했다. 게이트 산화막을 덮는 열 산화막이 형성되었다.After formation of the gate electrode, phosphorus was implanted by ion implantation to form an N-diffusion layer having an LDD structure. Next, after the resist film on the gate electrode was peeled off, the oxide film was entirely formed by CVD. In addition, the formed CVD oxide film was anisotropically etched to form sidewalls on the sidewall portions of the gate electrodes made of the polysilicon film and the WSi film. Thereafter, ion implantation was performed using the WSi film and the sidewall as a mask to form an N + diffusion layer. Subsequently, in order to activate this, the silicon substrate was blood-treated in nitrogen atmosphere, and it heated in oxygen atmosphere after that. A thermal oxide film was formed covering the gate oxide film.

기판의 최상층에 층간 절연막을 CVD에 의해 전면적으로 형성한 후, 상기 실시예 14에 기재된 방법에 따라 레지스트 용액을 다시 도포하여 선택적으로 에칭하고, 배선 형성부에 0.2μm의 미세한 홀패턴을 형성했다. 또한, 이 레지스트 프로세스에서는, KrF 엑시머 레이저 스테퍼(NA=0.45) 대신에 ArF 엑시머 레이저 노광 장치(NA=0.55)를 사용했다. 또한, 이 레지스트 패턴을 마스크로서 하지의 층간 절연막을 이방성 에칭하고, 콘택홀을 개공했다. 최후로, 이 콘택홀에 알루미늄(Al) 배선을 채워 넣어, N 채널의 MOS 트랜지스터를 완성했다.After the entire interlayer insulating film was formed by CVD on the uppermost layer of the substrate, the resist solution was again applied and selectively etched according to the method described in Example 14 to form a fine hole pattern of 0.2 mu m in the wiring forming portion. In this resist process, an ArF excimer laser exposure apparatus (NA = 0.55) was used instead of KrF excimer laser stepper (NA = 0.45). In addition, an interlayer insulating film underlying the resist pattern as a mask was anisotropically etched to form a contact hole. Finally, an aluminum (Al) wiring was filled in this contact hole to complete an N-channel MOS transistor.

본 실시예에서는, 반도체 장치의 일 예로서 MOS 트랜지스터를 참조하여 그 제조를 설명했다. 또한, 본 발명을 적용함으로써, 마스크 기판, 레티클 등의 패턴형성, 그 외의 장치의 제조도 유리하게 실시할 수 있다. 또한, 본 발명을, LCD 등의 표시 장치에 사용되는 글래스 기판 등의 기판 상의 패터닝에도 유리하게 적용할 수 있다.In this embodiment, the fabrication has been described with reference to a MOS transistor as an example of a semiconductor device. Moreover, by applying this invention, pattern formation of a mask substrate, a reticle, etc., and manufacture of other apparatus can also be performed advantageously. The present invention can also be advantageously applied to patterning on substrates such as glass substrates used in display devices such as LCDs.

본 발명에 의한 레지스트 조성물을 사용하면, 고감도이고, 팽윤이 없는미세한 포지티브형 레지스트 패턴을 형성할 수 있다. 특히 이 레지스트 조성물은, 카복실 산 혹은 페놀을 보호하는 에스테르기 등의 보호기를 산촉매 반응으로 탈리 가능하게 할 뿐만 아니라, 기재 수지로서의 중합체를 구성하는 하나의 단량체 단위에 포함되는 환상 카보네이트 부분이 노광부에 있어서 현상액과 반응하기 때문에 노광부의 용해 레이트가 향사하므로, 종래의 화학 증폭형 레지스트에 비하여 보다 높은 감도 및 보다 높은 해상성을 용이하게 얻을 수 있다.By using the resist composition according to the present invention, it is possible to form a fine positive resist pattern with high sensitivity and without swelling. In particular, in the resist composition, not only the protecting group such as the carboxylic acid or the phenol protecting the phenol can be detached by an acid catalyst reaction, and the cyclic carbonate portion contained in one monomer unit constituting the polymer as the base resin is exposed to the exposed portion. Since the reaction rate with the developer is increased, the dissolution rate of the exposed portion is improved, so that higher sensitivity and higher resolution can be easily obtained as compared with the conventional chemically amplified resist.

또한, 기재 수지로서의 중합체가 페놀이나 다환성 지환족기를 갖는 상대 단량체를 사용한 중합체인 경우, 드라이 에칭 내성이 높고, 특히 (메트)아크릴레이트계 중합체에 공역 이중 결합을 포함하지 않는 구조를 선택하면, 심자외 영역에 큰 흡광 계수를 갖는 발색단을 포함하지 않으므로, ArF 엑시머 레이저와 같은 극단과 장의 노광 광원에도 대응 가능하다.In addition, when the polymer as the base resin is a polymer using a relative monomer having a phenol or a polycyclic alicyclic group, a dry etching resistance is high, and in particular, when a structure in which the (meth) acrylate polymer does not contain a conjugated double bond is selected, Since the chromophore having a large extinction coefficient is not included in the deep ultraviolet region, it is possible to cope with extreme light and long exposure light sources such as an ArF excimer laser.

또한, 본 발명의 레지스트 조성물을 사용하여 형성된 레지스트 막은, 하지의 피처리 기판에 대한 밀착력이 극히 양호하므로, 종래의 것과 같이 형상 중에 기판에서 박리하는 단점이 없다.In addition, the resist film formed by using the resist composition of the present invention has extremely good adhesion to the substrate to be treated under the ground, and thus has no disadvantage of peeling off from the substrate in the shape as in the prior art.

또한, 본 발명의 반도체 장치의 제조에는, 상기한 바와 같은 우수한 레지스트 조성물을 사용하고 있으므로, 종래보다도 미세한 게이트 전극이나 콘택홀을 갖는 디바이스를 제조할 수 있다.Moreover, since the excellent resist composition mentioned above is used for manufacture of the semiconductor device of this invention, the device which has a finer gate electrode and a contact hole than before can be manufactured.

Claims (18)

자체 염기성 수용액에 불용인 중합체이며, (A) 에스테르기, 에테르기, 아세탈기 및 케탈기로 된 군에서 선택한 산으로 탈리 가능한 보호기로 보호된 카복실산 혹은 페놀을 갖는 단량체 단위 I 및 (B) 환상 카보네이트 부분을 포함하는 에스테르기 또는 에테르기를 갖는 단량체 단위 II를 적어도 그 구조 중에 포함하고 또한, 상기 단량체 단위 I의 보회가 산의 작용에 의해 탈리한 때, 염기성 수용액에 가용성으로 될 수 있는 중합체로 된 기재 수지와, 결상용 방사선을 흡수하여 분해하면 상기 단량체 단위 I의 보호기의 탈리를 야기할 수 있는 산을 발생할 수 있는 광 산 발생제를 조합하여 포함한 것을 특징으로 하는, 염기성 수용액으로 현상 가능한 레지스트 조성물.Monomer units I and (B) cyclic carbonates which are polymers insoluble in their basic aqueous solution and which have carboxylic acids or phenols protected with a protecting group desorbable with an acid selected from the group consisting of (A) ester groups, ether groups, acetal groups and ketal groups A substrate comprising a monomer unit II having an ester group or an ether group containing a moiety, at least in its structure, and made of a polymer which can be made soluble in a basic aqueous solution when the reversion of the monomer unit I is released by the action of an acid. A resist composition developable with a basic aqueous solution, comprising a resin and a photo acid generator capable of generating an acid which may cause desorption of the protecting group of the monomer unit I by absorbing and decomposing the imaging radiation. 제1항에 있어서, 상기 보호된 카복실산을 갖는 단량체 단위 I이 (메트)아크릴레이트계 단량체 단위로 된 것을 특징으로 하는 레지스트 조성물.The resist composition according to claim 1, wherein the monomer unit I having a protected carboxylic acid is a (meth) acrylate-based monomer unit. 제1항에 있어서, 상기 보호된 페놀을 갖는 단량체 단위 I의 비닐페놀계 단량체 단위로 된 것을 특징으로 하는 레지스트 조성물.A resist composition according to claim 1, wherein the resist composition is a vinylphenol-based monomer unit of monomer unit I having a protected phenol. 제1항 내지 제3항중 어느 한 항에 있어서, 상기 단량체 단위 I의 보호기인 에스테르기가 지환식 탄화수소기인 것을 특징으로 하는 레지스트 조성물.The resist composition according to any one of claims 1 to 3, wherein the ester group which is a protecting group of the monomer unit I is an alicyclic hydrocarbon group. 제4항에 있어서, 상기 지환식 탄화수소기가 3급 알코올 골격을 갖고 또한 그 3급 알코올에서 에스테르 결합하고 있는 것을 특징으로 하는 레지스트 조성물.The resist composition according to claim 4, wherein the alicyclic hydrocarbon group has a tertiary alcohol skeleton and is ester-bonded with the tertiary alcohol. 제4항에 있어서, 상기 지환식 탄화수소기가 다환계 지환식 골격을 갖고 있는 것을 특징으로 하는 레지스트 조성물.The resist composition according to claim 4, wherein the alicyclic hydrocarbon group has a polycyclic alicyclic skeleton. 제1항 내지 제3항중 어느 한 항에 있어서, 환상 카보네이트 부분을 포함하는 에스테르기 또는 에테르기를 갖는 단량체 단위 II가 (메트)아크릴레이트계 단량체 단위로 된 것을 특징으로 하는 레지스트 조성물.The resist composition according to any one of claims 1 to 3, wherein monomer unit II having an ester group or ether group comprising a cyclic carbonate moiety is a (meth) acrylate-based monomer unit. 제1항 내지 제3항중 어느 한 항에 있어서, 상기 중합체가 그 구조 중에 공역 이중 결합을 갖고 있지 않는 것을 특징으로 하는 레지스트 조성물.The resist composition according to any one of claims 1 to 3, wherein the polymer does not have a conjugated double bond in its structure. 제1항 내지 제3항중 어느 한 항에 있어서 상기 광 산 발생제가 상기 기재 수지의 전량을 기준으로 하여 0.1~50중량% 포함된 것을 특징으로 하는 레지스트 조성물.The resist composition according to any one of claims 1 to 3, wherein the photo acid generator is contained in an amount of 0.1 to 50% by weight based on the total amount of the base resin. 제1항 내지 제3항중 어느 한 항에 있어서, 석영 기판에 도포하여 그 기판상에 막두께 1μm의 피막을 형성한 때, 그 때의 노광 파장에서의 투과율이 20%이상인 것을 특징으로 하는 레지스트 조성물.The resist composition according to any one of claims 1 to 3, wherein when the coating is applied to a quartz substrate to form a film having a film thickness of 1 m on the substrate, the transmittance at the exposure wavelength at that time is 20% or more. . 제1항 내지 제3항중 어느 한 항에 있어서, 젖산 에틸, 메틸아민 케톤, 메틸-3-메톡시프로피오네이트, 에틸-3-에톡시프로피오네이트, 프로필렌글리콜 메틸에테르아세테이트 및 그들의 혼합물로 된 군에서 선택한 용매에 용해한 용액의 형태인 것을 특징으로 하는 레지스트 조성물.4. A compound according to any one of claims 1 to 3, consisting of ethyl lactate, methylamine ketone, methyl-3-methoxypropionate, ethyl-3-ethoxypropionate, propylene glycol methyl ether acetate and mixtures thereof. Resist composition characterized in that the form of a solution dissolved in a solvent selected from the group. 제11항에 있어서, 초산 부틸, γ-부티로락톤, 프로필렌글리콜 메틸에테르 및 그들의 혼합물로 된 군에서 선택한 용매를 보조 용매로서 더 포함하는 것을 특징으로 하는 레지스트 조성물.The resist composition according to claim 11, further comprising a solvent selected from the group consisting of butyl acetate, γ-butyrolactone, propylene glycol methyl ether, and mixtures thereof as an auxiliary solvent. 하기의 공정:제1항에 기재된 레지스트 조성물을 피처리 기판상에 도포하고, 형성된 레지스트 막을 상기 레지스트 조성물의 광 산 발생제의 분해를 유기할 수 있는 결상용 방사선으로 선택적으로 노광하고, 노광 후의 레지스트 막을 염기성 수용액으로 현상함을 포함하는 것을 특징으로 하는 레지스트 패턴의 형성 방법.The following steps: The resist composition according to claim 1 is applied onto a substrate to be treated, and the formed resist film is selectively exposed to imaging radiation capable of inducing decomposition of the photoacid generator of the resist composition, and the resist after exposure. And developing the film with a basic aqueous solution. 제13항에 있어서, 상기 노광 공정에 있어서, KrF 또는 ArF 엑시머 레이저를 노광 광원으로서 사용하는 것을 특징으로 하는 레지스트 패턴의 형성 방법.The method of forming a resist pattern according to claim 13, wherein in the exposure step, a KrF or ArF excimer laser is used as an exposure light source. 하기의 공정:제1항에 기재된 레지스트 조성물을 피처리 기판상에 도포하여 레지스트 막을 형성하고, 상기 레지스트 막을 상기 레지스트 조성물의 광 산 발생제의 분해를 유기할 수 있는 결상용 방사선으로 선택적으로 노광하고, 노광 후 레지스트 막을 염기성 수용액으로 현상하여 레지스트 패턴을 형성하고, 상기 레지스트 패턴을 마스크로서, 그 하지의 상기 피처리 기판을 에칭에 의해 제거함을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.The following process: The resist composition according to claim 1 is applied onto a substrate to be treated to form a resist film, and the resist film is selectively exposed to imaging radiation capable of inducing decomposition of the photoacid generator of the resist composition. And developing the resist film after exposure to a basic aqueous solution to form a resist pattern, and removing the substrate under processing by etching with the resist pattern as a mask. 제15항에 있어서, 상기 피처리 기판이 그 위에 도포된 피에칭층을 갖고 있는 것을 특징으로 하는 반도체 장치의 제조 방법.The method of manufacturing a semiconductor device according to claim 15, wherein the substrate to be processed has an etching target layer applied thereon. 제15항 또는 제16항에 있어서, 상기 노광 공정에 있어서, KrF 또는 ArF 엑시머 레이저를 노광 광원으로서 사용하는 것을 특징으로 하는 반도체 장치의 제조 방법.The semiconductor device manufacturing method according to claim 15 or 16, wherein in the exposure step, a KrF or ArF excimer laser is used as an exposure light source. 제5항에 있어서, 상기 지환식 탄화수소기가 다환계 지환식 골격을 갖고 있는 것을 특징으로 하는 레지스트 조성물.The resist composition according to claim 5, wherein the alicyclic hydrocarbon group has a polycyclic alicyclic skeleton.
KR1019970032341A 1996-10-30 1997-07-11 Resist composition and pattern forming method thereof and semiconductor manufacturing method KR100242224B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP28852496A JP3297324B2 (en) 1996-10-30 1996-10-30 Resist composition, method for forming resist pattern, and method for manufacturing semiconductor device
JP96-288524 1996-10-30

Publications (2)

Publication Number Publication Date
KR19980032221A KR19980032221A (en) 1998-07-25
KR100242224B1 true KR100242224B1 (en) 2000-03-02

Family

ID=17731360

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970032341A KR100242224B1 (en) 1996-10-30 1997-07-11 Resist composition and pattern forming method thereof and semiconductor manufacturing method

Country Status (4)

Country Link
US (1) US5910392A (en)
JP (1) JP3297324B2 (en)
KR (1) KR100242224B1 (en)
TW (1) TW434452B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100504646B1 (en) * 2000-08-08 2005-07-29 신에쓰 가가꾸 고교 가부시끼가이샤 Acetal Compound, Polymer, Resist Composition and Patterning Process

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6017680A (en) * 1997-08-05 2000-01-25 Hitachi, Ltd. Method for pattern formation and process for preparing semiconductor device
US6165678A (en) * 1997-09-12 2000-12-26 International Business Machines Corporation Lithographic photoresist composition and process for its use in the manufacture of integrated circuits
GB9811813D0 (en) * 1998-06-03 1998-07-29 Horsell Graphic Ind Ltd Polymeric compounds
JP3844322B2 (en) * 1998-07-02 2006-11-08 富士写真フイルム株式会社 Positive photoresist composition for deep ultraviolet exposure
JP3430028B2 (en) * 1998-09-08 2003-07-28 松下電器産業株式会社 Pattern formation method
JP4131062B2 (en) * 1998-09-25 2008-08-13 信越化学工業株式会社 Novel lactone-containing compound, polymer compound, resist material, and pattern forming method
JP3353292B2 (en) * 1999-03-29 2002-12-03 日本電気株式会社 Chemically amplified resist
US20020003126A1 (en) * 1999-04-13 2002-01-10 Ajay Kumar Method of etching silicon nitride
DE10008840A1 (en) 2000-02-25 2001-09-06 Beiersdorf Ag Structured polyacrylate, used e.g. as hot-melt adhesive for chip production, obtained by UV-induced crosslinking of acrylic copolymer with tert-butyl acrylate units, e.g. by irradiation through mask
DE10008843A1 (en) 2000-02-25 2001-09-06 Beiersdorf Ag Cross-linked polyacrylate, useful as an adhesive, preferably an adhesive tape, is prepared by UV radiation of a polymer mixture of polyacrylate copolymers in the presence of a cationic photoinitiator.
EP1308312A4 (en) * 2000-08-09 2005-08-31 Labo Co Ltd Thermo-sensitive recording type lithographical block material, method of making up lithographical block, and lithographical block made up by the making up method
US7125496B2 (en) * 2001-06-28 2006-10-24 Hynix Semiconductor Inc. Etching method using photoresist etch barrier
TWI299816B (en) * 2002-04-03 2008-08-11 Sumitomo Chemical Co Positive resist composition
KR101038621B1 (en) * 2002-11-15 2011-06-03 이 아이 듀폰 디 네모아 앤드 캄파니 Process for using protective layers in the fabrication of electronic devices
US7238462B2 (en) * 2002-11-27 2007-07-03 Tokyo Ohka Kogyo Co., Ltd. Undercoating material for wiring, embedded material, and wiring formation method
US20040170925A1 (en) * 2002-12-06 2004-09-02 Roach David Herbert Positive imageable thick film compositions
US7033728B2 (en) * 2003-12-29 2006-04-25 Az Electronic Materials Usa Corp. Photoresist composition
US7402373B2 (en) * 2004-02-05 2008-07-22 E.I. Du Pont De Nemours And Company UV radiation blocking protective layers compatible with thick film pastes
DE102004042300A1 (en) * 2004-08-27 2006-03-30 Infineon Technologies Ag High resolution photoresist process especially for the production of semiconductors using radiant heating of the resist layer
US7113018B2 (en) * 2004-10-28 2006-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High voltage tolerant I/O circuit using native NMOS transistor for improved performance
US8691180B2 (en) * 2005-08-25 2014-04-08 The Regents Of The University Of California Controlled placement and orientation of nanostructures
US20080171270A1 (en) * 2007-01-16 2008-07-17 Munirathna Padmanaban Polymers Useful in Photoresist Compositions and Compositions Thereof
JP4798027B2 (en) * 2007-01-18 2011-10-19 Jsr株式会社 Radiation sensitive resin composition for ion implantation and pattern formation method for ion implantation
JP5051232B2 (en) * 2007-08-09 2012-10-17 Jsr株式会社 Radiation sensitive resin composition and pattern forming method
US8252503B2 (en) * 2007-08-24 2012-08-28 Az Electronic Materials Usa Corp. Photoresist compositions
JP5287264B2 (en) * 2009-01-08 2013-09-11 Jsr株式会社 Radiation sensitive resin composition
JP2010160348A (en) * 2009-01-08 2010-07-22 Jsr Corp Radiation-sensitive resin composition and polymer
TWI533082B (en) * 2008-09-10 2016-05-11 Jsr股份有限公司 Radiation sensitive resin composition
JP5157932B2 (en) * 2009-01-20 2013-03-06 Jsr株式会社 Radiation sensitive resin composition
JP2010235910A (en) * 2009-03-09 2010-10-21 Jsr Corp Cyclic carbonate compound, method for producing the same, and polymer
JP5621275B2 (en) * 2009-03-23 2014-11-12 Jsr株式会社 Photoresist pattern forming method for ion plantation.
JP2011048175A (en) * 2009-08-27 2011-03-10 Jsr Corp Radiation sensitive resin composition
JPWO2011125685A1 (en) 2010-03-31 2013-07-08 Jsr株式会社 Radiation sensitive resin composition
KR20130114095A (en) * 2010-09-17 2013-10-16 제이에스알 가부시끼가이샤 Radiation-sensitive resin composition, polymer, and method for forming resist pattern
JP5885143B2 (en) * 2010-10-07 2016-03-15 東京応化工業株式会社 Negative development resist composition for guide pattern formation, guide pattern formation method, pattern formation method for layer containing block copolymer
WO2012169620A1 (en) 2011-06-10 2012-12-13 東京応化工業株式会社 Solvent-developable negative resist composition, resist pattern formation method, and method for forming pattern of layer including block copolymer
TWI477483B (en) * 2011-10-17 2015-03-21 Jsr Corp Sense of radiation linear resin composition
US11945893B2 (en) * 2020-09-30 2024-04-02 Canon Kabushiki Kaisha Curable composition

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4491628A (en) * 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
US5506088A (en) * 1991-09-17 1996-04-09 Fujitsu Limited Chemically amplified resist composition and process for forming resist pattern using same
JP3236073B2 (en) * 1992-06-16 2001-12-04 富士通株式会社 Resist composition and pattern forming method using the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100504646B1 (en) * 2000-08-08 2005-07-29 신에쓰 가가꾸 고교 가부시끼가이샤 Acetal Compound, Polymer, Resist Composition and Patterning Process

Also Published As

Publication number Publication date
TW434452B (en) 2001-05-16
JPH10133377A (en) 1998-05-22
JP3297324B2 (en) 2002-07-02
US5910392A (en) 1999-06-08
KR19980032221A (en) 1998-07-25

Similar Documents

Publication Publication Date Title
KR100242224B1 (en) Resist composition and pattern forming method thereof and semiconductor manufacturing method
JP3297272B2 (en) Resist composition and method of forming resist pattern
KR100250566B1 (en) Polymer compound for a chemical amplification resist and a fabrication process of a semiconductor device using such a chemical amplication resist
KR100292391B1 (en) Negative-type resist composition and process for forminging resist patterns
KR100301354B1 (en) Resist Composition and Resist Pattern Formation Method
US6207342B1 (en) Chemically amplified resist material and process for the formation of resist patterns
KR100206664B1 (en) Chemically amplified resist composition and method for forming resist pattern
JP3690847B2 (en) Resist composition and pattern forming method
JP5013014B2 (en) Negative resist composition, method of forming resist pattern, and method of manufacturing semiconductor device
JP5105667B2 (en) Negative resist composition, method of forming resist pattern, and method of manufacturing semiconductor device
US6451501B1 (en) Acid sensitive copolymer, resist composition and resist pattern forming method
US20020177070A1 (en) Negative resist composition, a method for forming a resist pattern thereof, and a method for fabricating a semiconductor device
US20020061461A1 (en) Photoresist polymer for top-surface imaging process by silylation and photoresist composition containing the same
JPH11327145A (en) Positive photosensitive composition
TWI784272B (en) Resist compositions, method of manufacture thereof and articles containing the same
JP3859352B2 (en) Negative resist composition and method for forming resist pattern
JP4127937B2 (en) Resist composition and method for forming resist pattern
JPH11305439A (en) Positive type photosensitive composition
JP2002131914A (en) Positive photosensitive resin composition
JP2000112130A (en) Positive photosensitive resin composition
JP2000010286A (en) Positive type photosensitive resin composition
JPH11338152A (en) Positive type photosensitive resious composition
KR20060020628A (en) Photoresist composition for deep uv and process thereof
JP2006518476A (en) Photoresist composition for deep ultraviolet lithography
JP2002131913A (en) Positive photosensitive resin composition

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121023

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20131022

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20141021

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20151016

Year of fee payment: 17

FPAY Annual fee payment

Payment date: 20161019

Year of fee payment: 18

EXPY Expiration of term