JPWO2019173171A5 - - Google Patents

Download PDF

Info

Publication number
JPWO2019173171A5
JPWO2019173171A5 JP2020546104A JP2020546104A JPWO2019173171A5 JP WO2019173171 A5 JPWO2019173171 A5 JP WO2019173171A5 JP 2020546104 A JP2020546104 A JP 2020546104A JP 2020546104 A JP2020546104 A JP 2020546104A JP WO2019173171 A5 JPWO2019173171 A5 JP WO2019173171A5
Authority
JP
Japan
Prior art keywords
overlay
weighing
weighing system
tool
target
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020546104A
Other languages
Japanese (ja)
Other versions
JP7177846B2 (en
JP2021516366A (en
Publication date
Priority claimed from US16/057,498 external-priority patent/US10533848B2/en
Application filed filed Critical
Publication of JP2021516366A publication Critical patent/JP2021516366A/en
Publication of JPWO2019173171A5 publication Critical patent/JPWO2019173171A5/ja
Application granted granted Critical
Publication of JP7177846B2 publication Critical patent/JP7177846B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (42)

計量システムであって、
プログラム命令群を実行するよう構成された1個又は複数個のプロセッサを有するコントローラを備え、当該1個又は複数個のプロセッサが、
光可分解フィーチャ群及びデバイススケールフィーチャ群を有するハイブリッドオーバレイターゲットに関する光学ツール誤差調整値を、それら光可分解フィーチャに依拠した光学オーバレイ計測値と、それらデバイススケールフィーチャに依拠したデバイススケールオーバレイ計測値と、の間の差異を計測することで生成し、
そのハイブリッドオーバレイターゲットに関するターゲット対デバイス調整値を、バイスエリアにおける諸フィーチャの位置に基づき生成し、
これら光学オーバレイ計測値、光学ツール誤差調整値及びターゲット対デバイス調整値のうち少なくとも一つに基づき、そのデバイスエリア内の一個所又は複数個所に関するデバイス関連オーバレイ計測値を求め、且つ
それらデバイス関連オーバレイ計測値に基づき、前記デバイスエリアに関するオーバレイコレクタブルをリソグラフィツールに供給することで、少なくとも1回の後続露出に関する露出条件を修正するよう、
それらプログラム命令が構成されている計量システム。
It ’s a weighing system.
A controller having one or more processors configured to execute a set of program instructions, the one or more processors.
Optical tool error adjustments for hybrid overlay targets with photodegradable features and device scale features, with optical overlay measurements based on those photoresolvable features and device scale overlay measurements based on those device scale features. Generated by measuring the difference between,
Generates target-to-device adjustments for that hybrid overlay target based on the location of features in the device area.
Based on at least one of these optical overlay measurement values, optical tool error adjustment values, and target-to-device adjustment values, device-related overlay measurement values for one or more locations within the device area are obtained, and those device-related overlay measurements are performed. Based on the value, the overlay collectable for the device area is supplied to the lithography tool to correct the exposure condition for at least one subsequent exposure.
A weighing system in which those program instructions are configured.
請求項1に記載の計量システムであって、前記デバイスエリアにおける諸フィーチャの位置であり前記ターゲット対デバイス調整値を求めるためのものに、
前記ハイブリッドオーバレイターゲットと前記デバイスエリア内の諸フィーチャとの間のパターン配置距離でありその標本の2個以上の層に関するものが含まれる、計量システム。
The weighing system according to claim 1, wherein the positions of various features in the device area for obtaining the target-to-device adjustment value.
A weighing system that includes a pattern placement distance between the hybrid overlay target and features in the device area, including for two or more layers of the sample.
請求項2に記載の計量システムであって、前記ハイブリッドオーバレイターゲットと前記デバイスエリア内の諸フィーチャとの間のパターン配置距離が、
前記標本を固持する並進ステージであり、そのハイブリッドオーバレイターゲットとそのデバイスエリア内の諸デバイススケールフィーチャとの間の分離間隔に少なくとも等しい可動域を有する並進ステージと、
撮像システムと、
を備えるエッジ配置計量ツールから受け取ったパターン配置距離であり、前記並進ステージにより計測された前記ハイブリッドオーバレイターゲット・前記デバイススケールフィーチャ間の距離が含まれるものである計量システム。
The weighing system according to claim 2, wherein the pattern placement distance between the hybrid overlay target and various features in the device area is determined.
A translational stage that holds the sample and has a range of motion that is at least equal to the separation interval between its hybrid overlay target and the device scale features within its device area.
Imaging system and
A weighing system that is a pattern placement distance received from an edge placement weighing tool comprising the distance between the hybrid overlay target and the device scale feature measured by the translational stage.
請求項3に記載の計量システムであって、前記エッジ配置計量ツールが、光学計量ツール及び粒子ビーム式計量ツールのうち少なくとも一方の内部に統合されている計量システム。 The weighing system according to claim 3, wherein the edge placement weighing tool is integrated inside at least one of an optical weighing tool and a particle beam weighing tool. 請求項1に記載の計量システムであって、前記デバイスエリアにおける諸フィーチャの位置であり前記ターゲット対デバイス調整値を求めるためのものに、
そのデバイスエリアにおけるオーバレイ計測値が含まれる計量システム。
The weighing system according to claim 1, wherein the positions of various features in the device area for obtaining the target-to-device adjustment value.
A weighing system that contains overlay measurements in that device area.
請求項5に記載の計量システムであって、前記デバイスエリアにおける前記オーバレイ計測値に、
そのデバイスエリア内の諸デバイスフィーチャのオーバレイ計測値が含まれる計量システム。
The weighing system according to claim 5, wherein the overlay measurement value in the device area is used.
A weighing system that contains overlay measurements of various device features within that device area.
請求項5に記載の計量システムであって、前記デバイスエリアにおける前記オーバレイ計測値に、
そのデバイスエリア内の諸デバイススケールオーバレイターゲットのオーバレイ計測値が含まれる計量システム。
The weighing system according to claim 5, wherein the overlay measurement value in the device area is used.
A weighing system that contains overlay measurements of various device scale overlay targets within that device area.
請求項1に記載の計量システムであって、前記光可分解フィーチャがセグメント化されており、それにより組み込まれた周期分布するフィーチャ群によって複数個の計測個所がもたらされており、それら複数個の計測個所に依拠し求められたオーバレイが、前記光学オーバレイ計測値に含まれる計量システム。 In the weighing system according to claim 1, the photodecomposable features are segmented, and a group of periodically distributed features incorporated therein provides a plurality of measurement points. A weighing system in which the overlay obtained based on the measurement point of the above is included in the optical overlay measurement value. 請求項1に記載の計量システムであって、前記デバイススケールフィーチャがセグメント化されており、それにより組み込まれた周期分布するフィーチャ群によって複数個の計測個所がもたらされており、それら複数個の計測個所に依拠し求められたオーバレイが、前記デバイススケールオーバレイ計測値に含まれる計量システム。 In the weighing system of claim 1, the device scale features are segmented, thereby providing a plurality of measurement points by a group of periodically distributed features incorporated therein. A weighing system in which the overlay obtained based on the measurement point is included in the device scale overlay measurement value. 請求項1に記載の計量システムであって、前記コントローラが光学計量ツールから前記光学オーバレイ計測値を受け取る計量システム。 The weighing system according to claim 1, wherein the controller receives the optical overlay measurement value from the optical weighing tool. 請求項10に記載の計量システムであって、前記光学計量ツールが画像依拠オーバレイツールであり、前記光学オーバレイ計測値が画像依拠オーバレイ計測値である計量システム。 The weighing system according to claim 10, wherein the optical weighing tool is an image-based overlay tool, and the optical overlay measurement value is an image-based overlay measurement value. 請求項10に記載の計量システムであって、前記光学計量ツールがスキャタロメトリ依拠オーバレイツールであり、前記光学オーバレイ計測値がスキャタロメトリ依拠オーバレイ計測値である計量システム。 The weighing system according to claim 10, wherein the optical weighing tool is a scatterometry-based overlay tool, and the optical overlay measurement value is a scatterometry-based overlay measurement value. 請求項1に記載の計量システムであって、前記コントローラが粒子ビーム式計量ツールから前記デバイススケールオーバレイ計測値を受け取る計量システム。 The weighing system according to claim 1, wherein the controller receives the device scale overlay measurement value from the particle beam type weighing tool. 請求項13に記載の計量システムであって、前記粒子ビーム式計量ツールが、
走査型電子顕微鏡計量ツールを備える計量システム。
The particle beam weighing tool according to claim 13, wherein the particle beam weighing tool is used.
Weighing system with scanning electron microscope weighing tool.
請求項14に記載の計量システムであって、前記走査型電子顕微鏡計量ツールが、
限界寸法走査型電子顕微鏡を備える計量システム。
The weighing system according to claim 14, wherein the scanning electron microscope weighing tool is used.
Weighing system with marginal dimension scanning electron microscope.
請求項13に記載の計量システムであって、前記粒子ビーム式計量ツールが、
集束イオンビーム式計量ツールを備える計量システム。
The particle beam weighing tool according to claim 13, wherein the particle beam weighing tool is used.
Weighing system with focused ion beam weighing tool.
請求項1に記載の計量システムであって、前記デバイスエリアがその標本のダイである計量システム。 The weighing system according to claim 1, wherein the device area is a die of the sample. 請求項1に記載の計量システムであって、前記ハイブリッドオーバレイターゲットがその標本のスクライブライン内に配置された計量システム。 The weighing system according to claim 1, wherein the hybrid overlay target is arranged in the scribe line of the sample. 請求項1に記載の計量システムであって、前記ハイブリッドオーバレイターゲットの光学オーバレイ計測値、そのハイブリッドオーバレイターゲットのデバイススケールオーバレイ計測値、並びに前記デバイスエリアにおける諸フィーチャの位置の計測値であり前記ターゲット対デバイス調整値を求めるためのもの、のうち少なくとも一つが、
現像後検査データを含む計量システム。
The weighing system according to claim 1, which is an optical overlay measurement value of the hybrid overlay target, a device scale overlay measurement value of the hybrid overlay target, and a measurement value of the positions of various features in the device area, and is a target pair. At least one of the things to find the device adjustment value is
Weighing system containing post-development inspection data.
請求項1に記載の計量システムであって、前記ハイブリッドオーバレイターゲットの光学オーバレイ計測値、そのハイブリッドオーバレイターゲットのデバイススケールオーバレイ計測値、並びに前記デバイスエリアにおける諸フィーチャの位置の計測値であり前記ターゲット対デバイス調整値を求めるためのもの、のうち少なくとも一つが、
エッチング後検査データを含む計量システム。
The weighing system according to claim 1, which is an optical overlay measurement value of the hybrid overlay target, a device scale overlay measurement value of the hybrid overlay target, and a measurement value of the positions of various features in the device area, and is the target pair. At least one of the things to find the device adjustment value is
Weighing system containing post-etching inspection data.
請求項1に記載の計量システムであって、前記ハイブリッドオーバレイターゲットの光可分解フィーチャ群及びデバイススケールフィーチャ群が物理的に分離されている計量システム。 The weighing system according to claim 1, wherein the optically decomposable feature group and the device scale feature group of the hybrid overlay target are physically separated. 請求項1に記載の計量システムであって、前記光可分解フィーチャのうち少なくとも幾つかがセグメント化され、前記デバイススケールフィーチャ群がそれら光可分解フィーチャの諸セグメントを形成する計量システム。 The weighing system according to claim 1, wherein at least some of the photodegradable features are segmented and the device scale features group form segments of those photodecomposable features. 請求項1に記載の計量システムであって、前記ハイブリッドオーバレイターゲットのデバイススケールフィーチャ群が、前記デバイスエリア内のデバイスフィーチャ群のデザインルールに対し互換的な計量システム。 The weighing system according to claim 1, wherein the device scale feature group of the hybrid overlay target is compatible with the design rule of the device feature group in the device area. 請求項1に記載の計量システムであって、オーバレイ計量システムとして構成された計量システム。 The weighing system according to claim 1, which is configured as an overlay weighing system. 請求項1に記載の計量システムであって、エッジ配置計量システムとして構成された計量システム。 The weighing system according to claim 1, which is configured as an edge-arranged weighing system. 標本上のハイブリッドオーバレイターゲットの光可分解フィーチャ群に依拠し光学オーバレイ計測値を生成するよう構成された光学計量ツールと、
そのハイブリッドオーバレイターゲットのデバイススケールフィーチャ群に依拠しデバイススケールオーバレイ計測値を生成するよう構成された粒子ビーム式計量ツールと、
そのハイブリッドオーバレイターゲットを基準として前記標本のデバイスエリアにおける諸フィーチャの位置を計測するよう構成されたエッジ配置計量ツールと、
前記光学計量ツール及び前記粒子ビーム式計量ツールに可通信結合されたコントローラと、
を備え、そのコントローラが、プログラム命令群を実行するよう構成された1個又は複数個のプロセッサを有し、当該1個又は複数個のプロセッサが、
前記光学計量ツールにより得られた前記光学オーバレイ計測値と、前記粒子ビーム式計量ツールにより得られた前記デバイススケールオーバレイ計測値と、の間の差異に基づき、前記ハイブリッドオーバレイターゲットに関する光学ツール誤差調整値を生成し、
そのハイブリッドオーバレイターゲットに関するターゲット対デバイス調整値を、前記デバイスエリアにおける諸フィーチャの位置であり前記エッジ配置計量ツールにより得られたものに基づき生成し、
そのデバイスエリア内の一個所又は複数個所に関するデバイス関連オーバレイ計測値を、前記光学オーバレイ計測値、前記光学ツール誤差調整値及び前記ターゲット対デバイス調整値のうち少なくとも一つに基づいて求め、且つ
それらデバイス関連オーバレイ計測値に基づき、そのデバイスエリアに関するオーバレイコレクタブルをリソグラフィツールに供給することで、少なくとも1回の後続露出に関する露出条件を修正するよう、
それらプログラム命令が構成されている計量システム。
An optical weighing tool configured to rely on the photoresolvable features of a hybrid overlay target on a specimen to generate optical overlay measurements, and
A particle beam weighing tool configured to rely on the device scale features of its hybrid overlay target to generate device scale overlay measurements.
An edge placement weighing tool configured to measure the position of features in the device area of the sample relative to the hybrid overlay target.
A controller communicatively coupled to the optical weighing tool and the particle beam weighing tool,
The controller comprises one or more processors configured to execute a set of program instructions, said one or more processors.
Optical tool error adjustment value for the hybrid overlay target based on the difference between the optical overlay measurement value obtained by the optical weighing tool and the device scale overlay measurement value obtained by the particle beam type weighing tool. To generate,
Target-to-device adjustment values for the hybrid overlay target are generated based on the positions of the features in the device area and obtained by the edge placement weighing tool.
Device-related overlay measurements for one or more locations within the device area are obtained based on at least one of the optical overlay measurements, the optical tool error adjustments, and the target-to-device adjustments, and the devices. Correct the exposure condition for at least one subsequent exposure by supplying the lithography tool with an overlay collectable for that device area based on the relevant overlay measurements.
A weighing system in which those program instructions are configured.
請求項26に記載の計量システムであって、前記デバイスエリアにおける諸フィーチャの位置であり前記ターゲット対デバイス調整値を求めるためのものに、
前記ハイブリッドオーバレイターゲットと前記デバイスエリア内の諸フィーチャとの間のパターン配置距離でありその標本の2個以上の層に関するものが含まれる、計量システム。
The weighing system according to claim 26, which is the position of various features in the device area for obtaining the target-to-device adjustment value.
A weighing system that includes a pattern placement distance between the hybrid overlay target and features in the device area, including for two or more layers of the sample.
請求項27に記載の計量システムであって、前記エッジ配置計量ツールが、
前記標本を固持する並進ステージであり、前記ハイブリッドオーバレイターゲットと前記デバイスエリア内の諸デバイススケールフィーチャとの間の分離間隔に少なくとも等しい可動域を有する並進ステージと、
撮像システムと、
を備え、前記パターン配置距離に、この並進ステージにより計測された前記ハイブリッドオーバレイターゲット・前記デバイススケールフィーチャ間の距離が含まれる計量システム。
The weighing system according to claim 27, wherein the edge placement weighing tool is used.
A translational stage that holds the specimen and has a range of motion that is at least equal to the separation interval between the hybrid overlay target and the device scale features within the device area.
Imaging system and
A weighing system comprising: The pattern placement distance includes the distance between the hybrid overlay target and the device scale feature measured by the translational stage.
請求項26に記載の計量システムであって、前記エッジ配置計量ツールが、前記光学計量ツール及び前記粒子ビーム式計量ツールのうち少なくとも一方の内部に統合されている計量システム。 The weighing system according to claim 26, wherein the edge placement weighing tool is integrated inside at least one of the optical weighing tool and the particle beam weighing tool. 請求項26に記載の計量システムであって、前記デバイスエリアにおける諸フィーチャの位置であり前記ターゲット対デバイス調整値を求めるためのものに、更に、
前記デバイスエリアにおけるオーバレイ計測値であり光学計量ツール及び粒子ビーム式計量ツールのうち少なくとも一方を用い計測されたものが含まれる計量システム。
The weighing system according to claim 26, further comprising the position of features in the device area for obtaining the target-to-device adjustment value.
A weighing system that includes overlay measurements in the device area that are measured using at least one of an optical weighing tool and a particle beam weighing tool.
請求項30に記載の計量システムであって、前記デバイスエリアにおける前記オーバレイ計測値に、
前記デバイスエリア内の諸デバイスフィーチャのオーバレイ計測値が含まれる計量システム。
The weighing system according to claim 30, wherein the overlay measurement value in the device area is used.
A weighing system that includes overlay measurements of various device features within the device area.
請求項30に記載の計量システムであって、前記デバイスエリアにおける前記オーバレイ計測値に、
前記デバイスエリア内の諸デバイススケールオーバレイターゲットのオーバレイ計測値が含まれる計量システム。
The weighing system according to claim 30, wherein the overlay measurement value in the device area is used.
A weighing system that includes overlay measurements of various device scale overlay targets within the device area.
請求項26に記載の計量システムであって、前記光可分解フィーチャがセグメント化されており、それにより組み込まれた周期分布するフィーチャ群によって複数個の計測個所がもたらされており、それら複数個の計測個所に依拠し求められたオーバレイが、前記光学オーバレイ計測値に含まれる計量システム。 26. The metrology system of claim 26, wherein the photodegradable features are segmented, thereby providing a plurality of measurement points by a group of periodically distributed features incorporated therein. A weighing system in which the overlay obtained based on the measurement point of the above is included in the optical overlay measurement value. 請求項26に記載の計量システムであって、前記デバイススケールフィーチャがセグメント化されており、それにより組み込まれた周期分布するフィーチャ群によって複数個の計測個所がもたらされており、それら複数個の計測個所に依拠し求められたオーバレイが、前記デバイススケールオーバレイ計測値に含まれる計量システム。 The weighing system of claim 26, wherein the device scale features are segmented, thereby providing a plurality of measurement points by a group of periodically distributed features incorporated therein. A weighing system in which the overlay obtained based on the measurement point is included in the device scale overlay measurement value. 請求項26に記載の計量システムであって、前記光学計量ツールが画像依拠オーバレイツールであり、前記光学オーバレイ計測値が画像依拠オーバレイ計測値である計量システム。 The weighing system according to claim 26, wherein the optical weighing tool is an image-based overlay tool, and the optical overlay measurement value is an image-based overlay measurement value. 請求項26に記載の計量システムであって、前記光学計量ツールがスキャタロメトリ依拠オーバレイツールであり、前記光学オーバレイ計測値がスキャタロメトリ依拠オーバレイ計測値である計量システム。 The weighing system according to claim 26, wherein the optical weighing tool is a scatterometry-based overlay tool, and the optical overlay measurement value is a scatterometry-based overlay measurement value. 請求項26に記載の計量システムであって、前記粒子ビーム式計量ツールが、
走査型電子顕微鏡計量ツールを備える計量システム。
The measuring system according to claim 26, wherein the particle beam type measuring tool is used.
Weighing system with scanning electron microscope weighing tool.
請求項37に記載の計量システムであって、前記走査型電子顕微鏡計量ツールが、
限界寸法走査型電子顕微鏡を備える計量システム。
The weighing system according to claim 37, wherein the scanning electron microscope weighing tool is used.
Weighing system with marginal dimension scanning electron microscope.
請求項26に記載の計量システムであって、前記粒子ビーム式計量ツールが、
集束イオンビーム式計量ツールを備える計量システム。
The measuring system according to claim 26, wherein the particle beam type measuring tool is used.
Weighing system with focused ion beam weighing tool.
請求項26に記載の計量システムであって、オーバレイ計量システムとして構成された計量システム。 The weighing system according to claim 26, which is configured as an overlay weighing system. 請求項26に記載の計量システムであって、エッジ配置計量システムとして構成された計量システム。 The weighing system according to claim 26, which is configured as an edge-arranged weighing system. 標本上におけるハイブリッドオーバレイターゲットの光学オーバレイを、そのハイブリッドオーバレイターゲットの光可分解要素群に依拠して計測するステップと、
そのハイブリッドオーバレイターゲットのデバイススケールオーバレイを、そのハイブリッドオーバレイターゲットのデバイススケール要素群に依拠して計測するステップと、
それら光学オーバレイ・デバイススケールオーバレイ間の差異に基づき、そのハイブリッドオーバレイターゲットに関する光学ツール誤差調整値を決めるステップと、
そのハイブリッドオーバレイターゲットを基準として、バイスエリア内の1個又は複数個のフィーチャに関するターゲット対デバイス配置誤差を計測するステップと、
それらターゲット対デバイス配置誤差に基づき、そのデバイスエリアに関する1個又は複数個のターゲット対デバイス調整値を生成するステップと、
そのデバイスエリア内の1個又は複数個の位置に関するデバイス関連オーバレイ計測値を、前記光学オーバレイ、前記光学ツール誤差調整値及び前記ターゲット対デバイス調整値に基づいて求めるステップと、
それらデバイス関連オーバレイ計測値に基づき、そのデバイスエリアに関するオーバレイコレクタブルをリソグラフィツールに供給することで、少なくとも1個の後続標本に関する露出条件を修正するステップと、
を有する計量方法。
A step to measure the optical overlay of a hybrid overlay target on a specimen by relying on the photodegradable elements of the hybrid overlay target.
The steps to measure the device scale overlay of the hybrid overlay target depending on the device scale elements of the hybrid overlay target, and
Based on the differences between these optical overlays and device scale overlays, the steps to determine the optical tool error adjustment values for the hybrid overlay target, and
A step of measuring the target-to-device placement error for one or more features in the device area relative to the hybrid overlay target.
A step of generating one or more target-to-device adjustment values for the device area based on those target-to-device placement errors.
A step of obtaining device-related overlay measurements for one or more positions within the device area based on the optical overlay, the optical tool error adjustment value, and the target-to-device adjustment value.
Steps to modify the exposure conditions for at least one subsequent sample by supplying the lithography tool with overlay collectables for that device area based on those device-related overlay measurements.
Weighing method with.
JP2020546104A 2018-03-05 2019-03-04 Quantification and control of overlay and edge placement errors Active JP7177846B2 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862638900P 2018-03-05 2018-03-05
US62/638,900 2018-03-05
US16/057,498 2018-08-07
US16/057,498 US10533848B2 (en) 2018-03-05 2018-08-07 Metrology and control of overlay and edge placement errors
PCT/US2019/020471 WO2019173171A1 (en) 2018-03-05 2019-03-04 Metrology and control of overlay and edge placement errors

Publications (3)

Publication Number Publication Date
JP2021516366A JP2021516366A (en) 2021-07-01
JPWO2019173171A5 true JPWO2019173171A5 (en) 2022-03-10
JP7177846B2 JP7177846B2 (en) 2022-11-24

Family

ID=67768073

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020546104A Active JP7177846B2 (en) 2018-03-05 2019-03-04 Quantification and control of overlay and edge placement errors

Country Status (9)

Country Link
US (1) US10533848B2 (en)
EP (1) EP3762780B1 (en)
JP (1) JP7177846B2 (en)
KR (1) KR102450009B1 (en)
CN (1) CN111801625B (en)
IL (1) IL276811B2 (en)
SG (1) SG11202008005WA (en)
TW (1) TWI781298B (en)
WO (1) WO2019173171A1 (en)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11353799B1 (en) 2019-07-23 2022-06-07 Kla Corporation System and method for error reduction for metrology measurements
US11360397B2 (en) 2019-09-17 2022-06-14 Kla Corporation System and method for application of harmonic detectivity as a quality indicator for imaging-based overlay measurements
EP3842866A1 (en) * 2019-12-24 2021-06-30 ASML Netherlands B.V. Metrology method
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11054753B1 (en) * 2020-04-20 2021-07-06 Applied Materials Israel Ltd. Overlay monitoring
US11353321B2 (en) 2020-06-12 2022-06-07 Kla Corporation Metrology system and method for measuring diagonal diffraction-based overlay targets
KR20230075448A (en) * 2020-09-28 2023-05-31 에이에스엠엘 네델란즈 비.브이. Target structures, associated methods and apparatus
TWI795798B (en) * 2021-06-02 2023-03-11 東龍投資股份有限公司 Alignment error compensation method and system thereof
US11862524B2 (en) 2021-06-28 2024-01-02 Kla Corporation Overlay mark design for electron beam overlay
US11703767B2 (en) 2021-06-28 2023-07-18 Kla Corporation Overlay mark design for electron beam overlay
US11728192B2 (en) * 2021-07-22 2023-08-15 Globalfoundries U.S. Inc. Refining defect detection using process window
US11922619B2 (en) 2022-03-31 2024-03-05 Kla Corporation Context-based defect inspection
US11921052B2 (en) 2022-03-31 2024-03-05 Kla Corporation Inspection with previous step subtraction
WO2024052061A1 (en) * 2022-09-08 2024-03-14 Asml Netherlands B.V. Measuring contrast and critical dimension using an alignment sensor
US20240093985A1 (en) * 2022-09-16 2024-03-21 Kla Corporation System and method for acquiring alignment measurements of structures of a bonded sample
US20240119626A1 (en) * 2022-09-27 2024-04-11 Kla Corporation Image pre-processing for overlay metrology using decomposition techniques

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5617340A (en) 1994-04-28 1997-04-01 The United States Of America As Represented By The Secretary Of Commerce Method and reference standards for measuring overlay in multilayer structures, and for calibrating imaging equipment as used in semiconductor manufacturing
US5757507A (en) * 1995-11-20 1998-05-26 International Business Machines Corporation Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
US6484060B1 (en) 2000-03-24 2002-11-19 Micron Technology, Inc. Layout for measurement of overlay error
TW588414B (en) 2000-06-08 2004-05-21 Toshiba Corp Alignment method, overlap inspecting method and mask
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
KR20060009249A (en) 2003-04-08 2006-01-31 에이오티아이 오퍼레이팅 컴퍼니 인코포레이티드 Overlay metrology mark
DE10345466A1 (en) 2003-09-30 2005-04-28 Infineon Technologies Ag A method of detecting placement errors of circuit patterns when transferred by a mask to layers of a substrate of a semiconductor wafer
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
TW200538704A (en) * 2004-05-21 2005-12-01 Zetetic Inst Apparatus and methods for overlay, alignment mark, and critical dimension metrologies based on optical interferometry
KR20070033106A (en) 2005-09-20 2007-03-26 삼성전자주식회사 Methods and systems for measuring overlay of semiconductor device
DE102007033815A1 (en) 2007-05-25 2008-11-27 Carl Zeiss Sms Gmbh Method and device for determining the relative overlay shift of superimposed layers
DE102007046850B4 (en) 2007-09-29 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Method for determining an overlay accuracy
US7879515B2 (en) 2008-01-21 2011-02-01 International Business Machines Corporation Method to control semiconductor device overlay using post etch image metrology
CN103582819B (en) * 2011-04-06 2016-09-14 科磊股份有限公司 For providing the method and system of the quality metric of improved process control
US10107621B2 (en) * 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
JP6002480B2 (en) * 2012-07-06 2016-10-05 株式会社日立ハイテクノロジーズ Overlay error measuring device and computer program for causing computer to execute pattern measurement
US9329033B2 (en) * 2012-09-05 2016-05-03 Kla-Tencor Corporation Method for estimating and correcting misregistration target inaccuracy
US9093458B2 (en) 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
TWI470378B (en) 2012-11-01 2015-01-21 Ind Tech Res Inst Method and system for measuring a stacking overlay error
US10698321B2 (en) 2012-11-21 2020-06-30 Kla-Tencor Corporation Process compatible segmented targets and design methods
US9390492B2 (en) 2013-03-14 2016-07-12 Kla-Tencor Corporation Method and system for reference-based overlay measurement
US9201312B2 (en) 2013-04-16 2015-12-01 Kla-Tencor Corporation Method for correcting position measurements for optical errors and method for determining mask writer errors
WO2014194095A1 (en) * 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
US10152654B2 (en) * 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US10151986B2 (en) * 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
CN106575630B (en) * 2014-07-13 2021-05-25 科磊股份有限公司 Metrology using overlay and yield critical patterns
KR102512180B1 (en) * 2015-04-28 2023-03-20 케이엘에이 코포레이션 Computationally efficient X-ray based overlay measurements
US10018919B2 (en) * 2016-05-29 2018-07-10 Kla-Tencor Corporation System and method for fabricating metrology targets oriented with an angle rotated with respect to device features
US10185800B2 (en) 2016-06-27 2019-01-22 Kla-Tencor Corporation Apparatus and method for the measurement of pattern placement and size of pattern and computer program therefor
US10303153B2 (en) 2016-08-04 2019-05-28 Kla-Tencor Corporation Method and computer program product for controlling the positioning of patterns on a substrate in a manufacturing process
US10141156B2 (en) 2016-09-27 2018-11-27 Kla-Tencor Corporation Measurement of overlay and edge placement errors with an electron beam column array
WO2019078901A1 (en) 2017-10-22 2019-04-25 Kla-Tencor Corporation Utilizing overlay misregistration error estimations in imaging overlay metrology

Similar Documents

Publication Publication Date Title
IL276811B2 (en) Metrology and control of overlay and edge placement errors
TWI673472B (en) Inspection apparatus, inspection method and manufacturing method
JPWO2019173171A5 (en)
JP4839127B2 (en) Standard member for calibration, calibration method and electron beam apparatus using the same
US11133148B2 (en) Scanning electron microscope
KR20170093931A (en) Pattern measurement apparatus and flaw inspection apparatus
CN101169594B (en) Photo-etching machine imaging quality measuring method
US10809631B2 (en) Method of monitoring and device manufacturing method
JP2016021008A (en) Pattern evaluation method and pattern evaluation device for multi-patterning mask
US10684557B2 (en) Method for adjusting actuation of a lithographic apparatus
JP4902806B2 (en) Standard material for calibration
JP7339826B2 (en) Mark positioning method, lithographic method, article manufacturing method, program and lithographic apparatus
US10831107B2 (en) Method for of measuring a parameter relating to a structure formed using a lithographic process
US9257262B2 (en) Lithography apparatus, lithography method, and method of manufacturing article
KR20000076936A (en) Electron Beam Lithographing Method and Apparatus Thereof
CN113168112A (en) Method of measuring focus parameters associated with structures formed using a lithographic process
US10438771B2 (en) Measurement device, calibration method of measurement device, and calibration member
US11662669B2 (en) Apparatus and method for measuring substrate height
EP4060409A1 (en) Novel interface definition for lithographic apparatus
US20040027553A1 (en) Method for the characterization of an illumination source in an exposure apparatus
US20240111214A1 (en) Novel interface definition for lithographic apparatus
EP3376290A1 (en) Metrology method and method of device manufacture
KR20230104889A (en) metrology system and lithography system
JP2002270494A (en) Position detecting method and exposure method
JP2020008762A (en) Patterning support system, patterning method, and program