JPWO2018061965A1 - Semiconductor device manufacturing method, substrate processing apparatus, and program - Google Patents

Semiconductor device manufacturing method, substrate processing apparatus, and program Download PDF

Info

Publication number
JPWO2018061965A1
JPWO2018061965A1 JP2018542478A JP2018542478A JPWO2018061965A1 JP WO2018061965 A1 JPWO2018061965 A1 JP WO2018061965A1 JP 2018542478 A JP2018542478 A JP 2018542478A JP 2018542478 A JP2018542478 A JP 2018542478A JP WO2018061965 A1 JPWO2018061965 A1 JP WO2018061965A1
Authority
JP
Japan
Prior art keywords
gas
substrate
processing region
processing
mounting table
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018542478A
Other languages
Japanese (ja)
Other versions
JP6616520B2 (en
Inventor
上田 立志
立志 上田
秀治 板谷
秀治 板谷
祐樹 平
祐樹 平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of JPWO2018061965A1 publication Critical patent/JPWO2018061965A1/en
Application granted granted Critical
Publication of JP6616520B2 publication Critical patent/JP6616520B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers

Abstract

課題: 基板の面内における薄膜の膜厚や、複数の基板間における薄膜の膜厚を均一にする技術を提供する。
解決手段: 処理室内に回転自在に設けられた基板載置台上に、基板載置台の回転方向に沿って複数の基板を載置する工程と、基板載置台の回転方向に沿って処理室内に設けられた第1処理領域および第2処理領域を基板が通過するように基板載置台を回転させる工程と、第1処理領域内に第1元素を含有する第1元素含有ガスを供給する工程と、酸素ガス及び水素ガスを含む混合ガスをプラズマ励起して活性種を生成し、活性種を第2処理領域内に供給する工程と、を行う。混合ガスにおける酸素ガスと水素ガスの比率は95:5〜50:50の範囲の所定の比率である。
Problem: To provide a technique for making the thickness of a thin film in a plane of a substrate and the thickness of a thin film between a plurality of substrates uniform.
SOLUTION: A step of placing a plurality of substrates along a rotation direction of the substrate mounting table on a substrate mounting table rotatably provided in the processing chamber, and a step of providing in the processing chamber along the rotation direction of the substrate mounting table. Rotating the substrate mounting table so that the substrate passes through the first processing region and the second processing region, supplying a first element-containing gas containing the first element in the first processing region, Performing a plasma excitation of a mixed gas containing oxygen gas and hydrogen gas to generate active species and supplying the active species into the second processing region. The ratio of oxygen gas to hydrogen gas in the mixed gas is a predetermined ratio in the range of 95: 5 to 50:50.

Description

本発明は、半導体装置の製造方法、基板処理装置およびプログラムに関する。   The present invention relates to a semiconductor device manufacturing method, a substrate processing apparatus, and a program.

例えばフラッシュメモリやDRAM等の半導体装置の製造工程の一工程として、基板上に薄膜を形成する基板処理工程が実施されることがある。係る工程を実施する基板処理装置として、基板が載置されたサセプタを移動させ、プラズマ生成部により処理ガスのプラズマが生成された領域に基板を通過させることにより、基板上に薄膜を形成する基板処理装置が知られている(例えば特許文献1参照)。   For example, a substrate processing step of forming a thin film on a substrate may be performed as one step of a manufacturing process of a semiconductor device such as a flash memory or a DRAM. As a substrate processing apparatus for performing such a process, a substrate on which a thin film is formed on a substrate by moving a susceptor on which the substrate is placed and passing the substrate through a region where plasma of a processing gas is generated by a plasma generation unit A processing apparatus is known (see, for example, Patent Document 1).

特開2011−222960号公報JP 2011-222960 A

近年、配線寸法等が微細化する傾向にあるため、基板の面内における薄膜の膜厚や、複数の基板間における薄膜の膜厚を再現性良く均一にすることが重要となる。しかし、上述の基板処理装置では、特にプラズマ生成部により生成されるプラズマを用いた処理において、基板の面内における薄膜の膜厚や、複数の基板間における薄膜の膜厚を再現性良く均一にすることが困難である場合があった。   In recent years, wiring dimensions and the like tend to be miniaturized, so it is important to make the thickness of the thin film in the plane of the substrate and the thickness of the thin film between a plurality of substrates uniform with good reproducibility. However, in the above-described substrate processing apparatus, the thickness of the thin film in the plane of the substrate and the thickness of the thin film between the plurality of substrates are made uniform with good reproducibility, particularly in the processing using the plasma generated by the plasma generation unit. There were cases where it was difficult to do.

本発明は、基板の面内における薄膜の膜厚や、複数の基板間における薄膜の膜厚を均一にする半導体装置の製造方法、基板処理装置等を提供することを目的とする。   It is an object of the present invention to provide a method for manufacturing a semiconductor device, a substrate processing apparatus, and the like that make the thickness of a thin film in a plane of a substrate uniform and the thickness of a thin film between a plurality of substrates.

本発明の一態様によれば、処理室内に回転自在に設けられた基板載置台上に、前記基板載置台の回転方向に沿って複数の基板を載置する工程と、前記基板載置台の回転方向に沿って前記処理室内に設けられた第1処理領域および第2処理領域を前記基板が通過するように前記基板載置台を回転させる工程と、前記第1処理領域内に第1元素を含有する第1元素含有ガスを供給する工程と、酸素ガス及び水素ガスを含む混合ガスをプラズマ励起して活性種を生成し、前記活性種を前記第2処理領域内に供給する工程と、を有し、前記混合ガスにおける酸素ガスと水素ガスの比率は95:5〜50:50の範囲の所定の比率である、半導体装置の製造方法が提供される。   According to one aspect of the present invention, a step of placing a plurality of substrates along a rotation direction of the substrate mounting table on a substrate mounting table rotatably provided in a processing chamber, and rotation of the substrate mounting table A step of rotating the substrate mounting table so that the substrate passes through a first processing region and a second processing region provided in the processing chamber along a direction; and a first element is contained in the first processing region Supplying a first element-containing gas, and generating a reactive species by plasma excitation of a mixed gas containing oxygen gas and hydrogen gas, and supplying the active species into the second processing region. Then, a method for manufacturing a semiconductor device is provided in which the ratio of oxygen gas to hydrogen gas in the mixed gas is a predetermined ratio in the range of 95: 5 to 50:50.

本発明に係る半導体装置の製造方法、基板処理装置等によれば、基板の面内における薄膜の膜厚や、複数の基板間における薄膜の膜厚を均一にすることができる。   According to the method for manufacturing a semiconductor device, the substrate processing apparatus, and the like according to the present invention, the thickness of the thin film in the plane of the substrate and the thickness of the thin film between the plurality of substrates can be made uniform.

本発明の一実施形態に係る基板処理装置が備えるプロセスチャンバの横断面概略図。The cross-sectional schematic of the process chamber with which the substrate processing apparatus which concerns on one Embodiment of this invention is provided. 本発明の一実施形態に係る基板処理装置が備えるプロセスチャンバの縦断面概略図であり、図1に示すプロセスチャンバのA−A’線断面図。FIG. 2 is a schematic longitudinal sectional view of a process chamber included in the substrate processing apparatus according to the embodiment of the present invention, and is a cross-sectional view taken along line A-A ′ of the process chamber shown in FIG. 本発明の一実施形態に係る基板処理装置が備えるプロセスチャンバの上面概略図。1 is a schematic top view of a process chamber provided in a substrate processing apparatus according to an embodiment of the present invention. 本発明の一実施形態で好適に用いられる基板処理装置のコントローラの概略構成図。The schematic block diagram of the controller of the substrate processing apparatus used suitably by one Embodiment of this invention. 本発明の一実施形態に係る基板処理工程を示すフロー図である。It is a flowchart which shows the substrate processing process which concerns on one Embodiment of this invention. 本発明の一実施形態に係る改質工程のフロー図である。It is a flowchart of the modification | reformation process which concerns on one Embodiment of this invention. 本発明の第1の実施例において形成される薄膜の面内膜厚均一性とWER比を示すグラフ。The graph which shows the in-plane film thickness uniformity and WER ratio of the thin film formed in the 1st Example of this invention. 本発明に係る比較例2において形成される薄膜の面内膜厚均一性を示すグラフ。The graph which shows the in-plane film thickness uniformity of the thin film formed in the comparative example 2 which concerns on this invention.

<本発明の第1実施形態>
(1)基板処理装置の構成
本発明の第1実施形態に係る基板処理装置は、処理炉としてのプロセスチャンバ202を備えている。
<First Embodiment of the Present Invention>
(1) Configuration of Substrate Processing Apparatus The substrate processing apparatus according to the first embodiment of the present invention includes a process chamber 202 as a processing furnace.

(処理室)
図1及び図2に示されているように、プロセスチャンバ202は、円筒状の気密容器である反応容器203を備えている。反応容器203内には、ウエハ200を処理する処理室201が形成されている。
(Processing room)
As shown in FIGS. 1 and 2, the process chamber 202 includes a reaction vessel 203 which is a cylindrical airtight vessel. In the reaction vessel 203, a processing chamber 201 for processing the wafer 200 is formed.

反応容器203内の上側には、中心部から放射状に延びる4枚の分割構造体としての仕切板205が設けられている。4枚の仕切板205は、処理室201内の天井部からサセプタ(基板載置台)217の直上までの空間を遮るように設けられている。これにより、4枚の仕切板205は、処理室201を、第1処理領域201a、第1パージ領域204a、第2処理領域201b、第2パージ領域204bに仕切るように構成されている。なお、第1処理領域201a、第1パージ領域204a、第2処理領域201b、第2パージ領域204bは、サセプタ217の回転方向Rに沿って、この順番に配列するように構成されている。   On the upper side in the reaction vessel 203, there are provided four partition plates 205 as divided structures extending radially from the center. The four partition plates 205 are provided so as to block the space from the ceiling in the processing chamber 201 to the position directly above the susceptor (substrate mounting table) 217. Accordingly, the four partition plates 205 are configured to partition the processing chamber 201 into a first processing region 201a, a first purge region 204a, a second processing region 201b, and a second purge region 204b. The first processing region 201a, the first purge region 204a, the second processing region 201b, and the second purge region 204b are arranged in this order along the rotation direction R of the susceptor 217.

仕切板205の下端は、仕切板205がウエハ200に干渉しない程度にサセプタ217に近付けて配置されている。これにより、仕切板205とサセプタ217との間を通過するガスは少ない。よって、各領域内で異なるガスが混ざり合うことが抑制される。   The lower end of the partition plate 205 is disposed as close to the susceptor 217 as the partition plate 205 does not interfere with the wafer 200. Thereby, there is little gas passing between the partition plate 205 and the susceptor 217. Therefore, mixing of different gases in each region is suppressed.

(サセプタ)
仕切板205の下側、すなわち反応容器203内の底側中央には、反応容器203の中心に回転軸の中心を有し、回転自在に構成された基板載置台としてのサセプタ217が設けられている。サセプタ217は、ウエハ200の金属汚染を低減することができるように、例えば、窒化アルミニウム(AlN)、セラミックス、石英等の非金属材料で形成されている。
(Susceptor)
A susceptor 217 serving as a substrate mounting table is provided below the partition plate 205, that is, at the bottom center in the reaction vessel 203. Yes. The susceptor 217 is formed of a non-metallic material such as aluminum nitride (AlN), ceramics, or quartz so that the metal contamination of the wafer 200 can be reduced.

サセプタ217は、反応容器203内にて、複数枚(例えば5枚)のウエハ200を同一面上に、かつ同一円周上に並べて支持するように構成されている。ここで、同一面上とは、完全な同一面に限られるものではなく、サセプタ217を上面から見たときに、複数枚のウエハ200が互いに重ならないように並べられていればよい。また、サセプタ217は、複数枚のウエハ200を回転方向に沿って並べて配置するように構成されている。   The susceptor 217 is configured to support a plurality of (for example, five) wafers 200 side by side on the same surface and on the same circumference in the reaction vessel 203. Here, “on the same surface” is not limited to the completely same surface, and it is only necessary that the plurality of wafers 200 are arranged so as not to overlap each other when the susceptor 217 is viewed from above. The susceptor 217 is configured to arrange a plurality of wafers 200 side by side along the rotation direction.

サセプタ217表面におけるウエハ200の支持位置には、ウエハ載置部217bが設けられている。処理するウエハ200の枚数と同数のウエハ載置部217bがサセプタ217の中心から同心円上の位置に互いに等間隔(例えば72°の間隔)で配置されている。それぞれのウエハ載置部217bは、例えばサセプタ217の上面から見て円形状であり、側面から見て凹形状である。   A wafer mounting portion 217 b is provided at the support position of the wafer 200 on the surface of the susceptor 217. The same number of wafer mounting portions 217b as the number of wafers 200 to be processed are arranged at equidistant positions (for example, at an interval of 72 °) at positions concentrically from the center of the susceptor 217. Each wafer mounting portion 217b has, for example, a circular shape when viewed from the top surface of the susceptor 217 and a concave shape when viewed from the side surface.

サセプタ217には、サセプタ217を昇降させる昇降機構268が設けられている。サセプタ217の各ウエハ載置部217bの位置には、貫通孔217aが複数設けられている。上述の反応容器203の底面には、反応容器203内へのウエハ200の搬入・搬出時にウエハ200の裏面を支持するウエハ突き上げピン266が複数設けられている。貫通孔217a及びウエハ突き上げピン266は、ウエハ突き上げピン266が上昇させられた時、又は昇降機構268によりサセプタ217が下降させられた時に、ウエハ突き上げピン266が貫通孔217aを突き抜けるように、互いに配置されている。   The susceptor 217 is provided with a lifting mechanism 268 that lifts and lowers the susceptor 217. A plurality of through holes 217a are provided at the position of each wafer mounting portion 217b of the susceptor 217. A plurality of wafer push-up pins 266 that support the back surface of the wafer 200 when the wafer 200 is loaded into and unloaded from the reaction container 203 are provided on the bottom surface of the reaction container 203 described above. The through hole 217a and the wafer push-up pin 266 are arranged so that the wafer push-up pin 266 penetrates the through-hole 217a when the wafer push-up pin 266 is raised or when the susceptor 217 is lowered by the lifting mechanism 268. Has been.

昇降機構268には、サセプタ217を回転させる回転機構267が設けられている。回転機構267の回転軸は、サセプタ217に接続されており、回転機構267を作動させることでサセプタ217を回転させることができるように構成されている。   The elevating mechanism 268 is provided with a rotating mechanism 267 that rotates the susceptor 217. The rotation shaft of the rotation mechanism 267 is connected to the susceptor 217, and the susceptor 217 can be rotated by operating the rotation mechanism 267.

回転機構267にはコントローラ300がカップリング部267aを介して接続されている。サセプタ217を回転させることにより、サセプタ217上に載置されたウエハ200は、第1処理領域201a、第1パージ領域204a、第2処理領域201b及び第2パージ領域204bをこの順番に通過することとなる。   A controller 300 is connected to the rotation mechanism 267 via a coupling unit 267a. By rotating the susceptor 217, the wafer 200 placed on the susceptor 217 passes through the first processing region 201a, the first purge region 204a, the second processing region 201b, and the second purge region 204b in this order. It becomes.

(加熱部)
サセプタ217の内部には、加熱部としてのヒータ218が一体的に埋め込まれており、ウエハ200を加熱できるように構成されている。ヒータ218に電力が供給されると、ウエハ200表面が所定温度にまで加熱可能に構成されている。
(Heating part)
A heater 218 as a heating unit is integrally embedded in the susceptor 217 so that the wafer 200 can be heated. When electric power is supplied to the heater 218, the surface of the wafer 200 can be heated to a predetermined temperature.

サセプタ217には温度センサ274が設けられている。ヒータ218及び温度センサ274には、電力供給線222を介して、電力調整器224、ヒータ電源225、及び温度調整器223が電気的に接続されている。温度センサ274により検出された温度情報に基づいて、ヒータ218への通電具合が制御されるように構成されている。   The susceptor 217 is provided with a temperature sensor 274. A power regulator 224, a heater power source 225, and a temperature regulator 223 are electrically connected to the heater 218 and the temperature sensor 274 via a power supply line 222. Based on the temperature information detected by the temperature sensor 274, the power supply to the heater 218 is controlled.

続いて、図2および図3を用いてプロセスチャンバ202のガス供給系について説明する。 Next, the gas supply system of the process chamber 202 will be described with reference to FIGS.

(第1元素含有ガス供給系)
第1処理領域201aにおける反応容器203の天井部には、第1ガス導入部281が設けられている。第1ガス導入部281の上端に設けられた第1ガス導入口281aには、第1ガス供給管231aの下流端が接続されている。第1ガス導入部281は、スリット状に開口する第1ガス噴出口283から第1処理領域201aに、第1ガス供給管231aから供給された第1元素含有ガスを噴出させるように構成されている。第1ガス供給管231aには、第1元素含有ガス供給源231b、マスフローコントローラ(MFC)231c、及び開閉弁であるバルブ231dが設けられている。
(First element-containing gas supply system)
A first gas inlet 281 is provided on the ceiling of the reaction vessel 203 in the first processing region 201a. The downstream end of the first gas supply pipe 231a is connected to the first gas inlet 281a provided at the upper end of the first gas inlet 281. The first gas introduction part 281 is configured to eject the first element-containing gas supplied from the first gas supply pipe 231a from the first gas outlet 283 opening in a slit shape to the first processing region 201a. Yes. The first gas supply pipe 231a is provided with a first element-containing gas supply source 231b, a mass flow controller (MFC) 231c, and a valve 231d that is an on-off valve.

また、第1ガス供給管231aのバルブ231dよりも下流側には、不活性ガスを供給する不活性ガス供給管234aの下流端が接続されている。不活性ガス供給管234aには、不活性ガス供給源234b、MFC234c、及びバルブ234dが設けられている。第1処理領域201a内に供給される不活性ガスは、第1元素含有ガスのキャリアガス或いは希釈ガスとして作用する。   A downstream end of an inert gas supply pipe 234a that supplies an inert gas is connected to the downstream side of the valve 231d of the first gas supply pipe 231a. The inert gas supply pipe 234a is provided with an inert gas supply source 234b, an MFC 234c, and a valve 234d. The inert gas supplied into the first processing region 201a acts as a carrier gas or dilution gas for the first element-containing gas.

主に、第1ガス供給管231a、MFC231c、バルブ231d、第1ガス導入部281及び第1ガス噴出口283により、第1元素含有ガス供給系(第1ガス供給系、もしくは第1元素含有ガス供給部)が構成される。なお、第1元素含有ガス供給源231bを第1元素含有ガス供給系に含めて考えてもよい。また、不活性ガス供給管234a、MFC234c、バルブ234dを第1元素含有ガス供給系に含めて考えても良い。   The first element-containing gas supply system (the first gas supply system or the first element-containing gas) is mainly formed by the first gas supply pipe 231a, the MFC 231c, the valve 231d, the first gas introduction part 281 and the first gas outlet 283. Supply section). Note that the first element-containing gas supply source 231b may be included in the first element-containing gas supply system. Further, the inert gas supply pipe 234a, the MFC 234c, and the valve 234d may be included in the first element-containing gas supply system.

第1元素含有ガスは、原料ガス(プリカーサ)、すなわち、処理ガスの一つである。ここで、第1元素は、例えばアルミニウム(Al)やチタン(Ti)等の金属元素である。例えばAlを含有するガスとしては、有機金属化合物であるトリメチルアルニウム(Al(CH:TMA)を含むガスを用いることができる。また、第1元素をシリコン(Si)とした場合、シリコン含有ガスとしては、例えばビスターシャリブチルアミノシラン(BTBAS)ガスを用いることができる。The first element-containing gas is a raw material gas (precursor), that is, one of the processing gases. Here, the first element is a metal element such as aluminum (Al) or titanium (Ti). For example, as the gas containing Al, a gas containing trimethylarnium (Al (CH 3 ) 3 : TMA) that is an organometallic compound can be used. Further, when the first element is silicon (Si), for example, a bistari butylaminosilane (BTBAS) gas can be used as the silicon-containing gas.

(不活性ガス供給系)
反応容器203の天井部の中央部には、不活性ガス導入部282が設けられている。不活性ガス導入部282の第1パージ領域207a側および第2パージ領域207b側における側壁には、それぞれ第1パージ領域207aに開口する第1不活性ガス噴出口256、第2パージ領域207bに開口する第2不活性ガス噴出口257が設けられている。
(Inert gas supply system)
An inert gas introduction part 282 is provided in the central part of the ceiling part of the reaction vessel 203. The side walls of the inert gas introduction part 282 on the first purge region 207a side and the second purge region 207b side are respectively opened to a first inert gas outlet 256 opening to the first purge region 207a and to a second purge region 207b. A second inert gas outlet 257 is provided.

不活性ガス導入部282の上端には、第2ガス供給管232aの下流端が接続されている。第2ガス供給管232aには、不活性ガス供給源232b、MFC232c、及びバルブ232dが設けられている。第1パージ領域207a内及び第2パージ領域207b内に供給される不活性ガスは、パージガスとして作用する。   The downstream end of the second gas supply pipe 232a is connected to the upper end of the inert gas introduction part 282. The second gas supply pipe 232a is provided with an inert gas supply source 232b, an MFC 232c, and a valve 232d. The inert gas supplied into the first purge region 207a and the second purge region 207b acts as a purge gas.

ここで「不活性ガス」としては、例えば、ヘリウムガス、ネオンガス、アルゴンガス等の希ガスや窒素(N)ガスのうち少なくともいずれか一つを用いることができる。ここでは、不活性ガスにNガスを用いている。Here, as the “inert gas”, for example, at least one of rare gases such as helium gas, neon gas, and argon gas, and nitrogen (N 2 ) gas can be used. Here, N 2 gas is used as the inert gas.

(酸素含有ガス供給系)
反応容器203の天井部であって、第2処理領域201bの上方には、連通口203aが設けられている。連通口203aには後述するプラズマ生成室290が接続される(プラズマ生成室290の開口部を連通口203aとしてみなしてもよい)。さらにプラズマ生成室290の上部には、天井292に設けられた酸素含有ガス噴出孔294b及びバッファ室294aが設けられている。バッファ室294aの上部には酸素含有ガス導入孔292aが設けられており、酸素含有ガスがバッファ室294a及び酸素含有ガス噴出孔294bを介してプラズマ生成室290内に供給される。
(Oxygen-containing gas supply system)
A communication port 203a is provided at the ceiling of the reaction vessel 203 and above the second processing region 201b. A plasma generation chamber 290 described later is connected to the communication port 203a (the opening of the plasma generation chamber 290 may be regarded as the communication port 203a). Further, an oxygen-containing gas ejection hole 294 b and a buffer chamber 294 a provided in the ceiling 292 are provided in the upper part of the plasma generation chamber 290. An oxygen-containing gas introduction hole 292a is provided in the upper part of the buffer chamber 294a, and the oxygen-containing gas is supplied into the plasma generation chamber 290 via the buffer chamber 294a and the oxygen-containing gas ejection hole 294b.

酸素含有ガス導入孔292aには、第3ガス供給管233aの下流端が接続されている。第3ガス供給管233aには、酸素ガス供給源233b、MFC233c、及び開閉弁であるバルブ233dが設けられている。更に、第3ガス供給管233aのバルブ233dよりも下流側には、水素ガス供給管235aの下流端が接続されている。水素ガス供給管235aには、水素ガス供給源235b、MFC235c、及びバルブ235dが設けられている。   The downstream end of the third gas supply pipe 233a is connected to the oxygen-containing gas introduction hole 292a. The third gas supply pipe 233a is provided with an oxygen gas supply source 233b, an MFC 233c, and a valve 233d that is an on-off valve. Further, the downstream end of the hydrogen gas supply pipe 235a is connected to the downstream side of the valve 233d of the third gas supply pipe 233a. The hydrogen gas supply pipe 235a is provided with a hydrogen gas supply source 235b, an MFC 235c, and a valve 235d.

酸素ガス供給源233bから供給される酸素ガス(Oガス)は、水素ガス供給源235bから供給される水素ガス(Hガス)と、第3ガス供給管233aにおいて合流する。合流したOガスとHガスは、酸素及び水素を含む混合ガス(以後、単に混合ガスとも称する)となって、第3ガス供給管233a、プラズマ生成室290、連通口203aを介して、第2処理領域201b内に供給される。The oxygen gas (O 2 gas) supplied from the oxygen gas supply source 233b merges with the hydrogen gas (H 2 gas) supplied from the hydrogen gas supply source 235b in the third gas supply pipe 233a. The combined O 2 gas and H 2 gas become a mixed gas containing oxygen and hydrogen (hereinafter, also simply referred to as a mixed gas), and through the third gas supply pipe 233a, the plasma generation chamber 290, and the communication port 203a, It is supplied into the second processing area 201b.

第3ガス供給管233a、MFC233c、バルブ233dにより酸素ガス供給系が構成される。また、水素ガス供給管235a、MFC235c、バルブ235dにより水素ガス供給系が構成される。更に、酸素ガス供給系と酸素ガス供給系により、酸素含有ガス供給系(酸素含有ガス供給部)が構成される。なお、酸素ガス供給源233b、及び水素ガス供給源235bを酸素含有ガス供給系に含めて考えてもよい。   The third gas supply pipe 233a, MFC 233c, and valve 233d constitute an oxygen gas supply system. The hydrogen gas supply pipe 235a, MFC 235c, and valve 235d constitute a hydrogen gas supply system. Further, the oxygen gas supply system and the oxygen gas supply system constitute an oxygen-containing gas supply system (oxygen-containing gas supply unit). Note that the oxygen gas supply source 233b and the hydrogen gas supply source 235b may be included in the oxygen-containing gas supply system.

なお、希釈ガス又はキャリアガスとしての不活性ガスを供給する不活性ガス供給系を、酸素含有ガス供給系に付加してもよい。   An inert gas supply system that supplies an inert gas as a dilution gas or a carrier gas may be added to the oxygen-containing gas supply system.

酸素含有ガスは、処理ガスの一つであり、反応ガスまたは改質ガスとして考えてもよい。なお、本実施形態では、酸素源としてOガスを用いているが、代わりにオゾン(O)ガスを用いてもよい。The oxygen-containing gas is one of the processing gases and may be considered as a reaction gas or a reformed gas. In this embodiment, O 2 gas is used as the oxygen source, but ozone (O 3 ) gas may be used instead.

(排気系)
図2に示されているように、反応容器203の底部には、反応容器203内を排気する排気口240が設けられている。各々の排気口240には、排気管241の上流端が接続されている。排気管241の合流部分よりも下流側には、圧力センサ248、APC(Auto Pressure Controller)バルブ243、およびバルブ245を介して、真空ポンプ246が接続されており、処理室201内の圧力が所定の圧力となるよう真空排気し得るように構成されている。主に、排気管241、APCバルブ243及びバルブ245により排気系が構成される。
(Exhaust system)
As shown in FIG. 2, an exhaust port 240 for exhausting the inside of the reaction vessel 203 is provided at the bottom of the reaction vessel 203. The upstream end of the exhaust pipe 241 is connected to each exhaust port 240. A vacuum pump 246 is connected to the downstream side of the merging portion of the exhaust pipe 241 through a pressure sensor 248, an APC (Auto Pressure Controller) valve 243, and a valve 245, and the pressure in the processing chamber 201 is predetermined. It is configured so that it can be evacuated so that the pressure of An exhaust system is mainly configured by the exhaust pipe 241, the APC valve 243, and the valve 245.

(プラズマ生成部)
図2及び図3に示されているように、反応容器203の第2処理領域201bにおける天井部には、少なくとも反応容器203の半径方向においてウエハ200の基板径よりも長い開口部を有する連通口203aが設けられている。連通口203aの上部には、プラズマ生成室290が接続されている。プラズマ生成室290は側壁291及び天井292を有する。
(Plasma generator)
As shown in FIGS. 2 and 3, a communication port having an opening longer than the substrate diameter of the wafer 200 in the radial direction of the reaction vessel 203 at the ceiling in the second processing region 201 b of the reaction vessel 203. 203a is provided. A plasma generation chamber 290 is connected to the upper part of the communication port 203a. The plasma generation chamber 290 has a side wall 291 and a ceiling 292.

連通口203aは小判型形状、もしくは楕円形状であり、その長辺の方向(長軸の方向)は反応容器203の半径方向である。つまり、連通口203aの長辺の方向と、その直下を通過するウエハ200の移動方向Rは互いに垂直である。また、プラズマ生成室290の側壁291は連通口203aと同じ断面形状を有する筒状構造であり、外周にコイル293が巻かれている。側壁291は例えば石英で構成されている。連通口203aは、下方を通過するウエハ200に対向する位置に設けられており、且つ、ウエハ200の外周が連通口203aの内側を通過する位置に配置される。   The communication port 203 a has an oval shape or an elliptical shape, and the direction of the long side (the direction of the long axis) is the radial direction of the reaction vessel 203. That is, the direction of the long side of the communication port 203a and the moving direction R of the wafer 200 passing directly below the communication port 203a are perpendicular to each other. The side wall 291 of the plasma generation chamber 290 has a cylindrical structure having the same cross-sectional shape as the communication port 203a, and a coil 293 is wound around the outer periphery. The side wall 291 is made of, for example, quartz. The communication port 203a is provided at a position facing the wafer 200 passing below, and is arranged at a position where the outer periphery of the wafer 200 passes through the inside of the communication port 203a.

コイル293には、波形調整回路296、RFセンサ297、高周波電源298と周波数整合器299が接続される。コイル293は遮蔽板295に囲まれている。高周波電源298はコイル293に高周波電力を供給するものである。RFセンサ297は、供給される高周波の進行波や反射波の情報をモニタするものである。周波数整合器299は、RFセンサ297でモニタされた反射波の情報に基づいて、反射波が最小となるよう高周波電源298を制御する。   The coil 293 is connected with a waveform adjustment circuit 296, an RF sensor 297, a high frequency power supply 298, and a frequency matching unit 299. The coil 293 is surrounded by a shielding plate 295. The high frequency power source 298 supplies high frequency power to the coil 293. The RF sensor 297 monitors information on high-frequency traveling waves and reflected waves that are supplied. The frequency matching unit 299 controls the high-frequency power supply 298 so that the reflected wave is minimized based on the information on the reflected wave monitored by the RF sensor 297.

コイル293は、所定の波長の定在波を形成するため、一定波長モードで共振するように巻径、巻回ピッチ、巻数が設定される。すなわち、コイル293と隣接する波形調整回路296を合わせた電気的長さは、高周波電源298から供給される電力の所定周波数における1波長の整数倍(1倍、2倍、…)に相当する長さに設定される。   Since the coil 293 forms a standing wave having a predetermined wavelength, the winding diameter, the winding pitch, and the number of turns are set so as to resonate in a constant wavelength mode. That is, the combined electrical length of the coil 293 and the adjacent waveform adjustment circuit 296 is a length corresponding to an integral multiple (1 times, 2 times,...) Of one wavelength at a predetermined frequency of the power supplied from the high frequency power supply 298. Is set.

主に、プラズマ生成室290、コイル293、波形調整回路296、RFセンサ297、周波数整合器299により、本実施形態に係るプラズマ生成部270が構成されている。尚、プラズマ生成部270として高周波電源298を含めても良い。   The plasma generation unit 270 according to this embodiment is mainly configured by the plasma generation chamber 290, the coil 293, the waveform adjustment circuit 296, the RF sensor 297, and the frequency matching unit 299. Note that the plasma generation unit 270 may include a high-frequency power source 298.

プラズマ発生時のコイル293における共振のずれを電源側で補償するため、周波数整合器299は、プラズマが発生した際のコイル293からの反射波電力を検出して出力を補完する機能を有する。斯かる構成により、本発明の共振装置では、コイル293において一層正確に定在波を形成でき、容量結合の極めて少ないプラズマを発生させ得る。   In order to compensate for a resonance shift in the coil 293 when the plasma is generated, the frequency matching unit 299 has a function of detecting the reflected wave power from the coil 293 when the plasma is generated and complementing the output. With such a configuration, in the resonance device of the present invention, a standing wave can be more accurately formed in the coil 293, and plasma with extremely little capacitive coupling can be generated.

(制御部)
図4に示すように、制御部(制御手段)であるコントローラ300は、CPU(Central Processing Unit)301a、RAM(Random Access Memory)301b、記憶装置301c、I/Oポート301dを備えたコンピュータとして構成されている。RAM301b、記憶装置301c、I/Oポート301dは、内部バス301eを介して、CPU301aとデータ交換可能なように構成されている。コントローラ300には、例えばタッチパネル等として構成された入出力装置302が接続されている。
(Control part)
As shown in FIG. 4, a controller 300 as a control unit (control means) is configured as a computer including a CPU (Central Processing Unit) 301a, a RAM (Random Access Memory) 301b, a storage device 301c, and an I / O port 301d. Has been. The RAM 301b, the storage device 301c, and the I / O port 301d are configured to exchange data with the CPU 301a via the internal bus 301e. For example, an input / output device 302 configured as a touch panel or the like is connected to the controller 300.

記憶装置301cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶装置301c内には、基板処理装置の動作を制御する制御プログラムや、後述する基板処理の手順や条件等が記載されたプロセスレシピ等が、読み出し可能に格納されている。プロセスレシピは、後述する基板処理工程における各手順をコントローラ300に実行させ、所定の結果を得ることが出来るように組み合わされたものであり、プログラムとして機能する。以下、このプロセスレシピや制御プログラム等を総称して、単に、プログラムともいう。また、プロセスレシピを、単に、レシピともいう。本明細書においてプログラムという言葉を用いた場合は、レシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、それらの両方を含む場合がある。RAM301bは、CPU301aによって読み出されたプログラムやデータ等が一時的に保持されるメモリ領域として構成されている。   The storage device 301c includes, for example, a flash memory, an HDD (Hard Disk Drive), and the like. In the storage device 301c, a control program that controls the operation of the substrate processing apparatus, a process recipe that describes the procedure and conditions of the substrate processing described later, and the like are stored in a readable manner. The process recipe is a combination of instructions so that the controller 300 can execute each procedure in a substrate processing step to be described later to obtain a predetermined result, and functions as a program. Hereinafter, the process recipe, the control program, and the like are collectively referred to simply as a program. The process recipe is also simply called a recipe. When the term “program” is used in this specification, it may include only a recipe, only a control program, or both. The RAM 301b is configured as a memory area that temporarily stores programs, data, and the like read by the CPU 301a.

I/Oポート301dは、MFC231c〜235c、バルブ231d〜235d、圧力センサ248、APCバルブ243、真空ポンプ246、温度センサ249、RFセンサ297、周波数整合器299、高周波電源298、回転機構267、昇降機構268、ヒータ218、電力調整器224、ヒータ電源225、及び温度調整器223等に接続されている。   The I / O port 301d includes an MFC 231c to 235c, a valve 231d to 235d, a pressure sensor 248, an APC valve 243, a vacuum pump 246, a temperature sensor 249, an RF sensor 297, a frequency matching unit 299, a high frequency power supply 298, a rotating mechanism 267, and a lift The mechanism 268, the heater 218, the power regulator 224, the heater power source 225, the temperature regulator 223, and the like are connected.

CPU301aは、記憶装置301cから制御プログラムを読み出して実行すると共に、入出力装置302からの操作コマンドの入力等に応じて記憶装置301cからレシピを読み出すように構成されている。CPU301aは、読み出したレシピの内容に沿うように、MFC231c〜235cによる各種ガスの流量調整動作、バルブ231d〜235dの開閉動作、圧力センサ248に基づくAPCバルブ243による圧力調整動作、温度センサ274に基づくヒータ218の温度調整動作、真空ポンプ246の起動および停止、回転機構267によるサセプタ217の回転および回転速度調節動作、昇降機構268によるサセプタ217の昇降動作、高周波電源298による電力供給および停止、周波数整合器299によるインピーダンス調整動作等を制御するように構成されている。   The CPU 301a is configured to read and execute a control program from the storage device 301c, and to read a recipe from the storage device 301c in response to an operation command input from the input / output device 302 or the like. Based on the read recipe contents, the CPU 301a adjusts the flow rates of various gases by the MFCs 231c to 235c, the opening / closing operations of the valves 231d to 235d, the pressure adjusting operation by the APC valve 243 based on the pressure sensor 248, and the temperature sensor 274. Temperature adjustment operation of the heater 218, start and stop of the vacuum pump 246, rotation and rotation speed adjustment operation of the susceptor 217 by the rotating mechanism 267, lifting operation of the susceptor 217 by the lifting mechanism 268, power supply and stop by the high frequency power source 298, frequency matching The controller 299 is configured to control the impedance adjustment operation and the like.

コントローラ300は、外部記憶装置(例えば、HDD等の磁気ディスク、CD等の光ディスク、MO等の光磁気ディスク、USBメモリ等の半導体メモリ)303に格納された上述のプログラムを、コンピュータにインストールすることにより構成することができる。記憶装置301cや外部記憶装置303は、コンピュータ読み取り可能な記録媒体として構成されている。以下、これらを総称して、単に、記録媒体ともいう。本明細書において記録媒体という言葉を用いた場合は、記憶装置121c単体のみを含む場合、外部記憶装置303単体のみを含む場合、または、それらの両方を含む場合がある。なお、コンピュータへのプログラムの提供は、外部記憶装置303を用いず、インターネットや専用回線等の通信手段を用いて行ってもよい。   The controller 300 installs the above-mentioned program stored in an external storage device 303 (for example, a magnetic disk such as an HDD, an optical disk such as a CD, a magneto-optical disk such as an MO, a semiconductor memory such as a USB memory) 303 in a computer. Can be configured. The storage device 301c and the external storage device 303 are configured as computer-readable recording media. Hereinafter, these are collectively referred to simply as a recording medium. When the term “recording medium” is used in this specification, it may include only the storage device 121c alone, may include only the external storage device 303 alone, or may include both of them. The program may be provided to the computer using a communication unit such as the Internet or a dedicated line without using the external storage device 303.

(3)基板処理工程
続いて、本実施形態に係る半導体製造工程の一工程として、プロセスチャンバ202を備える基板処理装置を用いる基板処理工程について説明する。
(3) Substrate Processing Step Next, a substrate processing step using a substrate processing apparatus including the process chamber 202 will be described as one step of the semiconductor manufacturing process according to the present embodiment.

まず、図5及び図6を用い、基板処理工程の概略について説明する。なお、以下の説明において、プロセスチャンバ202の構成各部の動作は、コントローラ300により制御される。   First, the outline of the substrate processing step will be described with reference to FIGS. In the following description, the operation of each component of the process chamber 202 is controlled by the controller 300.

ここでは、第1元素含有ガスとしてAl含有ガスであるTMA含有ガス(以後、単にTMAガスと称する)を用い、酸素含有ガスとしてOガスとHガスの混合ガス(以後、単に混合ガスと称する)を用い、ウエハ200上に薄膜として酸化アルミニウム(Al)の膜を形成する例について説明する。Here, a TMA-containing gas that is an Al-containing gas (hereinafter simply referred to as TMA gas) is used as the first element-containing gas, and a mixed gas of O 2 gas and H 2 gas (hereinafter simply referred to as a mixed gas) is used as the oxygen-containing gas. An example of forming a film of aluminum oxide (Al 2 O 3 ) as a thin film on the wafer 200 will be described.

(基板搬入・載置工程S102)
まず、サセプタ217をウエハ200の搬送位置まで下降させることにより、ウエハ突き上げピン266がサセプタ217表面よりも突出した状態とする。続いて、ウエハ移載機を用いて、所定枚数(5枚)のウエハ200(処理基板)をウエハ突き上げピン266上にそれぞれ水平姿勢で載置する。続いて、サセプタ217を上昇させることにより、各載置部217b上にウエハ200を載置する。更に、ゲートバルブ151を閉じて反応容器203内を密閉し、真空ポンプ246を、少なくとも基板搬入・載置工程(S102)から後述する基板搬出工程(S106)が終了するまでの間、常に作動させた状態とする。
(Substrate loading / placement step S102)
First, the susceptor 217 is lowered to the transfer position of the wafer 200, so that the wafer push-up pins 266 protrude from the surface of the susceptor 217. Subsequently, a predetermined number (five) of wafers 200 (processing substrates) are respectively mounted on the wafer push-up pins 266 in a horizontal posture by using a wafer transfer machine. Subsequently, by raising the susceptor 217, the wafer 200 is mounted on each mounting portion 217b. Further, the gate valve 151 is closed to seal the inside of the reaction vessel 203, and the vacuum pump 246 is always operated at least from the substrate loading / mounting step (S102) to the substrate unloading step (S106) described later. State.

ウエハ200をサセプタ217の上に載置する際は、ヒータ218に電力を供給し、ウエハ200の表面が所定の温度となるよう制御される。本実施形態では、ウエハ200の温度は、例えば室温以上300℃未満の範囲の所定の温度であり、好ましくは、100℃以上300℃未満の範囲の所定の温度であり、より好ましくは、100℃以上200℃以下の範囲の所定の温度である。なお、ウエハ200の温度が室温より低いと、TMAガスが十分にウエハ200に吸着しない可能性があり、300℃以上だと、TMAガスが自己分解してしまいウエハ200上に不純物が多く吸着してしまう可能性がある。   When the wafer 200 is placed on the susceptor 217, electric power is supplied to the heater 218 so that the surface of the wafer 200 is controlled to a predetermined temperature. In the present embodiment, the temperature of the wafer 200 is, for example, a predetermined temperature in a range of room temperature to less than 300 ° C., preferably a predetermined temperature in a range of 100 ° C. to less than 300 ° C., more preferably 100 ° C. The predetermined temperature is in the range of 200 ° C. or higher. If the temperature of the wafer 200 is lower than room temperature, there is a possibility that the TMA gas is not sufficiently adsorbed on the wafer 200. There is a possibility that.

なお、シリコンで構成されるウエハ200の加熱処理では、表面温度を750℃以上にまで加熱すると、ウエハ200の表面に形成されたソース領域やドレイン領域等に不純物の拡散が生じ、回路特性が劣化し、半導体デバイスの性能が低下してしまう場合がある。ウエハ200の温度を上述のように制限することにより、ウエハ200の表面に形成されたソース領域やドレイン領域における不純物の拡散、回路特性の劣化、半導体デバイスの性能の低下を抑制できる。   In the heat treatment of the wafer 200 made of silicon, if the surface temperature is heated to 750 ° C. or higher, impurity diffusion occurs in the source region and drain region formed on the surface of the wafer 200, and the circuit characteristics deteriorate. However, the performance of the semiconductor device may be degraded. By limiting the temperature of the wafer 200 as described above, it is possible to suppress the diffusion of impurities in the source and drain regions formed on the surface of the wafer 200, the deterioration of circuit characteristics, and the deterioration of the performance of semiconductor devices.

また、ダブルパターニング法などのようにウエハ200の上にホトレジストパターンが形成された状態で、ホトレジストパターンの上に薄膜を形成する場合では、ウエハ200の温度が例えば200℃を超える高温であるときにホトレジストパターンが熱変化してしまう可能性がある。本実施形態では、低温で基板処理工程を行うことが可能であるため、ホトレジスト膜の劣化を抑制することができる。   Further, when a thin film is formed on the photoresist pattern in a state where the photoresist pattern is formed on the wafer 200 as in the double patterning method, the temperature of the wafer 200 is, for example, a high temperature exceeding 200 ° C. There is a possibility that the photoresist pattern is thermally changed. In this embodiment, since the substrate processing process can be performed at a low temperature, the deterioration of the photoresist film can be suppressed.

(薄膜形成工程S104)
薄膜形成工程S104では、第1処理領域201a内に第1元素含有ガスであるTMAガスを供給し、第2処理領域201b内に酸素含有ガスである混合ガスを供給してウエハ200上にAl膜を形成する。
(Thin film forming step S104)
In the thin film forming step S104, a TMA gas that is a first element-containing gas is supplied into the first processing region 201a, a mixed gas that is an oxygen-containing gas is supplied into the second processing region 201b, and Al 2 is applied onto the wafer 200. An O 3 film is formed.

なお、薄膜形成工程S104では、基板搬入・載置工程S102後、継続して不活性ガス供給系から第1パージ領域204a内および第2パージ領域204b内にパージガスとしてのNガスが供給されている。In the thin film forming step S104, N 2 gas as a purge gas is continuously supplied from the inert gas supply system into the first purge region 204a and the second purge region 204b after the substrate carry-in / placement step S102. Yes.

(サセプタ回転開始S202)
ウエハ200が各ウエハ載置部217bに載置されたら、回転機構267によってサセプタ217の回転を開始する。サセプタ217の回転速度は例えば1回転/分以上100回転/分以下である。
(Susceptor rotation start S202)
When the wafer 200 is placed on each wafer placement unit 217b, the rotation mechanism 267 starts to rotate the susceptor 217. The rotational speed of the susceptor 217 is, for example, not less than 1 revolution / minute and not more than 100 revolutions / minute.

(ガス供給開始S204)
ウエハ200が所望とする温度に達し、サセプタ217が所望とする回転速度に到達したら、第1処理領域201a内に不活性ガスと共にTMAガスの供給を開始し、更に第2処理領域201b内に混合ガスを供給する。
(Gas supply start S204)
When the wafer 200 reaches a desired temperature and the susceptor 217 reaches a desired rotation speed, supply of TMA gas together with an inert gas into the first processing region 201a is started, and further mixed into the second processing region 201b. Supply gas.

TMAガスの供給流量は、例えば10sccm以上50sccm以下、より好ましくは例えば約20sccmである。また、同時に供給される不活性ガスとしてのNガスの供給流量は、例えば100sccm以上500sccm以下の範囲の所定の値、より好ましくは例えば約225sccmである。本実施形態では、後述する第3工程S210までTMAガスを一定流量で流し続ける。The supply flow rate of the TMA gas is, for example, 10 sccm or more and 50 sccm or less, and more preferably, for example, about 20 sccm. Further, the supply flow rate of N 2 gas as the inert gas supplied at the same time is a predetermined value in the range of, for example, 100 sccm or more and 500 sccm or less, more preferably about 225 sccm, for example. In the present embodiment, TMA gas is kept flowing at a constant flow rate until a third step S210 described later.

また、バッファ室294aに導入された混合ガスは酸素含有ガス噴出孔294bを介してプラズマ生成室290に供給される。酸素含有ガス噴出孔294bを介して供給された混合ガスは側壁291方向に拡散され、側壁291に沿ってコイル293の近傍に供給される。なお、混合ガスの供給流量は、例えば1000sccm以上4000sccm以下の範囲の所定の値、より好ましくは例えば約3000sccmである。ここで、本実施形態では、後述するように、混合ガス中におけるOガスとHガスの流量比率(又は体積比率)が所定の比率となるようにMFC233c及び235dが制御される。また、本実施形態では、プラズマ生成停止S210まで混合ガスを一定流量で流し続ける。The mixed gas introduced into the buffer chamber 294a is supplied to the plasma generation chamber 290 via the oxygen-containing gas ejection hole 294b. The mixed gas supplied through the oxygen-containing gas ejection hole 294 b is diffused in the direction of the side wall 291 and supplied to the vicinity of the coil 293 along the side wall 291. The supply flow rate of the mixed gas is, for example, a predetermined value in a range of 1000 sccm to 4000 sccm, and more preferably about 3000 sccm. Here, in this embodiment, as will be described later, the MFCs 233c and 235d are controlled so that the flow rate ratio (or volume ratio) of the O 2 gas and the H 2 gas in the mixed gas becomes a predetermined ratio. In the present embodiment, the mixed gas continues to flow at a constant flow rate until the plasma generation stop S210.

また、本実施形態では、APCバルブ243を制御することにより、処理室201内の圧力を例えば1Pa〜10kPaの範囲の所定の値、好ましくは例えば100Pa〜200Paの範囲の所定の値、より好ましくは約120Paとする。   In this embodiment, by controlling the APC valve 243, the pressure in the processing chamber 201 is set to a predetermined value in the range of 1 Pa to 10 kPa, for example, preferably in the range of 100 Pa to 200 Pa, more preferably About 120 Pa.

なお、ガス供給開始S204のときから、ウエハ200の表面上に後述する所定の厚さを有するAl含有層が形成され始める。また、プラズマ生成室290におけるプラズマ生成開始までに混合ガスの流量を安定させるため、遅くともTMAガスがウエハ200の表面上に吸着してAl含有層を形成し始めてからウエハ200が1周するまでの間に、混合ガスの供給を開始することが望ましい。   Note that an Al-containing layer having a predetermined thickness, which will be described later, starts to be formed on the surface of the wafer 200 from the start of gas supply S204. Further, in order to stabilize the flow rate of the mixed gas before plasma generation in the plasma generation chamber 290, the TMA gas is adsorbed on the surface of the wafer 200 and forms an Al-containing layer at the latest until the wafer 200 makes one turn. In the meantime, it is desirable to start supplying the mixed gas.

(プラズマ生成開始S206)
次に、プラズマ生成部270のコイル293に高周波電力の供給を開始することによって、第2処理領域201bの上部空間であるプラズマ生成室290内において混合ガスをプラズマ状態で励起する。
(Plasma generation start S206)
Next, by starting the supply of high-frequency power to the coil 293 of the plasma generation unit 270, the mixed gas is excited in a plasma state in the plasma generation chamber 290 that is the upper space of the second processing region 201b.

具体的には、TMAガス及び酸素ガスの流量と処理室201内の圧力が安定したら、コイル293に対して高周波電源298によって高周波電力の印加を開始する。これにより、プラズマ生成室290内に、側壁291に沿って誘導プラズマが励起される。プラズマ状の混合ガスは解離し、酸素原子及び水素原子を含む活性種やイオン等、酸素原子のみを含む活性種、水素原子のみを含む活性種、等の反応種(以降、総称して活性種等と呼ぶ)が生成される。プラズマ生成室290内で生成された活性種等は、連通口203aを介して第2処理領域201b内へ供給される。   Specifically, when the flow rates of TMA gas and oxygen gas and the pressure in the processing chamber 201 are stabilized, application of high frequency power to the coil 293 is started by the high frequency power source 298. As a result, the induction plasma is excited along the side wall 291 in the plasma generation chamber 290. The plasma mixed gas is dissociated, and reactive species such as active species including oxygen atoms and hydrogen atoms, active species including only oxygen atoms, active species including only hydrogen atoms, and so on (hereinafter collectively referred to as active species). Etc.) is generated. Active species and the like generated in the plasma generation chamber 290 are supplied into the second processing region 201b via the communication port 203a.

(改質工程S208)
その後、プラズマ生成部270によるプラズマ生成を継続し、ウエハ200の上にAlの層を形成していく改質工程S208を行う。改質工程S208では、ウエハ200が第1処理領域201a、第1パージ領域204a、第2処理領域201b、第2パージ領域204bの順に通過するようにサセプタ217が継続して回転している。これによりウエハ200には、TMAガスの供給、不活性ガスの供給、プラズマ状態とされた混合ガスの供給、不活性ガスの供給を1サイクルとして、このサイクルが順に実施される。
(Modification step S208)
Thereafter, plasma generation by the plasma generation unit 270 is continued, and a modification step S208 is performed in which an Al 2 O 3 layer is formed on the wafer 200. In the modification step S208, the susceptor 217 is continuously rotated so that the wafer 200 passes through the first processing region 201a, the first purge region 204a, the second processing region 201b, and the second purge region 204b in this order. As a result, the TMA gas supply, the inert gas supply, the plasma mixed gas supply, and the inert gas supply are performed on the wafer 200 as one cycle, and this cycle is sequentially performed.

以下、図6を用い、改質工程S208の詳細を説明する。   Hereinafter, the details of the reforming step S208 will be described with reference to FIG.

(第1処理領域通過S302)
ウエハ200が第1処理領域201aを通過するときに、TMAガスがウエハ200に供給される。ウエハ200表面の上には、TMAガスがウエハ200の上に接触することによって「第1元素含有層」としてのAl含有層が形成される。
(First processing area passage S302)
TMA gas is supplied to the wafer 200 when the wafer 200 passes through the first processing region 201a. An Al-containing layer as a “first element-containing layer” is formed on the surface of the wafer 200 by contacting the TMA gas on the wafer 200.

(第1パージ領域通過S304)
次に、ウエハ200は第1パージ領域204aに移動する。ウエハ200が第1パージ領域204aを通過するときに、第1処理領域201aにおいてウエハ200に結合できなかったTMAガスの成分が、不活性ガスによってウエハ200上から除去される。
(First purge region passage S304)
Next, the wafer 200 moves to the first purge region 204a. When the wafer 200 passes through the first purge region 204a, the TMA gas component that could not be bonded to the wafer 200 in the first processing region 201a is removed from the wafer 200 by the inert gas.

(第2処理領域通過S306)
次に、ウエハ200は第2処理領域201bに移動する。ここでウエハ200は、小判型形状もしくは楕円形状をした側壁291の水平断面(連通口203aと同一形状)の長辺方向と直交するように回転方向Rに移動しながらプラズマ生成室290の下方を通過する。
(Second processing area passage S306)
Next, the wafer 200 moves to the second processing area 201b. Here, the wafer 200 moves under the plasma generation chamber 290 while moving in the rotation direction R so as to be orthogonal to the long side direction of the horizontal cross section (the same shape as the communication port 203a) of the oval or elliptical side wall 291. pass.

プラズマ生成室290では前述のように側壁291に沿って誘導プラズマが形成されており、ウエハ200がプラズマ生成室290の下方を通過するときに、プラズマ生成室290内におけるプラズマ励起によって発生した酸素原子及び水素原子の少なくとも一方を含む活性種等により、Al含有層がAlの層に改質される。In the plasma generation chamber 290, induction plasma is formed along the side wall 291 as described above, and oxygen atoms generated by plasma excitation in the plasma generation chamber 290 when the wafer 200 passes below the plasma generation chamber 290. In addition, the Al-containing layer is modified into an Al 2 O 3 layer by active species including at least one of hydrogen atoms.

(第2パージ領域通過S308)
次に、ウエハ200は第2パージ領域204bに移動する。ウエハ200が第2パージ領域204bを通過するときに、第2処理領域201bにおいてウエハ200に結合できなかった混合ガスの成分が、不活性ガスによってウエハ200上から除去される。
(Second purge region passage S308)
Next, the wafer 200 moves to the second purge region 204b. When the wafer 200 passes through the second purge region 204b, the component of the mixed gas that could not be bonded to the wafer 200 in the second processing region 201b is removed from the wafer 200 by the inert gas.

(判定S310)
この間、コントローラ300は、上記1サイクルを所定回数実施したか否かを判定する。具体的には、コントローラ300は、サセプタ217の回転数をカウントする。所定回数実施していないとき(S310でNoの場合)はさらにサセプタ217の回転を継続させて、S302、S304、S306、S308のサイクルを繰り返す。所定回数実施したとき(S310でYesの場合)は改質工程S208を終了する。
(Decision S310)
During this time, the controller 300 determines whether or not the one cycle has been performed a predetermined number of times. Specifically, the controller 300 counts the rotation speed of the susceptor 217. When the predetermined number of times has not been performed (No in S310), the rotation of the susceptor 217 is further continued, and the cycles of S302, S304, S306, and S308 are repeated. When it has been carried out a predetermined number of times (Yes in S310), the reforming step S208 is terminated.

(プラズマ生成停止S210)
次に、改質工程208の後に、プラズマ生成部270の電力供給を停止し、プラズマ生成を停止する。
(Plasma production stop S210)
Next, after the reforming step 208, power supply to the plasma generation unit 270 is stopped, and plasma generation is stopped.

(ガス供給停止S212)
プラズマ生成停止S210の後、少なくともバルブ232d及びバルブ233dを閉じ、第1元素含有ガス及び混合ガスの第1処理領域201a及び第2処理領域201bへの供給を停止する。
(Gas supply stop S212)
After the plasma generation stop S210, at least the valve 232d and the valve 233d are closed, and the supply of the first element-containing gas and the mixed gas to the first processing region 201a and the second processing region 201b is stopped.

(サセプタ回転停止S214)
ガス供給停止S212の後、サセプタ217の回転を停止する。以上により、薄膜形成工程S104が終了する。
(Susceptor rotation stop S214)
After the gas supply stop S212, the rotation of the susceptor 217 is stopped. Thus, the thin film forming step S104 is completed.

(基板搬出工程S106)
次に、サセプタ217を下降させ、サセプタ217の表面から突出させたウエハ突き上げピン266上にウエハ200を支持させる。その後、ゲートバルブ151を開き、ウエハ移載機を用いてウエハ200を反応容器203の外へ搬出する。以上により、基板処理工程を終了する。
(Substrate unloading step S106)
Next, the susceptor 217 is lowered and the wafer 200 is supported on the wafer push-up pins 266 protruding from the surface of the susceptor 217. Thereafter, the gate valve 151 is opened, and the wafer 200 is carried out of the reaction vessel 203 using a wafer transfer machine. Thus, the substrate processing process is completed.

(4)改質工程S208の詳細について
ここで、改質工程S208におけるAlの層の形成において、以下のような課題が存在する。
(4) Details of Modification Step S208 Here, the following problems exist in forming the Al 2 O 3 layer in the modification step S208.

本実施形態におけるプロセスチャンバ202は、ウエハ200が反応容器203の中心を周回することにより移動し、各処理領域を通過するように構成されている。従って、反応容器203の中心に近い面領域ほどサセプタ217の回転角速度に対するウエハ200の移動速度が小さく、反対に反応容器203の外周側に近い面領域ほどサセプタ217の回転角速度に対するウエハ200の移動速度が大きくなる。そのため、連通口203aの下方を通過し活性種等に曝される1サイクル当たりの時間は、ウエハ200の面領域のうち、反応容器203の中心に近い面領域ほど長く、反対に反応容器203の外周側に近い面領域ほど短い。結果として、1サイクル当たりの処理時間が短くなる面領域では改質反応が十分に行われず、形成されるAl膜の膜厚が面内において不均一となってしまう。また、改質反応が十分に行わない面領域に形成されたAl膜は膜質が低くなってしまう。このような課題は、サセプタ217の回転速度を大きくする場合においてより顕著になる。In the present embodiment, the process chamber 202 is configured such that the wafer 200 moves by circling the center of the reaction vessel 203 and passes through each processing region. Accordingly, the moving speed of the wafer 200 relative to the rotational angular velocity of the susceptor 217 is smaller in the surface region closer to the center of the reaction vessel 203, and conversely, the moving speed of the wafer 200 relative to the rotational angular velocity of the susceptor 217 is smaller in the surface region closer to the outer peripheral side of the reaction vessel 203. Becomes larger. Therefore, the time per cycle that passes under the communication port 203a and is exposed to the active species and the like is longer in the surface area of the wafer 200 closer to the center of the reaction vessel 203, and conversely, The surface area closer to the outer peripheral side is shorter. As a result, the reforming reaction is not sufficiently performed in the surface region where the processing time per cycle is shortened, and the film thickness of the formed Al 2 O 3 film becomes non-uniform in the surface. Moreover, the film quality of the Al 2 O 3 film formed in the surface region where the reforming reaction is not sufficiently performed becomes low. Such a problem becomes more prominent when the rotational speed of the susceptor 217 is increased.

上述の課題を解決するため、発明者は一手法として、酸素含有ガスをプラズマ励起する際にコイル293に供給する高周波電力を大きくして、活性種等の密度を上げることにより改質反応を促進させることを検討した。しかしながら、当該手法では顕著な改善結果を得ることはできなかった。そこで発明者は更なる解決手法として、本実施形態のように、Al含有層を改質する工程においてプラズマ励起される処理ガスとしてOガスとHガスの混合ガスを用いた。以下、比較例と本実施形態との比較を示す。In order to solve the above-mentioned problems, the inventors have promoted the reforming reaction by increasing the high-frequency power supplied to the coil 293 when the oxygen-containing gas is plasma-excited to increase the density of active species, etc. We considered making it. However, this method could not obtain a significant improvement result. Therefore, as a further solution, the inventor used a mixed gas of O 2 gas and H 2 gas as a processing gas to be plasma-excited in the step of modifying the Al-containing layer as in this embodiment. Hereinafter, a comparison between the comparative example and this embodiment will be shown.

<比較例1>
比較例1では、本実施形態におけるプロセスチャンバ202を用いて、以下の処理条件においてAl膜の形成処理を行った。処理手順は上述の本実施形態のものと同様である。以下の処理条件では、プラズマ励起される酸素含有ガスとしてOガスのみを用いており、Hガスの混合は行っていない。
・高周波電力:500W
・供給流量1(TMAガス/Nガス):20sccm/225sccm
・供給流量2(Oガス/Hガス):3000sccm/供給無し
・処理室内圧力:120Pa
・基板温度:200℃
・サセプタ回転速度:60rpm
・処理時間:25min
<Comparative Example 1>
In Comparative Example 1, the process of forming the Al 2 O 3 film was performed under the following processing conditions using the process chamber 202 in the present embodiment. The processing procedure is the same as that of this embodiment described above. Under the following processing conditions, only O 2 gas is used as the plasma-excited oxygen-containing gas, and H 2 gas is not mixed.
・ High frequency power: 500W
Supply flow rate 1 (TMA gas / N 2 gas): 20 sccm / 225 sccm
・ Supply flow rate 2 (O 2 gas / H 2 gas): 3000 sccm / no supply ・ Processing chamber pressure: 120 Pa
-Substrate temperature: 200 ° C
-Susceptor rotation speed: 60 rpm
・ Processing time: 25 min

<第1の実施例>
一方、本実施形態の第1の実施例として、以下の処理条件においてAl膜の形成処理を行った。第1の実施例と比較例1との処理条件における違いは、酸素含有ガスにおけるHガスの混合の有無のみである。
・供給流量1(TMAガス/Nガス):20sccm/225sccm
・供給流量2(Oガス/Hガス):2750sccm/250sccm
<First embodiment>
On the other hand, as a first example of this embodiment, an Al 2 O 3 film forming process was performed under the following processing conditions. The only difference in the processing conditions between the first example and the comparative example 1 is the presence or absence of mixing of H 2 gas in the oxygen-containing gas.
Supply flow rate 1 (TMA gas / N 2 gas): 20 sccm / 225 sccm
Supply flow rate 2 (O 2 gas / H 2 gas): 2750 sccm / 250 sccm

次に、比較例1と第1の実施例において形成された薄膜の膜厚に関する分析データをそれぞれ以下に示す。
a)比較例1
・面内膜厚均一性[±%]:2.30%
・面内膜厚均一性[ρ%]:1.46%
・面内最大膜厚差:85.19Å
・成膜レート[Å/サイクル]:1.23
b)第1の実施例
・面内膜厚均一性[±%]:0.76%
・面内膜厚均一性[ρ%]:0.55%
・面内最大膜厚差:29.95Å
・成膜レート[Å/サイクル]:1.32
Next, analysis data relating to the film thicknesses of the thin films formed in Comparative Example 1 and the first example are shown below.
a) Comparative Example 1
-In-plane film thickness uniformity [±%]: 2.30%
・ In-plane film thickness uniformity [ρ%]: 1.46%
・ In-plane maximum film thickness difference: 85.19 mm
Film formation rate [Å / cycle]: 1.23
b) First Example In-plane film thickness uniformity [±%]: 0.76%
-In-plane film thickness uniformity [ρ%]: 0.55%
・ In-plane maximum film thickness difference: 29.95 mm
Film formation rate [Å / cycle]: 1.32

本実験結果の比較から、第1の実施例において酸素含有ガスにHガスを混合したことにより、ウエハの面内膜厚均一性が大幅に改善されたことが分かる。また、比較例1と比べて第1の実施例では、成膜レートも向上されたことが分かる。From the comparison of the experimental results, it can be seen that the in-plane film thickness uniformity of the wafer was greatly improved by mixing H 2 gas with the oxygen-containing gas in the first example. It can also be seen that the film formation rate was improved in the first example as compared with Comparative Example 1.

ここで、第1の実施例における面内膜厚均一性の改善については、プラズマ励起される酸素含有ガスとしてOガスとHガスの混合ガスを用いることにより、第2処理領域通過S306におけるAl含有層に対する改質反応が促進されたことが要因であると推測される。すなわち、この混合ガスを用いることにより、Al含有層に対する酸化力が向上したと考えられる。更にこれにより、プラズマ励起によって生成された活性種等に曝される時間が短いウエハ200上の面領域についてもAl含有層の改質反応が十分に行われるため、活性種等に曝される時間の長短にかかわらず、1サイクルでAl含有層を均一に改質することができるものと考えられる。Here, regarding the improvement of the in-plane film thickness uniformity in the first embodiment, by using a mixed gas of O 2 gas and H 2 gas as the oxygen-containing gas to be plasma-excited, in the second processing region passage S306 It is presumed that this is because the reforming reaction on the Al-containing layer was promoted. That is, it is considered that the oxidizing power for the Al-containing layer was improved by using this mixed gas. Furthermore, since the reforming reaction of the Al-containing layer is sufficiently performed even on the surface region on the wafer 200 with a short time to be exposed to the active species and the like generated by plasma excitation, the time to be exposed to the active species and the like. Regardless of the length, it is considered that the Al-containing layer can be uniformly modified in one cycle.

更に、第1の実施例では、ウエハ200の面内全体においてAl含有層に対する改質反応が十分に行われため、Al膜の成膜レートを向上させることができる。また同様に、第1の実施例では、処理時間が短いウエハ200の面領域においてもAl含有層に対する改質反応が十分に行われため、それらの面領域においても、形成されるAl膜の膜質、例えばウエットエッチングレート(WER)を改善することができる。なお、WERの改善の要因としては、更に混合ガスに含まれる活性化された水素原子の作用によって、形成されるAl膜中の不純物が還元・除去されるということも考えられる。Furthermore, in the first embodiment, the reforming reaction for the Al-containing layer is sufficiently performed over the entire surface of the wafer 200, so that the deposition rate of the Al 2 O 3 film can be improved. Similarly, in the first embodiment, the reforming reaction is sufficiently performed on the Al-containing layer even in the surface region of the wafer 200 with a short processing time, and thus Al 2 O 3 formed is also formed in those surface regions. The film quality of the film, for example, the wet etching rate (WER) can be improved. As a factor for improving WER, it is also conceivable that impurities in the formed Al 2 O 3 film are reduced and removed by the action of activated hydrogen atoms contained in the mixed gas.

なお、本実施形態では、水素原子を含む活性種等がウエハ200に対して供給されるが、水素原子を含む活性種、例えばOHラジカル等は、他の活性種に比べて特に失活しやすい。従って、本実施形態におけるプラズマ生成部のように、プラズマが生成されるプラズマ生成室290から直接活性種等を処理室201内のウエハ200に供給できる構造となっていることが特に好ましい。   In this embodiment, active species including hydrogen atoms are supplied to the wafer 200. However, active species including hydrogen atoms, such as OH radicals, are particularly easily deactivated compared to other active species. . Therefore, it is particularly preferable that the active species or the like be directly supplied from the plasma generation chamber 290 in which plasma is generated to the wafer 200 in the processing chamber 201 as in the plasma generation unit in the present embodiment.

<第2の実施例>
続いて第2の実施例として、酸素含有ガスにおけるOガスとHガスの流量比率を変化させ、それぞれの流量比率におけるウエハ200の面内膜厚均一性と、形成されるAl膜の膜質を示すWERを測定した。図7にその測定結果を示す。なお、処理条件はOガスとHガスの流量比率以外は、第1の実施例と同じである。
<Second embodiment>
Subsequently, as a second embodiment, the flow rate ratio of O 2 gas and H 2 gas in the oxygen-containing gas is changed, the in-plane film thickness uniformity of the wafer 200 at each flow rate ratio, and the formed Al 2 O 3. WER indicating the film quality of the film was measured. FIG. 7 shows the measurement results. The processing conditions are the same as in the first embodiment except for the flow rate ratio of O 2 gas and H 2 gas.

図7に示すグラフの横軸は、OガスとHガスの混合ガスにおけるHガスの濃度(混合ガスにおけるHガスの流量比)を示している。グラフ中の■はウエハ200の面内膜厚均一性の測定値を示しており、◆は形成されたAl膜のWERの測定値を示している。The horizontal axis of the graph shown in FIG. 7 indicates the concentration of H 2 gas in the mixed gas of O 2 gas and H 2 gas (the flow rate ratio of H 2 gas in the mixed gas). In the graph, ■ indicates the measured value of the in-plane film thickness uniformity of the wafer 200, and ◆ indicates the measured value of WER of the formed Al 2 O 3 film.

本実施例における測定結果から、Hガスの濃度が0%(OガスとHガスの流量比は100:0)、すなわち混合されていない場合は、面内膜厚均一性が2.5%程度であったが、Hガスの濃度が5%(同流量比は95:5)の場合は、面内膜厚均一性が1%未満まで大幅に改善されたことが分かる。また、Hガスの濃度が5%以上、50%以下(同流量比は50:50)の範囲では、面内膜厚均一性を1%未満に維持できていることが分かる。なお、Hガスの濃度が50%超の範囲では、Oガスの濃度低下により改質反応が低下するため、面内膜厚均一性が悪化していると推測される。従って、面内膜厚均一性を改善するためには、Hガスの濃度を5%以上50%以下の範囲の所定の濃度とすることが望ましい。From the measurement result in this example, the concentration of H 2 gas is 0% (the flow rate ratio of O 2 gas and H 2 gas is 100: 0), that is, the in-plane film thickness uniformity is 2. Although it was about 5%, when the concentration of H 2 gas is 5% (the flow rate ratio is 95: 5), it can be seen that the in-plane film thickness uniformity is greatly improved to less than 1%. It can also be seen that the in-plane film thickness uniformity can be maintained at less than 1% when the concentration of H 2 gas is in the range of 5% or more and 50% or less (the same flow ratio is 50:50). In addition, in the range where the concentration of H 2 gas exceeds 50%, the reforming reaction is reduced due to the decrease in the concentration of O 2 gas, so that it is estimated that the in-plane film thickness uniformity is deteriorated. Therefore, in order to improve the in-plane film thickness uniformity, it is desirable to set the concentration of H 2 gas to a predetermined concentration in the range of 5% to 50%.

一方、WERについても同様の改善が確認できる。Hガスの濃度が0%の場合におけるWERを1とするWER比を指標とすると、Hガスの濃度が10%(同流量比は90:10)の場合は、WER比が0.7程度まで改善されたことが分かる。また、Hガスの濃度が10%以上の範囲では、WER比を同程度に維持できていることが分かる。なお、Hガスの濃度が80%超の範囲では、Oガスの濃度低下により改質反応が十分に行われないためにWER比が悪化していると推測される。従って、WERを改善するためには、Hガスの濃度を10%以上の範囲の所定の濃度とすることが望ましい。On the other hand, the same improvement can be confirmed for WER. If the WER ratio with WER of 1 when the concentration of H 2 gas is 0% is used as an index, the WER ratio is 0.7 when the concentration of H 2 gas is 10% (the flow rate ratio is 90:10). It can be seen that the level has been improved. It can also be seen that the WER ratio can be maintained at the same level when the concentration of H 2 gas is 10% or more. In addition, in the range where the concentration of H 2 gas exceeds 80%, it is estimated that the WER ratio is deteriorated because the reforming reaction is not sufficiently performed due to the decrease in the concentration of O 2 gas. Therefore, in order to improve WER, it is desirable to set the concentration of H 2 gas to a predetermined concentration in the range of 10% or more.

また、面内膜厚均一性とWERの両者を改善するためには、Hガスの濃度を10%以上50%以下の範囲の所定の濃度とすることが望ましい。In order to improve both in-plane film thickness uniformity and WER, it is desirable to set the concentration of H 2 gas to a predetermined concentration in the range of 10% to 50%.

なお、酸素含有ガスとしては、OガスとHガスの混合ガスに限らず、水蒸気(HO)のような酸素原子と水素原子を含む単一のガスを用いることも考えられる。しかし、単一のガスを用いる場合、酸素含有ガス中の酸素原子と水素原子の比率を任意に選択することができず、所望の比率を実現することが難しい。例えば、HOのみを用いる場合、ガス中の酸素原子と水素原子の比率を、50:50〜95:5のような望ましい比率とすることができない。一方、第1の実施例、及び第2の実施例を含む本実施形態では、酸素含有ガスとして、それぞれMFC233c、235cにより個別に流量調整が可能なOガスとHガスの混合ガスを用いている。そのため、混合ガス中の酸素原子と水素原子の比率を、各ガスの流量を調整することによって容易に調整することが可能となっている。Note that the oxygen-containing gas is not limited to a mixed gas of O 2 gas and H 2 gas, and a single gas containing oxygen atoms and hydrogen atoms such as water vapor (H 2 O) may be used. However, when a single gas is used, the ratio of oxygen atoms to hydrogen atoms in the oxygen-containing gas cannot be arbitrarily selected, and it is difficult to achieve a desired ratio. For example, when only H 2 O is used, the ratio of oxygen atoms to hydrogen atoms in the gas cannot be set to a desirable ratio such as 50:50 to 95: 5. On the other hand, in this embodiment including the first example and the second example, a mixed gas of O 2 gas and H 2 gas whose flow rate can be individually adjusted by the MFCs 233c and 235c is used as the oxygen-containing gas. ing. Therefore, the ratio of oxygen atoms to hydrogen atoms in the mixed gas can be easily adjusted by adjusting the flow rate of each gas.

<比較例2>
続いて、本実施形態においては第1元素含有ガスとしてTMAガスを用いるのに対して、第2元素含有ガスとして四塩化チタン(TiCl)ガスを用いる例を比較例2として説明する。比較例2では、TiClガスを用いることにより、ウエハ200上に酸化チタン(TiO)膜を形成する。
<Comparative Example 2>
Subsequently, in the present embodiment, an example in which TMA gas is used as the first element-containing gas while titanium tetrachloride (TiCl 4 ) gas is used as the second element-containing gas will be described as Comparative Example 2. In Comparative Example 2, a titanium oxide (TiO) film is formed on the wafer 200 by using TiCl 4 gas.

比較例2における処理条件は、第1元素含有ガスの種類と流量を以下とした点以外は、第2の実施例とほぼ同様である。
・供給流量1(TiClガス/Nガス):60sccm/350sccm
The processing conditions in Comparative Example 2 are substantially the same as in the second example except that the type and flow rate of the first element-containing gas are as follows.
Supply flow rate 1 (TiCl 4 gas / N 2 gas): 60 sccm / 350 sccm

第2の実施例と同様に、酸素含有ガスにおけるOガスとHガスの流量比率を変化させ、それぞれの流量比率におけるウエハ200の面内膜厚均一性を測定した。図8にその測定結果を示す。Similarly to the second example, the flow rate ratio of O 2 gas and H 2 gas in the oxygen-containing gas was changed, and the in-plane film thickness uniformity of the wafer 200 at each flow rate ratio was measured. FIG. 8 shows the measurement results.

比較例2における測定結果では、Hガスの濃度が0%の場合は、面内膜厚均一性が2.6%程度であった。一方、Hガスが混合されている場合、TMAガスを用いる本実施形態においては面内膜厚均一性が大幅に改善されたが、比較例2においてはHガスが混合された場合であっても面内膜厚均一性の有意な改善は確認されなかった。In the measurement result in Comparative Example 2, the in-plane film thickness uniformity was about 2.6% when the H 2 gas concentration was 0%. On the other hand, when H 2 gas is mixed, in-plane film thickness uniformity is greatly improved in the present embodiment using TMA gas, but in Comparative Example 2, it is a case where H 2 gas is mixed. However, no significant improvement in in-plane film thickness uniformity was confirmed.

本実施形態と比較例2との間におけるこのような効果の違いは、第1元素含有ガスと、水素原子を含む活性種等との間での反応の起こり易さに違いがあるために生じるものと推測される。例えばOガスとHガスの混合ガスをプラズマ励起して生成された活性種等は、TiClのような無機金属原料よりも、TMAのような有機金属原料と改質反応を起こし易いと考えられる。特に、メチル基やエチル基等のアルキル基をリガンドとして有する金属原料に対しては、アルキル基を脱離させて酸化する改質反応を起こし易いと考えられるため、本実施形態において特に好適である。Such a difference in effect between the present embodiment and Comparative Example 2 occurs because there is a difference in the likelihood of reaction between the first element-containing gas and the active species containing hydrogen atoms. Presumed to be. For example, an active species generated by plasma excitation of a mixed gas of O 2 gas and H 2 gas is more likely to cause a reforming reaction with an organic metal material such as TMA than an inorganic metal material such as TiCl 4. Conceivable. In particular, a metal raw material having an alkyl group such as a methyl group or an ethyl group as a ligand is particularly suitable in the present embodiment because it is likely to cause a modification reaction in which the alkyl group is eliminated and oxidized. .

<本発明の他の実施形態>
以上、本発明の実施形態を具体的に説明したが、本発明は上述の実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
<Other Embodiments of the Present Invention>
As mentioned above, although embodiment of this invention was described concretely, this invention is not limited to the above-mentioned embodiment, It can change variously in the range which does not deviate from the summary.

第1元素含有ガスに含まれるリガンドとしては、例えば、エチル基やブチル基等のアルキル基や、シクロペンタジエニル基、カルボニル基等であってもよい。また、第1元素含有ガスとしては、例えば、Ti[(CN]、Ti[N(CH、Ta(OC、Hf[N(CH)CHCH、Hf[N(CH、Hf[N(C)、Zr[N(CH)CHCH、Zr[N(CH、Zr[N(C)、(C)Zr[N(CH)、(CNH)W(CN)、W(CO)、C1418Co、CoCO)、Y(CCH(CHCH、C1418Ru等の有機金属原料ガスを用いることも可能である。The ligand contained in the first element-containing gas may be, for example, an alkyl group such as an ethyl group or a butyl group, a cyclopentadienyl group, a carbonyl group, or the like. Examples of the first element-containing gas include Ti [(C 2 H 5 ) 2 N] 4 , Ti [N (CH 3 ) 2 ] 4 , Ta (OC 2 H 5 ) 5 , Hf [N (CH 3) CH 2 CH 3] 4 , Hf [N (CH 3) 2] 4, Hf [N (C 2 H 5) 2] 4, Zr [N (CH 3) CH 2 CH 3] 4, Zr [N (CH 3 ) 2 ] 4 , Zr [N (C 2 H 5 ) 2 ] 4 , (C 5 H 5 ) Zr [N (CH 3 ) 2 ] 3 , (C 4 H 9 NH) 2 W (C 4 Organometallic raw materials such as H 9 N) 2 , W (CO) 6 , C 14 H 18 Co, CoCO) 6 , Y (C 5 H 4 CH 2 (CH 2 ) 2 CH 3 ) 3 , C 14 H 18 Ru It is also possible to use gas.

200 ウエハ(基板)
201a 第1処理領域
201b 第2処理領域
203 反応容器
206 プラズマ生成部
217 サセプタ
200 wafer (substrate)
201a 1st processing area 201b 2nd processing area 203 Reaction container 206 Plasma generation part 217 Susceptor

Claims (10)

処理室内に回転自在に設けられた基板載置台上に、前記基板載置台の回転方向に沿って複数の基板を載置する工程と、
前記基板載置台の回転方向に沿って前記処理室内に設けられた第1処理領域および第2処理領域を前記基板が通過するように前記基板載置台を回転させる工程と、
前記第1処理領域内に第1元素を含有する第1元素含有ガスを供給する工程と、
酸素ガス及び水素ガスを含む混合ガスをプラズマ励起して活性種を生成し、前記活性種を前記第2処理領域内に供給する工程と、を有し、
前記混合ガスにおける酸素ガスと水素ガスの比率は95:5〜50:50の範囲の所定の比率である、
半導体装置の製造方法。
Placing a plurality of substrates along a rotation direction of the substrate mounting table on a substrate mounting table rotatably provided in the processing chamber;
Rotating the substrate mounting table so that the substrate passes through a first processing region and a second processing region provided in the processing chamber along a rotation direction of the substrate mounting table;
Supplying a first element-containing gas containing a first element in the first processing region;
Plasma-excited mixed gas containing oxygen gas and hydrogen gas to generate active species, and supplying the active species into the second processing region,
The ratio of oxygen gas to hydrogen gas in the mixed gas is a predetermined ratio in the range of 95: 5 to 50:50.
A method for manufacturing a semiconductor device.
前記混合ガスにおける酸素ガスと水素ガスの比率は90:10〜50:50の範囲の所定の比率である、請求項1記載の方法。   The method according to claim 1, wherein a ratio of oxygen gas to hydrogen gas in the mixed gas is a predetermined ratio in a range of 90:10 to 50:50. 前記基板を前記基板載置台上に載置する工程の後、前記基板を100℃以上300℃未満の範囲の所定温度に加熱する工程を更に有する、請求項1記載の方法。   The method according to claim 1, further comprising a step of heating the substrate to a predetermined temperature in a range of 100 ° C. or more and less than 300 ° C. after the step of placing the substrate on the substrate mounting table. 前記基板を所定温度に加熱する工程では、前記基板を100℃以上200℃以下の範囲の所定温度に加熱する、請求項3記載の方法。 The method according to claim 3, wherein in the step of heating the substrate to a predetermined temperature, the substrate is heated to a predetermined temperature in a range of 100 ° C. or higher and 200 ° C. or lower. 前記第1元素含有ガスは、メチル基を有する有機金属化合物を含むガスである、請求項1記載の方法。   The method according to claim 1, wherein the first element-containing gas is a gas containing an organometallic compound having a methyl group. 前記有機金属化合物は、トリメチルアルミニウムである、請求項5記載の方法。   The method according to claim 5, wherein the organometallic compound is trimethylaluminum. 前記活性種を前記第2処理領域内に供給する工程では、前記活性種を前記第2処理領域に設けられた活性種供給口を介して前記第2処理領域内に供給する、
請求項1記載の方法。
In the step of supplying the active species into the second processing region, the active species are supplied into the second processing region via an active species supply port provided in the second processing region.
The method of claim 1.
前記混合ガスはプラズマ生成部により励起され、
前記プラズマ生成部は、前記混合ガスが供給されるプラズマ生成室と、前記プラズマ生成室の周囲に設けられ、高周波電力の印加を受けて前記プラズマ生成室内に供給された前記混合ガスをプラズマ励起するコイルと、を有し、
前記活性種供給口は、前記第2処理領域内を通過する前記基板に対向するように前記第2処理領域の上部に設けられる、
請求項7記載の方法。
The mixed gas is excited by a plasma generator,
The plasma generation unit is provided around the plasma generation chamber to which the mixed gas is supplied and the plasma generation chamber, and receives the application of high frequency power to plasma-excite the mixed gas supplied into the plasma generation chamber A coil, and
The active species supply port is provided in an upper part of the second processing region so as to face the substrate passing through the second processing region.
The method of claim 7.
基板を処理する処理室と、
前記処理室内に回転自在に設けられ、回転方向に沿って複数の基板が載置される基板載置面を備えた基板載置台と、
前記基板載置面に載置された前記基板を加熱するよう構成された加熱部と、
前記基板載置台を回転させる回転機構と、
前記基板載置台の回転方向に沿って前記処理室内に設けられた、前記複数の基板を処理する第1処理領域および第2処理領域と、
前記第1処理領域に第1元素を含有する第1元素含有ガスを供給する第1元素含有ガス供給部と、
酸素ガス及び水素ガスを含む混合ガスをプラズマ励起して活性種を生成するプラズマ生成部と、
前記プラズマ生成部に前記混合ガスを供給する混合ガス供給部と、
前記第2処理領域内に設けられ、前記プラズマ生成部で生成された前記活性種を前記第2処理領域内に供給する活性種供給口と、
前記混合ガスにおける酸素ガスと水素ガスの比率が95:5〜50:50の範囲の所定の比率となるように前記混合ガス供給部を制御するように前記加熱部を制御するよう構成された制御部と、
を備える基板処理装置。
A processing chamber for processing the substrate;
A substrate mounting table provided with a substrate mounting surface that is rotatably provided in the processing chamber and on which a plurality of substrates are mounted along the rotation direction;
A heating unit configured to heat the substrate placed on the substrate placement surface;
A rotation mechanism for rotating the substrate mounting table;
A first processing region and a second processing region, which are provided in the processing chamber along the rotation direction of the substrate mounting table and process the plurality of substrates;
A first element-containing gas supply unit for supplying a first element-containing gas containing a first element to the first processing region;
A plasma generating unit for generating active species by plasma-exciting a mixed gas containing oxygen gas and hydrogen gas;
A mixed gas supply unit for supplying the mixed gas to the plasma generation unit;
An active species supply port provided in the second processing region and configured to supply the active species generated by the plasma generation unit into the second processing region;
Control configured to control the heating unit so as to control the mixed gas supply unit so that a ratio of oxygen gas to hydrogen gas in the mixed gas is a predetermined ratio in a range of 95: 5 to 50:50. And
A substrate processing apparatus comprising:
基板処理装置の処理室内に回転自在に設けられた基板載置台上に、前記基板載置台の回転方向に沿って複数の基板を載置する手順と、
前記基板載置台の回転方向に沿って前記処理室内に設けられた第1処理領域および第2処理領域を前記基板が通過するように前記基板載置台を回転させる手順と、
前記第1処理領域内に第1元素を含有する第1元素含有ガスを供給する工程と、
酸素ガス及び水素ガスを含む混合ガスをプラズマ励起して活性種を生成し、前記活性種を前記第2処理領域内に供給する手順と、を有し、
前記混合ガスにおける酸素ガスと水素ガスの比率は95:5〜50:50の範囲の所定の比率である、
コンピュータにより前記基板処理装置に実行させるプログラム。


A procedure for mounting a plurality of substrates along a rotation direction of the substrate mounting table on a substrate mounting table rotatably provided in a processing chamber of the substrate processing apparatus;
Rotating the substrate mounting table so that the substrate passes through a first processing region and a second processing region provided in the processing chamber along a rotation direction of the substrate mounting table;
Supplying a first element-containing gas containing a first element in the first processing region;
A step of plasma-exciting a mixed gas containing oxygen gas and hydrogen gas to generate active species, and supplying the active species into the second processing region,
The ratio of oxygen gas to hydrogen gas in the mixed gas is a predetermined ratio in the range of 95: 5 to 50:50.
A program to be executed by the substrate processing apparatus by a computer.


JP2018542478A 2016-09-29 2017-09-21 Semiconductor device manufacturing method, substrate processing apparatus, and program Active JP6616520B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2016191016 2016-09-29
JP2016191016 2016-09-29
PCT/JP2017/034048 WO2018061965A1 (en) 2016-09-29 2017-09-21 Method for manufacturing semiconductor device, substrate treatment apparatus, and program

Publications (2)

Publication Number Publication Date
JPWO2018061965A1 true JPWO2018061965A1 (en) 2019-01-31
JP6616520B2 JP6616520B2 (en) 2019-12-04

Family

ID=61760685

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018542478A Active JP6616520B2 (en) 2016-09-29 2017-09-21 Semiconductor device manufacturing method, substrate processing apparatus, and program

Country Status (2)

Country Link
JP (1) JP6616520B2 (en)
WO (1) WO2018061965A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG11202102610UA (en) * 2018-09-21 2021-04-29 Kokusai Electric Corp Method of manufacturing semiconductor device, substrate processing apparatus and program
JP7361771B2 (en) * 2018-11-08 2023-10-16 インテグリス・インコーポレーテッド Chemical vapor deposition process using ruthenium precursor and reducing gas

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007287859A (en) * 2006-04-14 2007-11-01 Toshiba Corp Method for manufacturing semiconductor device
JP2010126797A (en) * 2008-11-28 2010-06-10 Tokyo Electron Ltd Film deposition system, semiconductor fabrication apparatus, susceptor for use in the same, program and computer readable storage medium
JP2014060309A (en) * 2012-09-19 2014-04-03 Hitachi Kokusai Electric Inc Substrate processing apparatus and semiconductor device manufacturing method
JP2016111291A (en) * 2014-12-10 2016-06-20 株式会社Joled Atomic layer deposition device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007287859A (en) * 2006-04-14 2007-11-01 Toshiba Corp Method for manufacturing semiconductor device
JP2010126797A (en) * 2008-11-28 2010-06-10 Tokyo Electron Ltd Film deposition system, semiconductor fabrication apparatus, susceptor for use in the same, program and computer readable storage medium
JP2014060309A (en) * 2012-09-19 2014-04-03 Hitachi Kokusai Electric Inc Substrate processing apparatus and semiconductor device manufacturing method
JP2016111291A (en) * 2014-12-10 2016-06-20 株式会社Joled Atomic layer deposition device

Also Published As

Publication number Publication date
JP6616520B2 (en) 2019-12-04
WO2018061965A1 (en) 2018-04-05

Similar Documents

Publication Publication Date Title
KR101846846B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US7811945B2 (en) Selective plasma processing method
US8372761B2 (en) Plasma oxidation processing method, plasma processing apparatus and storage medium
US11069512B2 (en) Film forming apparatus and gas injection member used therefor
JP6124477B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and recording medium
JP5938491B1 (en) Substrate processing apparatus, semiconductor device manufacturing method, program, and recording medium
JPWO2006106665A1 (en) Method for nitriding substrate and method for forming insulating film
JP2016072360A (en) Substrate processing apparatus, semiconductor device manufacturing method and storage medium
US20130022760A1 (en) Plasma nitriding method
WO2018055730A1 (en) Substrate treating device, method for manufacturing semiconductor device, and recording medium
JP2015181149A (en) Substrate processing apparatus, manufacturing method of semiconductor device, and recording medium
US20170194135A1 (en) Method of manufacturing semiconductor device, and recording medium
JP2019140206A (en) Substrate processing apparatus, method for manufacturing semiconductor device and program
JP6616520B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6436886B2 (en) Semiconductor device manufacturing method and program
JP7165743B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6342503B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
US10453676B2 (en) Semiconductor device manufacturing method and recording medium
JP2011029416A (en) Flat antenna member, and plasma processing device including the same
JP6603413B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
WO2017014179A1 (en) Substrate treatment device, method for manufacturing semiconductor device, and program
WO2023053262A1 (en) Semiconductor device manufacturing method, substrate processing method, substrate processing device, and program
JP7478832B2 (en) SUBSTRATE PROCESSING APPARATUS, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, SUBSTRATE PROCESSING METHOD, AND PROGRAM
JP7048433B2 (en) Film formation method and film formation equipment
JP6224263B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and program

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180921

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20191030

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191107

R150 Certificate of patent or registration of utility model

Ref document number: 6616520

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250