JPWO2002037526A1 - Electron beam apparatus and semiconductor device manufacturing method using the apparatus - Google Patents

Electron beam apparatus and semiconductor device manufacturing method using the apparatus Download PDF

Info

Publication number
JPWO2002037526A1
JPWO2002037526A1 JP2002540180A JP2002540180A JPWO2002037526A1 JP WO2002037526 A1 JPWO2002037526 A1 JP WO2002037526A1 JP 2002540180 A JP2002540180 A JP 2002540180A JP 2002540180 A JP2002540180 A JP 2002540180A JP WO2002037526 A1 JPWO2002037526 A1 JP WO2002037526A1
Authority
JP
Japan
Prior art keywords
electron beam
electron
sample
optical system
primary
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002540180A
Other languages
Japanese (ja)
Other versions
JP3993098B2 (en
Inventor
中筋 護
佐竹 徹
渡辺 賢治
村上 武司
野路 伸治
曽布川 拓司
狩俣 努
吉川 省二
金馬 利文
大和田 伸
西藤 睦
浜島 宗樹
高木 徹
木原 直人
西村 宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ebara Corp
Nikon Corp
Original Assignee
Ebara Corp
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ebara Corp, Nikon Corp filed Critical Ebara Corp
Priority claimed from PCT/JP2001/009629 external-priority patent/WO2002037526A1/en
Publication of JPWO2002037526A1 publication Critical patent/JPWO2002037526A1/en
Application granted granted Critical
Publication of JP3993098B2 publication Critical patent/JP3993098B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Abstract

本発明は、一次電子線を試料に照射する一次電子光学系と、検出系と、一次電子線の照射によって試料面から発生する二次電子線を検出系に指向させる二次電子光学系とを備えた、試料面の評価を行うための電子線装置を提供する。該電子線装置は、一次電子光学系に含まれ、電子銃から放出された電子を複数の一次電子線として生成するマルチビーム生成装置と、一次電子光学系に含まれ、複数の一次電子線を試料上に同時に走査させる走査用偏向器と、一次電子光学系及び二次電子光学系に共通に含まれ、複数の一次電子線を減速して試料に照射するとともに、試料の一次電子線の照射点から放出された複数の二次電子線を加速する対物レンズと、一次電子光学系及び二次電子光学系に含まれ、対物レンズを通過した複数の二次電子線を一次電子光学系から二次電子光学系に偏向させる二次電子線分離装置と、二次電子光学系に含まれ、偏向された複数の二次電子線を拡大する少なくとも1段の拡大レンズと、検出系に含まれ、二次電子光学系からの複数の二次電子線に対応して設けられ、二次電子線を検出する複数の検出器とからなることを特徴としている。The present invention provides a primary electron optical system that irradiates a sample with a primary electron beam, a detection system, and a secondary electron optical system that directs a secondary electron beam generated from the sample surface by irradiation of the primary electron beam to the detection system. Provided is an electron beam apparatus for evaluating a sample surface. The electron beam device is included in the primary electron optical system, a multi-beam generating device that generates electrons emitted from the electron gun as a plurality of primary electron beams, and included in the primary electron optical system, a plurality of primary electron beams A scanning deflector that scans the sample simultaneously and is included in the primary electron optical system and the secondary electron optical system in common, decelerates multiple primary electron beams and irradiates the sample with the primary electron beam. An objective lens for accelerating a plurality of secondary electron beams emitted from a point, and a plurality of secondary electron beams included in the primary electron optical system and the secondary electron optical system, which pass through the objective lens and are transmitted from the primary electron optical system. A secondary electron beam separator that deflects the secondary electron optical system, an at least one-stage magnifying lens that is included in the secondary electron optical system and expands a plurality of deflected secondary electron beams, and is included in the detection system, Multiple secondary electron beams from secondary electron optics Provided corresponding, it is characterized by comprising a plurality of detectors for detecting secondary electron beam.

Description

発明の技術分野
本発明は、複数の電子ビームを用いて、ウエハ等の試料の表面の性状を検査する技術に関する。より詳細には、本発明は、半導体製造工程におけるウエハの欠陥検出及び線幅測定等のように、電子ビームを試料に照射し、その表面の性状に応じて変化する二次電子を捕捉して画像データを形成し、該画像データに基づいて試料表面に形成されたパターン等を高スループットで評価するための電子線装置、並びに、該装置を用いた半導体デバイスの評価システム及び半導体デバイス製造方法に関する。なお、本明細書において、試料の「評価」とは、試料の欠陥検出及び線幅測定等の任意の「検査」を含むものとする。
背景技術
半導体プロセスにおいて、デザインルールは100nmの時代を迎えようとしており、また生産形態はDRAMに代表される少品種大量生産からSOC(Silicon on chip)のように多品種少量生産へ移行しつつある。それに伴い、製造工程数が増加し、各工程毎の歩留まり向上は必須となり、プロセス起因の欠陥検査が重要になる。
そして、半導体デバイスの高集積化及びパターンの微細化に伴い、高分解能、高スループットの検査装置が要求されている。100nmデザインルールのウエハの欠陥を調べるためには、100nm以下の分解能が必要であり、デバイスの高集積化による製造工程の増加により、検査量が増大するため、高スループットが要求されている。また、デバイスの多層化が進むにつれて、層間の配線をつなぐビアのコンタクト不良(電気的欠陥)を検出する機能も、検査装置に要求されている。現在は主に光方式の欠陥検査装置が使用されているが、分解能及び、コンタクト不良検査の点では、光方式の欠陥検査装置に代わって電子ビームを用いた欠陥検査装置が、今後、主流になると予想される。但し、電子ビーム方式の欠陥検査装置にも弱点があり、それはスループットの点で光方式に劣ることである。このため、高分解能、高スループット、且つ電気的欠陥検出が可能な電子ビーム方式の検査装置の開発が要求されている。
光方式での分解能は、使用する光の波長の1/2が限界と言われており、実用化されている可視光の例では、0.2μm程度である。一方電子ビームを使用する方式では、通常、走査型電子ビーム方式(SEM方式)が実用化されており、分解能は0.1μm、検査時間は8時間/枚(20cmウエハ)である。電子ビーム方式はまた、電気的欠陥(配線の断線、導通不良、ビアの導通不良等)も検査可能であることが大きな特徴である。しかし、上記したように、検査時間が非常に遅く、検査速度の速い欠陥検査装置の開発が期待されている。また、電子ビーム方式の検査装置は、高価でありまたスループットも他のプロセス装置に比べて低いことから、一般に、現状では重要な工程の後、例えばエッチング、成膜(銅メッキを含む)、又はCMP(化学機械研磨)平坦化処理後等に使用されている。
電子ビームを用いた走査(SEM)方式の検査装置について説明する。SEM方式の検査装置は、電子ビームを細く絞って(このビーム径が分解能に相当する)これを走査してライン状にウエハを照射する。一方、ステージを電子ビームの走査方向に直角の方向に移動させることにより、平面状に観察領域を電子ビームで照射する。電子ビームの走査幅は、一般に数100μmである。細く絞られた、電子ビーム(一次電子線と呼ぶ)の照射により発生したウエハからの二次電子を検出器(シンチレータ+フォトマルチプライヤ(光電子増倍管)又は半導体方式の検出器(PINダイオード型)等)で検出する。照射位置の座標と二次電子の量(信号強度として得られる)を合成して画像化し、記憶装置に記憶し、あるいはCRT(ブラウン管)等のモニタ上に画像を出力する。以上がSEM(走査型電子顕微鏡)の原理であり、この方式で得られた画像から、工程途中の半導体(通常はSi)ウエハの欠陥を検出する。検査速度(スループットに相当する)は、一次電子線の量(電流値)、ビーム径、検出器の応答速度で決まる。ビーム径0.1μm(分解能と同じと考えてよい)、一次電子線の電流値100nA、検出器の応答速度100MHzが、現在の最高値であり、この場合で検査速度は、20cm径のウエハ1枚あたり約8時間と言われている。このように、検査速度が光に比べてきわめて遅い(1/20以下)ことが、大きな問題点(欠点)となっている。
また、高スループットにするためにビーム電流を大きくすると、絶縁膜が表面にあるウエハでは、帯電して良好なSEM画像が得られないという問題があった。
SEM方式の欠点である検査速度を向上する別の方法として、複数の電子線を用いたSEM(マルチビームSEM)方式及び装置が開示されている。この従来例の方式及び装置では、複数の電子線の本数分だけ検査速度を向上できるが、複数の一次電子線を斜め入射し、ウエハからの複数の二次電子線を斜め方向に取り出すため、ウエハから放出される二次電子も、斜めの方向に放出されたもののみを検出器が拾うことになる。また、画像に影ができてしまったり、さらに、複数の電子線からのそれぞれの二次電子を分離することが困難であって、二次電子が互いに混入してしまうという問題が生じている。
さらに、マルチビーム方式の電子線装置を用いた評価システムにおいて、電子線装置と他のサブシステムとの間の相互作用等については、今までほとんど提案されておらず、結局、高スループットの評価システムの完成された全体システムが提案されていない。更に、検査すべきウエハ等の大型化が図られてきており、サブシステムもウエハの大型化に対処できるようにする必要があるが、この点についても、提案されていない。
発明の開示
本発明は、このような従来例の問題点に鑑みてなされたものであり、その目的は、マルチビームを用いたSEM方式の電子線装置を用いた評価システムであって、検査処理のスループットを向上させることができる評価システムを提供することである。
本発明の別の目的は、マルチビームを用いたSEM方式の電子線装置であって、検査処理のスループットを向上させるとともに、検出精度を向上させることができる電子線装置を提供することである。
本発明の他の目的は、このような電子線装置又は評価システムを用いて、プロセス途中又はプロセス終了後の半導体ウエハの評価を行うことができる半導体デバイス製造方法を提供することである。
上記した目的を達成するために、本発明は、概略的に述べると、一次電子線を複数すなわちマルチビームとし、複数の電子線を一次元方向(X軸方向)に走査しながら、E×Bフィルタ(ウィーンフィルタ)を通して試料表面に電子線を垂直に入射させ、試料から放出された二次電子をE×Bフィルタにより一次電子線と分離して一次電子線の軸に対して斜め方向に取り出し、更に、レンズ系により検出系に結像あるいは集光させる。そして、一次電子線の走査方向(X軸方向)に対してステージを直角方向(Y軸方向)に移動させ、連続した画像を取得する。
一次電子線がE×Bフィルタを通過するときは、電子線が電界から受ける力と磁界から受ける強さが逆向きで等しくなる条件(ウィーン条件)に設定され、一次電子線は直進する。一方、二次電子は、一次電子線とは方向が逆向きのために二次電子に作用する電界及び磁界の力の方向が同じになるために、一次電子線の軸方向から曲げられる。この結果、一次電子線と二次電子線は分離される。E×Bフィルタを電子線が通過するとき、直進のときよりも曲げられた場合の収差が大きくなるため、高い精度が必要とされる一次電子線を直進させ、比較的高い精度が要求されない二次電子線を曲げるように光学系が設計されている。
検出系としては、複数の一次電子線の一本一本に対応した検出器が備えられており、対応する一次電子線からの二次電子は、結像系により必ず対応する検出器に入射するよう設定されている。このため、信号の混入すなわちクロストークを低減することが可能となる。検出器としては、シンチレータ+光電子増倍管(フォトマルチプライヤ)を使用し、又は、PINダイオード(半導体検出器)等を使用することもできる。本発明の一実施例の電子線装置では、例えば、16本の一次電子線でそれぞれビーム径0.1μm、1本当たりのビーム電流20nAが得られており、16本の合計では、現在市販されている装置の3倍の電流値が得られている。
また、本発明に係る電子線装置に用いられる電子銃においては、電子線源として熱電子線源を使用している。電子放出(エミッタ)材は、Lである。高融点(高温での蒸気圧が低い)で仕事関数の小さい材料であれば、他の材料を使用することが可能である。複数の電子線を得るために、2通りの方法を用いている。一つは、一本のエミッタ(突起が一つ)から一本の電子線引き出し、複数の穴のあいた薄板(開口板)を通すことにより、複数の電子線を得る方法、もう一つの方法は、一本のエミッタに複数の突起を形成して、これら突起から直に複数の電子線を引き出す方法である。いずれの場合も、電子線が突起の先端から放出されやすい性質を利用している。他の方式の電子線源からの電子線、例えば熱電界放出型の電子線も、使用可能である。なお、熱電子線源は、電子放出材を加熱することにより電子を放出する方式であり、熱電界放出電子線源とは、電子放出材に高電界をかけることにより電子を放出させ、更に電子線放出部を加熱することにより、電子放出を安定させた方式である。
発明を実施するための最良の形態
以下、図面を参照して、本発明に係る評価システムの実施形態について、検査試料として表面にパターンが形成された半導体基板すなわちウエハを評価する場合について、説明する。なお、ウエハ以外の試料の評価に適用可能であることは、勿論である。
図1及び図2は、本発明の一実施形態に係る評価システム1の主要な構成要素を示す立面図及び平面図である。評価システム1は、複数枚のウエハを収納したカセットを保持するカセットホルダ10と、ミニエンバイロメント装置20と、主ハウジング30と、ミニエンバイロメント装置20と主ハウジング30との間に配置されていて、二つのローディングチャンバを画成するローダハウジング40と、ウエハをカセットホルダ10から主ハウジング30内に配置されたステージ装置50上に装填するローダ60と、主ハウジング30内に配置され、ウエハであるウエハWを載置して移動させるステージ装置50と、主ハウジング30に取り付けられた電子光学系70とを備え、それらは、図1及び図2に示したような位置関系で配置されている。評価システム1は、更に、真空の主ハウジング30内に配置されたプレチャージユニット81と、ウエハに電位を印加する電位印加機構83(図11に図示)と、電子ビームキャリブレーション機構85(図12に図示)と、ステージ装置50上でのウエハの位置決めを行うためのアライメント制御装置87を構成する光学顕微鏡871とを備えている。
以下に、評価システム1の主要な要素(サブシステム)それぞれの構成について、詳細に説明する。
カセットホルダ10
カセットホルダ10は、複数枚(例えば25枚)のウエハが上下方向に平行に並べられた状態で収納されたカセットc(例えば、アシスト社製のSMIF、FOUPのようなクローズドカセット)を複数個(この実施形態では2個のカセット)保持するようになっている。このカセットホルダとしては、カセットをロボット等により搬送して自動的にカセットホルダ10に装填する場合には、それに適した構造のものを、また人手により装填する場合には、それに適したオープンカセット構造のものを、それぞれ任意に選択して設置できるようになっている。カセットホルダ10は、この実施形態では、自動的にカセットcが装填される形式であり、例えば昇降テーブル11と、その昇降テール11を上下移動させる昇降機構12とを備えている。カセットcは、昇降テーブル上に図2において鎖線で示した状態に自動的に装填可能であり、装填後、図2において実線で示した状態に自動的に回転され、ミニエンバイロメント装置20内の第1の搬送ユニットの回動軸線に向けられる。また、昇降テーブル11は、図1において鎖線で示した状態に降下される。このように、自動的に装填する場合に使用するカセットホルダ、或いは人手により装填する場合に使用するカセットホルダは、いずれも既知の構造のものを適宜選択して使用すれば良いので、その構造及び機能の詳細な説明は、ここでは省略する。
別の実施形態では、図3に示すように、複数の300mmウエハWを箱本体501の内側に固定した溝型ポケット(不図示)に収納した状態で収容し、搬送、保管等を行うものである。この基板搬送箱24は、角筒状の箱本体501と基板搬送出入り口ドアの自動開閉装置とに連結されて、箱本体501の側面の開口部を機械により開閉可能な基板搬送出入りドア502と、開口部と反対側に位置し、フィルタ類及びファンモータの着脱を行うための開口部を覆う蓋体503と、ウエハWを保持するための溝型ポケット507とから構成されている。この実施形態では、ローダー60のロボット式の搬送ユニット61により、ウエハを出し入れする。
なお、カセットc内に収納されるウエハは、半導体製造工程中でウエハを処理するプロセスの後、若しくはプロセスの途中で行われる。具体的には、成膜工程、CMP、イオン注入等を受けたウエハ、表面に配線パターンが形成されたウエハ、又は配線パターンが未だに形成されていないウエハが、検査のためにカセットc内に収納される。カセットc内に収容されるウエハは、多数枚上下方向に隔ててかつ平行に並べて配置されており、カセット中の任意の位置のウエハを、後述する第1の搬送ユニットで保持できるようにするために、第1の搬送ユニットのアームを上下移動できるようになっている。
ミニエンバイロメント装置20
図4は、ミニエンバイロメント装置20を図1とは異なる方向から見た立面図である。この図4並びに先の図1及び図2に示したように、ミニエンバイロメント装置20は、雰囲気制御されるミニエンバイロメント空間21を画成するハウジング22と、ミニエンバイロメント空間21内で清浄空気等の気体を循環して雰囲気制御するための気体循環装置23と、ミニエンバイロメント空間21内に供給された空気の一部を回収して排出する排出装置24と、ミニエンバイロメント空間21内に配設されていて試料であるウエハの粗位置決めを行うプリアライナ25とを備えている。
ハウジング22は、頂壁221、底壁222及び四周を囲む周壁223を有し、ミニエンバイロメント空間21を外部から遮断する構造になっている。ミニエンバイロメント空間21を雰囲気制御するために、気体循環装置23は、図4に示されるように、ミニエンバイロメント空間21内において、頂壁221に下向きに取り付けられていて、気体(この実施形態では空気)を清浄にして一つ又はそれ以上の気体吹き出し口(図示せず)を通して清浄空気を真下に向かって層流状に流す気体供給ユニット231と、底壁222の上に配置されていて、底に向かって流れ下った空気を回収する回収ダクト232と、回収ダクト232と気体供給ユニット231とを接続して回収された空気を気体供給ユニット231に戻す導管233とを備えている。
この実施形態では、気体供給ユニット231は、供給する空気の約20%をハウジング22の外部から取り入れて、ミニエンバイロメント空間21の雰囲気を清浄にするよう構成されている。しかしながら、この外部から取り入れられる気体の割合は、任意に選択可能である。気体供給ユニット231は、清浄空気をつくりだすための既知の構造のHEPA若しくはULPAフィルタを備えている。清浄空気の層流状の下方向の流れすなわちダウンフローは、主に、ミニエンバイロメント空間21内に配置された後述する第1の搬送ユニットによる搬送面を通して流れるように供給され、これにより、搬送ユニットにより発生する恐れのある塵埃がウエハに付着するのを防止する。したがって、ダウンフローの噴出口は、必ずしも図示のように頂壁に近い位置である必要はなく、搬送ユニットによる搬送面より上側にあればよい。また、ミニエンバイロメント空間全面に亘って流す必要もない。なお、場合によっては、清浄空気としてイオン風を使用することによって、清浄度を向上させることができる。また、ミニエンバイロメント空間内には清浄度を観察するためのセンサを設け、清浄度が悪化したときに、装置をシャットダウンすることもできる。ハウジング22の周壁223のうち、カセットホルダ10に隣接する部分には、出入り口225が形成されている。出入り口225近傍には公知の構造のシャッタ装置を設けて出入り口225をミニエンバイロメント装置側から閉じるようにしてもよい。ウエハ近傍でつくる層流のダウンフローは、例えば0.3〜0.4m/secの流速でよい。気体供給ユニット231は、ミニエンバイロメント空間21内でなく、その外側に設けてもよい。
排出装置24は、後に説明する搬送ユニットのウエハ搬送面より下側の位置で搬送ユニットの下部に配置された吸入ダクト241と、ハウジング22の外側に配置されたブロワー242と、吸入ダクト241とブロワー242とを接続する導管243と、を備えている。この排出装置24は、搬送ユニットの周囲を流れ下り搬送ユニットにより発生する可能性のある塵埃を含んだ気体を、吸入ダクト241により吸引し、導管243、244及びブロワー242を介してハウジング22の外側に排出する。この場合、ハウジング22の近くに引かれた排気管(図示せず)内に排出してもよい。
ミニエンバイロメント空間21内に配置されたプリアライナー25は、ウエハに形成されたオリエンテーションフラット(円形のウエハの外周に形成された平坦部分を言い、以下においてオリフラと呼ぶ)や、ウエハの外周縁に形成された一つ又はそれ以上のV型の切欠きすなわちノッチを光学的に或いは機械的に検出し、それに基づいて、ウエハの軸線O−Oの周りの回転方向の位置を、約±1度の精度で予め位置決めする。プリアライナー25は、ウエハであるウエハの座標を決める機構の一部を構成し、ウエハの粗位置決めを担当する。このプリアライナー自体は既知の構造のものでよいので、その構造、動作の説明は、ここでは省略する。なお、図示しないが、プリアライナー25の下部にも排出装置用の回収ダクトを設けて、プリアライナー25から排出された塵埃を含んだ空気を外部に排出するようにしてもよい。
主ハウジング30
図1及び図2に示したように、ワーキングチャンバ31を画成する主ハウジング30は、ハウジング本体32を備え、そのハウジング本体32は、台フレーム36上に配置された振動遮断装置すなわち防振装置37の上に載せられたハウジング支持装置33によって支持されている。ハウジング支持装置33は矩形に組まれたフレーム構造体331を備えている。ハウジング本体32は、フレーム構造体331上に配設固定されており、フレーム構造体上に載せられた底壁321と、頂壁322と、底壁321及び頂壁322に接続されて四周を囲む周壁323とを備え、ワーキングチャンバ31を外部から隔離している。底壁321は、この実施形態では、上に載置されるステージ装置50等の機器による加重で歪みが発生しないように比較的肉厚の厚い鋼板で構成されているが、その他の適宜の構造にしてもよい。この実施形態においては、ハウジング32本体及びハウジング支持装置33は、剛構造に組み立てられていて、台フレーム36が設置されている床からの振動がこの剛構造に伝達されるのを、防振装置37で阻止している。ハウジング32の周壁323の内、ローダハウジング40に隣接する周壁には、ウエハ出し入れ用の出入り口325が形成されている。
防振装置37は、空気バネ、磁気軸受け等を有するアクティブ式のものでも、或いはこれらを有するパッシブ式のものでもよい。いずれも汎用の構造のものでよいので、その構造及び機能の説明を省略する。ワーキングチャンバ31は、汎用の真空装置(図示せず)により、真空雰囲気に保たれる。台フレーム36の下には、評価システム1全体の動作を制御する制御装置2が配置されている。
なお、評価システム1においては、主ハウジング30を含めて、種々のハウジングを真空排気しているが、そのための真空排気系は、真空ポンプ、真空バルブ、真空ゲージ、真空配管等から構成され、電子光学系、検出器部、ウエハ室、ロードロック室等を、所定のシーケンスに従って真空排気を行う。各部においては、必要な真空度を達成するように、真空バルブが制御される。そして、常時、真空度の監視を行い、異常時には、インターロック機能により隔離バルブ等によるチャンバ間又はチャンバと排気系との間の遮断緊急制御を行い、各部において必要な真空度を確保をする。真空ポンプとしては、主排気にターボ分子ポンプ、粗引き用としてルーツ式のドライポンプを使用する。検査場所(電子線照射部)の圧力は、10−3〜10−5Pa、好ましくは、その1桁下の10−4〜10−6Paが実用的である。
ローダハウジング40
図5は、図1とは別の方向から見たローダハウジング40の立面図を示している。図5並びに図1及び図2に示すように、ローダハウジング40は、第1のローディングチャンバ41と第2のローディングチャンバ42とを画成するハウジング本体43を備えている。ハウジング本体43は、底壁431と、頂壁432と、四周を囲む周壁433と、第1のローディングチャンバ41と第2のローディングチャンバ42とを仕切る仕切壁434とを有しており、2つのローディングチャンバを外部から隔離している。仕切壁434には、2つのローディングチャンバ間でウエハWの受け渡しを行うための開口すなわち出入り口435が形成されている。また、周壁433のミニエンバイロメント装置20及び主ハウジング30に隣接した部分には、出入り口436及び437が形成されている。このローダハウジング40のハウジング本体43は、ハウジング支持装置33のフレーム構造体331上に載置されて支持されている。したがって、このローダハウジング40にも、床の振動が伝達されない。
ローダハウジング40の出入り口436とミニエンバイロメント装置20のハウジング22の出入り口226とは整合されているが、これら出入り口436、226の間には、ミニエンバイロメント空間21とローディングチャンバ41との連通を選択的に阻止するシャッタ装置27が設けられている。シャッタ装置27は、出入り口226及び436の周囲を囲んで側壁433と密に接触して固定されたシール材271と、シール材271と協働して出入り口を介しての空気の流通を阻止する扉272と、その扉を動かす駆動装置273とを有している。同様に、ローダハウジング40の出入り口437と主ハウジング30のハウジング本体32の出入り口325とは整合されているが、これら出入り口436、325の間には、ローディングチャンバ42とワーキンググチャンバ31との連通を選択的に密封阻止するシャッタ装置45が設けられている。シャッタ装置45は、出入り口437及び325の周囲を囲んで側壁433及び323と密に接触し、それら側壁に固定されたシール材451、シール材451と協働して、出入り口を介しての空気の流通を阻止する扉452と、その扉を動かす駆動装置453とを有している。更に、仕切壁434に形成された開口には、扉461により開口を閉じて、第1及び第2のローディングチャンバ間の連通を選択的に密封阻止するシャッタ装置46が設けられている。これらのシャッタ装置27、45及び46は、閉じ状態にあるとき、各チャンバを気密シールできるようになっている。これらのシャッタ装置は汎用のものでよいので、その構造及び動作の詳細な説明は省略する。
なお、ミニエンバイロメント装置20のハウジング22の支持方法とローダハウジング40の支持方法が異なり、ミニエンバイロメント装置20を介して床からの振動がローダハウジング40及び主ハウジング30に伝達されるのを防止するために、ミニエンバイロメント装置20のハウジング22とローダハウジング40との間に、出入り口の周囲を気密に囲む防振用のクッション材を配置しておけば良い。
第1のローディングチャンバ41内には、複数枚(この実施形態では2枚)のウエハWを上下に隔てて水平に支持するウエハラック47が配設されている。ウエハラック47は、図6に示すように、矩形の基板471の四隅に互いに隔てて直立状態で固定された支柱472を備え、各支柱472には、それぞれ2段の支持部473及び474が形成され、その支持部の上に、ウエハWの周縁を載せて保持する。このようにウエハWを載置下状態で、後述する第1及び第2の搬送ユニットのアームの先端を隣接する支柱間からウエハWに接近させ、該アームによりウエハを把持させ、そしてウエハを搬送させる。
第1及び第2のローディングチャンバ41及び42は、真空ポンプを含む汎用の真空排気装置(図示せず)によって、高真空状態(真空度としては、10−5〜10−6Pa)に雰囲気制御される。この場合、第1のローディングチャンバ41を低真空チャンバとして低真空雰囲気に保ち、第2のローディングチャンバ42を高真空チャンバとして高真空雰囲気に保つことにより、ウエハの汚染防止を効果的に行うこともできる。このような2つのローディングチャンバを備えたローディングハウジング構造を採用することによって、ウエハWをローディングチャンバからワーキングチャンバ内に遅滞なく搬送することができる。このようなローディングチャンバ構造を採用することによって、マルチビーム型の電子光学系と協働して欠陥等の検査のスループットを向上させ、更に、保管状態が高真空状態であることを要求される電子源周辺の真空度を、可能な限り高真空状態にすることができる。
第1及び第2のローディングチャンバ41及び42にはそれぞれ、真空排気配管と不活性ガス(例えば乾燥純窒素)用のベント配管(それぞれ図示せず)が接続されている。これによって、各ローディングチャンバ内の大気圧状態において、不活性ガスベント(不活性ガスを注入して、不活性ガス以外の酸素ガス等が表面に付着するのを防止する)が達成される。このような不活性ガスベントを行う装置自体は汎用の構造のものでよいので、その詳細な説明は省略する。
なお、電子線を使用する本発明の主ハウジング30において、後述する電子光学系70の電子源すなわち電子銃として使用される代表的な六硼化ランタン(LaB)等は、一度熱電子を放出する程度まで高温状態に加熱された場合には、酸素等に可能な限り接触させないことがその寿命を縮めないために肝要である。本発明においては、主ハウジング30の電子光学系70が配置されているワーキングチャンバにウエハWを搬入する前段階で、上記のような雰囲気制御を行うことにより、酸素に接触する可能性が低減されるため、電子源の寿命を縮めてしまう可能性が低くなる。
ステージ装置50
ステージ装置50は、主ハウジング30の底壁321上に配置された固定テーブル51と、固定テーブル上でY方向(図1において紙面に垂直の方向)に移動するYテーブル52と、Yテーブル上でX方向(図1において左右方向)に移動するXテーブル53と、Xテーブル上で回転可能な回転テーブル54と、回転テーブル54上に配置されたホルダ55とを備えている。該ホルダ55のウエハ載置面551上にウエハWを解放可能に保持する。ホルダ55は、ウエハWを機械的に或いは静電チャック方式で解放可能に把持できる汎用の構造のものでよい。ステージ装置50は、サーボモータ、エンコーダ及び各種のセンサ(図示せず)を用いて、上記した複数のテーブル52〜54を動作させることにより、載置面551上でホルダ55に保持されたウエハWを電子光学系70から照射される電子ビームに対してX方向、Y方向及びZ方向(図1において上下方向)に、更には、ウエハの支持面に鉛直な軸線の回り方向(θ方向)に、高い精度で位置決めすることができる。なお、Z方向の位置決めは、例えばホルダ55上の載置面の位置をZ方向に微調整可能にしておけばよい。この場合、載置面の基準位置を微細径レーザによる位置測定装置(干渉計の原理を使用したレーザ干渉測距装置)によって検知し、その位置をフィードバック回路(不図示)によって制御したり、それと共に或いはそれに代えて、ウエハのノッチ或いはオリフラの位置を測定して、ウエハの電子ビームに対する平面位置及び回転位置を検知し、回転テーブル54を微小角度制御可能なステッピングモータなどにより回転させて制御する。ホルダ55を設けずに、回転テーブル54上にウエハWを直接載置してもよい。ワーキングチャンバ31内での塵埃の発生を極力防止するために、ステージ装置50用のサーボモータ521、531及びエンコーダ522、532は、主ハウジング30の外側に配置されている。なお、ステージ装置50は、例えばステッパー等で使用されている汎用構造のもので良いので、その構造及び動作の詳細な説明は省略する。また、上記したレーザ干渉測距装置も汎用構造のものでよいので、その構造、動作の詳細な説明は省略する。
電子ビームに対するウエハWの回転位置やX−Y座標位置を、後述する信号検出系或いは画像処理系に予め入力することによって、信号の基準化を図ることもできる。更に、このホルダ55に設けられたウエハチャック機構は、ウエハをチャックするための電圧を静電チャックの電極に印加するよう構成され、ウエハWの外周部の3点(好ましくは、周方向に等隔に隔てられた3点)を押さえて位置決めするようになっている。ウエハチャック機構は、二つの固定位置決めピンと、一つの押圧式クランクピンとを備えている。クランプピンは、自動チャック及び自動リリースを実現できるよう構成され、かつ電圧印加用の導通部を構成している。
なお、この実施形態では図2で左右方向に移動するテーブルをXテーブルとし、上下方向に移動するテーブルをYテーブルとしたが、同図で左右方向に移動するテーブルをYテーブルとし、上下方向に移動するテーブルをXテーブルとしてもよい。
ローダ60
ローダ60は、ミニエンバイロメント装置20のハウジング22内に配置されたロボット式の第1の搬送ユニット61と、第2のローディングチャンバ42内に配置されたロボット式の第2の搬送ユニット63とを備えている。
第1の搬送ユニット61は、駆動部611に関して軸線O−Oの回りで回転可能になっている多節のアーム612を有している。多節のアームとして任意の構造のものを使用できるが、この実施形態では、互いに回動可能に取り付けられた三つの部分を有している。第1の搬送ユニット61のアーム612の一つの部分すなわち最も駆動部611側の第1の部分は、駆動部611内に設けられた汎用構造の駆動機構(図示せず)により、回転可能な軸613に取り付けられている。アーム612は、軸613により軸線O−Oの回りで回動可能であると共に、部分間の相対回転により全体として軸線O−Oに関して半径方向に伸縮可能である。アーム612の軸613から最も離れた第3の部分の先端には、汎用構造の機械式チャック又は静電チャック等のウエハ把持用の把持装置616が設けられている。駆動部611は、汎用構造の昇降機構615により上下方向に移動可能である。
この第1の搬送ユニット61において、カセットホルダ10中に保持された二つのカセットcの内のいずれか一方の方向M1又はM2(図2)に向かって、アーム612が伸び、そして、カセットc内に収容されたウエハWをアームの上に載せるか又はアームの先端に取り付けたチャック(図示せず)により把持して取り出す。その後、アームが縮み(図2に示した状態)、アームがプリアライナ25の方向M3に向かって伸長できる位置まで回転して、その位置で停止する。するとアームが再び伸びてアームに保持されたウエハWをプリアライナ25に載せる。プリアライナ25から前記と逆にしてウエハを受け取った後、アームは更に回転し、第1のローディングチャンバ41に向かって伸長できる位置(向きM4)で停止し、第1のローディングチャンバ41内のウエハ受け47に、ウエハを受け渡す。なお、機械的にウエハを把持する場合には、ウエハの周縁部(周縁から約5mmの範囲)を把持する。これは、ウエハには周縁部を除いて全面にデバイス(回路配線)が形成されており、周縁部以外の部分を把持すると、デバイスの破壊、欠陥の発生を生じさせるからである。
第2の搬送ユニット63も、第1の搬送ユニット61と構造が基本的に同じであり、ウエハWの搬送を、ウエハラック47とステージ装置50の載置面上との間で行う点でのみ相違するだけであるから、詳細な説明は省略する。
第1及び第2の搬送ユニット61及び63は、カセットホルダに保持されたカセットcからワーキングチャンバ31内に配置されたステージ装置50上への及びその逆のウエハの搬送を、ウエハをほぼ水平状態に保ったままで行う。そして、搬送ユニット61、63のアームが上下動するのは、単に、カセットcからのウエハの取り出し及びそれへの挿入、ウエハラックへのウエハの載置及びそこからの取り出し、並びに、ステージ装置50へのウエハの載置及びそこからの取り出しのときるだけである。したがって、例えば直径30cm等の大型のウエハであっても、その移動をスムースに行うことができる。
ここで、上記構成を有する評価システム1において、カセットホルダ10に支持されたカセットcからワーキングチャンバ31内に配置されたステージ装置50までへのウエハの搬送を、順を追って説明する。
カセットホルダ10は、前述のように人手によりカセットをセットする場合にはそれに適した構造のものが、また自動的にカセットをセットする場合にはそれに適した構造のものが使用される。この実施形態において、カセットcがカセットホルダ10の昇降テーブル11の上にセットされると、昇降テーブル11は昇降機構12によって降下され、カセットcが出入り口225に整合される。カセットが出入り口225に整合されると、カセットcに設けられたカバー(不図示)が開き、また、カセットcとミニエンバイロメント装置20の出入り口225との間には、筒状の覆いが配置されて、カセット及びミニエンバイロメント空間21を、外部から遮断する。これらの構造は汎用のものであるから、その構造及び動作の詳細な説明は省略する。なお、ミニエンバイロメント装置20側に出入り口225を開閉するシャッタ装置が設けられている場合には、そのシャッタ装置が動作して、出入り口225を開く。
一方、第1の搬送ユニット61のアーム612は、方向M1又はM2ののいずれかに向いた状態(この説明では、M1の方向)で停止しており、出入り口225が開くと、アームが伸びてその先端でカセットcに収容されているウエハのうち1枚を受け取る。なお、アームと、カセットから取り出されるべきウエハとの上下方向の位置調整は、この実施形態では、第1の搬送ユニット61の駆動部611及びアーム612の上下移動で行うが、カセットホルダ10の昇降テーブルの上下動によって行っても、或いはその両者で行ってもよい。
アーム612によるウエハの受け取りが完了すると、該アームは縮み、シャッタ装置が動作して出入り口を閉じ(シャッタ装置がある場合)、次に、アーム612は軸線O−Oの回りで回動し、方向M3に向けて伸長できる状態となる。そして、アームが伸びて先端に載せられ或いはチャックで把持されたウエハをプリアライナ25の上に載せ、該プリアライナによって、ウエハの回転方向の向き(ウエハ平面に垂直な中心軸線の回りの向き)を、所定の範囲内に位置決めする。位置決めが完了すると、第1の搬送ユニット61は、アーム612の先端にプリアライナ25からウエハを受け取った後にアームを縮ませ、方向M4に向けてアームを伸長できる姿勢になる。すると、シャッタ装置27の扉272が動いて出入り口226及び436を開き、アーム612が伸びてウエハを第1のローディングチャンバ41内のウエハラック47の上段側又は下段側に載せる。なお、シャッタ装置27が開いてウエハラック47にウエハが受け渡される前に、仕切壁434に形成された開口435は、シャッタ装置46の扉461により気密状態に閉じられている。
上記した第1の搬送ユニット61によるウエハの搬送過程において、ミニエンバイロメント装置20のハウジング本体22に設けられた気体供給ユニット231からは清浄空気が層流状に流れ(ダウンフローとして)、搬送途中で塵埃がウエハの上面に付着するのを防止する。搬送ユニット周辺の空気の一部(この実施形態では、供給ユニットから供給される空気の約20%で主に汚れた空気)は、排出装置24の吸入ダクト241から吸引されて、ハウジング外に排出される。残りの空気は、ハウジング本体22の底部に設けられた回収ダクト232を介して回収され、再び気体供給ユニット231に戻される。
ローダハウジング40の第1のローディングチャンバ41内のウエハラック47に第1の搬送ユニット61によりウエハが載せられると、シャッタ装置27が閉じて、ローディングチャンバ41を密閉する。すると、該ローディングチャンバ41内には空気が追い出されて不活性ガスが充填された後、その不活性ガスも排出されて、ローディングチャンバ41内は真空雰囲気となる。ローディングチャンバ41の真空雰囲気は、低真空度でよい。ローディングチャンバ41の真空度がある程度得られると、シャッタ装置46が動作して、扉461で密閉していた出入り口434を開き、次いで、第2の搬送ユニット63のアーム632が伸びて先端の把持装置でウエハ受け47から1枚のウエハを受け取る(先端の上に載せて或いは先端に取り付けられたチャックで把持して)。ウエハの受け取りが完了するとアームが縮み、シャッタ装置46が再び動作して扉461により出入り口435を閉じる。なお、シャッタ装置46が開く前に、アーム632は予めウエハラック47の方向N1に向けて伸長できる姿勢になる。また、前記のように、シャッタ装置46が開く前に、シャッタ装置45の扉452により出入り口437、325を閉じて、第2のローディングチャンバ42内とワーキングチャンバ31内との連通を阻止しており、かつ、第2のローディングチャンバ42内は真空排気される。
シャッタ装置46が出入り口435を閉じると、第2のローディングチャンバ42は再度真空排気され、第1のローディングチャンバ41よりも高真空度で真空にされる。その間に、第2の搬送ユニット61のアームは、ワーキングチャンバ31内のステージ装置50の方向に向いて伸長できる位置に回転される。一方ワーキングチャンバ31内のステージ装置50では、Yテーブル52が、Xテーブル53の中心線X−Xが第2の搬送ユニット63の回動軸線O−Oを通るX軸線X−Xとほぼ一致する位置まで、図2で上方に移動し、また、Xテーブル53が図2で最も左側の位置に接近する位置まで移動し、この状態で待機している。第2のローディングチャンバ42がワーキングチャンバ31の真空状態と略同じになると、シャッタ装置45の扉452が動いて出入り口437、325を開き、アームが伸びて、ウエハを保持したアームの先端がワーキングチャンバ31内のステージ装置50に接近する。そして、ステージ装置50の載置面551上にウエハWを載置する。ウエハの載置が完了するとアームが縮み、シャッタ装置45が出入り口437、325を閉じる。
以上は、カセットc内のウエハWをステージ装置50の載置面551上に搬送載置するまでの動作に付いて説明した。検査処理が完了したウエハWをステージ装置50からカセットcに戻すには、前述と逆の動作を行う。また、ウエハラック47に複数のウエハを載置しているため、第2の搬送ユニット63がウエハラック47とステージ装置50との間でウエハの搬送を行っている間に、第1の搬送ユニットがカセットcとウエハラック47との間でウエハの搬送を行うことができる。したがって、検査処理を効率良く行うことができる。
具体的には、第2の搬送ユニットのウエハラック47に、既に処理済みのウエハAと未処理のウエハBとがある場合、▲1▼まず、ステージ装置50に未処理のウエハBを移動し、処理を開始する。そして、▲2▼この処理中に、処理済みウエハAを、アームによりステージ装置50からウエハラック47に移動し、未処理の別のウエハCを同じくアームによりウエハラックから抜き出し、プリアライナで位置決めした後、ローディングチャンバ41のウエハラック47に移動する。このようにすることにより、ウエハラック47の中では、ウエハBの処理中に、処理済みのウエハAを未処理のウエハCに置き換えることができる。
また、検査や評価を行うこのような装置の利用の仕方によっては、ステージ装置50を複数台並列に置き、各ステージ装置に1つのウエハラック47からウエハを移動することにより、複数枚のウエハを同じに処理することができる。
図7の[A]及び[B]は、主ハウジング30の支持方法の変形例を示している。図7[A]に示した変形例では、ハウジング支持装置33aを厚肉で矩形の鋼板331aで構成し、その鋼板の上にハウジング本体32aが載せられている。したがって、ハウジング本体32aの底壁321aは、図1の実施形態の底壁に比較して、薄い構造になっている。図7[B]に示した変形例では、ハウジング支持装置33bのフレーム構造体336bによりハウジング本体32b及びローダハウジング40bを吊下状態で支持している。フレーム構造体336bに固定された複数の縦フレーム337bの下端は、ハウジング本体32bの底壁321bの四隅に固定され、その底壁により周壁及び頂壁を支持している。そして、防振装置37bは、フレーム構造体336bと台フレーム36bとの間に配置されている。また、ローダハウジング40も、フレーム構造体336に固定された吊り下げ部材49bによって吊り下げられている。図7[B]に示した変形例では、吊り下げ式に支えているので、主ハウジング30b及びその中に設けられた各種機器全体の低重心化が可能である。主ハウジング及びローダハウジングをつり下げて支持する方法によれば、床からの振動がこれらに伝わらないため、好適である。
図示しない別の変形例では、主ハウジングのハウジング本体のみがハウジング支持装置によって下から支持され、ローダハウジングは隣接するミニエンバイロメント装置と同じ方法で、床上に配置される。また、図示しない更に別の変形例では、主ハウジングのハウジング本体のみがフレーム構造体に吊り下げ式で支持され、ローダハウジングは隣接するミニエンバイロメント装置と同じ方法で床上に配置される。
電子光学系70
電子線装置に具備される電子光学系70は、ハウジング本体32に固定された鏡筒71を備え、該鏡筒中には、一次電子光学系(以下、「一次光学系」)及び二次電子光学系(以下、「二次光学系」)と検出系とが配置されている。
図8は、このような電子光学系70の実施形態を示した概略図であり、図において、72は一次光学系、74は二次光学系、76は検出系である。なお、図8においては、ウエハWを載置した状態のステージ装置50、及び制御装置の一部である走査信号発生回路764も示している。一次光学系72は、電子線を試料であるウエハWの表面に照射する光学系であり、電子線を放出する電子銃721と、電子銃721から放出された一次電子線を集束する静電レンズすなわちコンデンサレンズ722と、該コンデンサレンズ722の下方に配置されかつ複数の開口が形成されていて、一次電子線を複数の一次電子ビームすなわちマルチビームに形成するマルチ開口板723と、一次電子ビームを縮小する静電レンズである縮小レンズ724と、ウイーンフィルタすなわちE×B分離器725と、対物レンズ726とを備えている。それらは、図8に示すように、電子銃721を最上部にして順に配置され、しかも、電子銃から放出される一次電子線の光軸がウエハWの表面に直交して照射されるように設定される。
縮小レンズ724及び対物レンズ726の像面湾曲収差の影響をなくすため、マルチ開口板723に形成される複数(この実施形態では9個)の開口723aが、図9の[A]に示されるように、光軸を中心とした円の円周上に形成され、しかも、その開口のX軸上への投影像のX方向の間隔Lxが同一となるように配置されている。
二次光学系74は、E×B分離器725により一次光学系から分離された二次電子を通す2段の静電レンズである拡大レンズ741及び742と、マルチ開口検出板743とを備えている。マルチ開口検出板743に形成される開口743aは、図9の[A]に示すように、一次光学系のマルチ開口板723に形成されている開口723aと一対一に対応するように配置されている。
検出系76は、二次光学系74のマルチ開口検出板743の複数の開口743aに対応し、かつこれらに近接して配置された複数(この実施形態では9個)の検出器761と、各検出器761にA/D変換器762を介して電気的に接続された画像処理部763とを備えている。画像処理部763は、電子光学系70内に物理的に位置する必要がない。
次に、上記構成の電子光学系70の動作を説明する。電子銃721から放出された一次電子線は、一次光学系72のコンデンサレンズ722によって集束されて点P1においてクロスオーバを形成する。コンデンサレンズ722によって集束された一次電子線がマルチ開口板723の複数の開口723aを通過することにより、複数の一次電子線が形成され、これらの一次電子線は、縮小レンズ724によって縮小されて、位置P2に投影される。位置P2で合焦した後、更に対物レンズ726によってウエハWの表面上に合焦される。このとき、一次電子線は、縮小レンズ724と対物レンズ726との間に配置された偏向器727によって、ウエハWの表面上を走査するように偏向される。偏向器727には、走査信号が印加され、該信号に基づいて、一次電子線の偏向走査が実行される。
一次光学系72による一次電子線の照射方法を、図9の[B]を用いて説明する。なお、この図の例では、説明を簡単にするために、4本の一次電子線101、102、103、104を用いる例について説明する。それぞれの電子線は、50μm幅をスキャンするものとする。電子線101を例にとると、まず、電子線101は左端から右方向にスキャンし、右端に到着後、すみやかに左端にもどり、改めて、右方向にスキャンする。このように、4つの電子線により、同時にウエハ表面を走査しているので、スループットが向上する。
合焦された複数(図8の実施形態では9本)の一次電子線によってウエハW上の複数の点が照射され、照射されたこれらの複数の点から二次電子が放出される。この二次電子は、対物レンズ726の電界に引かれて細く集束され、E×B分離器725によって偏向されて、二次光学系74に投入される。二次電子による像は、E×B分離器725からみて、位置P2より近い位置P3において焦点を結ぶ。これは、一次電子ビームがウエハ面上で500eV程度のエネルギを有しているのに対して、二次電子が数ev程度のエネルギしか有していないためである。
ここで、図10を参照してE×B分離器725について説明する。図10[A]は、本発明の電子光学系70に使用可能なE×B分離器の一例を示している。この分離器は、静電偏向器と電磁偏向器とにより構成されており、図10においては、光軸OA(図面に垂直な軸)に直交するX−Y平面上の断面として示されている。X軸方向及びY軸方向は互いに直交している。
静電偏向器は、真空容器中に設けられた一対の電極(静電偏向電極)7251を備え、X軸方向に電界を生成する。これら静電偏向電極7251は、絶縁スペーサ7252を介して真空容器の真空壁7253に取り付けられており、これらの電極間距離Dpは、静電偏向電極7251のY軸方向の長さ2Lpよりも小さく設定されている。このような設定により、Z軸すなわち光軸OAの回りの形成される電界強度が一様な範囲を比較的大きくすることができるが、理想的には、Dp<Lpであれば、電界強度が一様な範囲をより大きくすることができる。
すなわち、電極の端部からDp/2の範囲は、電界強度が一様でないため、電界強度がほぼ一様な領域は、一様でない端部領域を除いた中心部の2Lp−Dpの領域となる。このため、電界強度が一様な領域が存在するためには、2Lp>Dpとする必要があり、更に、Lp>Dpと設定することにより、電界強度が一様な領域がより大きくなる。
真空壁7253の外側には、Y軸方向に磁界を生成するための電磁偏向器が設けられている。この電磁偏向器は、電磁コイル7254及び電磁コイル7255を備え、これらコイルはそれぞれ、X軸方向及びY軸方向に磁界を生成する。なお、コイル7255だけでもY軸方向の磁界を生成できるが、電界と磁界との直交度を向上させるために、X軸方向に磁界を生成するコイル7254を設けている。すなわち、コイル7254によって生成された−X軸方向の磁界成分によって、コイル7255によって生成された+X軸方向を打ち消すことによって、電界と磁界との直交度を良好にすることができる。
これら磁界生成用のコイル7254及び7255は、真空容器の外に設けるため、それぞれを二分割して構成し、真空壁7253の両側から取り付け、部分7257においてねじ止め等によって締め付けて一体化すればよい。
E×B分離器の最外層7256は、パーマロイ或いはフェライト製のヨークとして構成する。この最外層7256は、コイル7254及び7255と同様に、2分割して両側からコイル7255の外周に取り付けて、部分7257においてねじ止め等により一体化してもよい。
図10の[B]は、本発明の電子光学系70に適用可能なE×B分離器の他の例を、光軸に直交する断面図として示している。このE×B分離器においては、静電偏向電極7251は6極設けられている点が、図10の[A]に示した形態と異なっている。図10の[B]において、図10[A]に示されたE×B分離器の構成要素に対応する構成要素は同じ参照番号に「′」(ダッシュ)を付して示し、それらの説明は省略する。これらの静電偏向電極7251′には、それぞれの電極の中央と光軸とを結んだ線と、電界の方向(X軸方向)との角度θ(i=0、1、2、3、4、5)としたときに、cosθに比例する電圧k・cosθ(kは定数)が供給される。ただし、θは、任意の角度である。
図10の[B]に示したE×B分離器においても、[A]のE×B分離器と同様に、X軸方向の電界しか作れないので、X軸及びY軸方向の磁界を生成するコイル7254′及び7255′を設け、直交度の修正を行う。
図10の[B]に示したE×B分離器によれば、図10の[A]に示したE×B分離器に比べて、電界強度が一様な領域を更に大きくすることができる。
なお、図10の[A]及び[B]に示したE×B分離器において、磁界を生成するためのコイルはサドル型に形成しているが、トロイダル型のコイルを用いてもよい。また、図10に示したE×B分離器の構成は、図8に示した電子線装置の電子光学系70だけでなく、以降で説明する他の実施形態の電子線装置の電子光学系に適用可能である。
位置P3で合焦された二次電子の像は、2段の拡大レンズ741、742でマルチ開口検出板743の対応する開口743aに合焦され、各開口743aに対応して配置された検出器761で検出する。検出器761は、検出した電子線を、その強度を表す電気信号に変換する。各検出器761から出力された電気信号は、A/D変換器762でデジタル信号に変換された後、画像処理部763に入力される。検出器761として、例えば電子線強度を直接検出するPN接合ダイオード、或いは、電子により発光する蛍光板を介して発光強度を検出するPMT(光電子増倍管)などを用いることができる。
画像処理部763は、入力されたデジタル信号を画像データに変換する。画像処理部763には、一次電子線を偏向させるための走査信号が制御装置2(図1)から供給されており、したがって、画像処理部は、ウエハ表面上を走査される一次電子ビームの照射点の画像に対応する電気信号を受け取ることになり、よって、ウエハ表面を表すを表す画像を得ることができる。このようにして得られた画像を、予め設定された標準パターンと比較することによって、ウエハWの被評価パターンの良否を判定することができる。
更に、レジストレーションによりウエハWの被評価パターンを一次光学系の光軸の近くへ移動させ、ラインスキャンすることによって線幅評価信号を取り出し、これを適宜校正することによって、ウエハの表面に形成されたパターンの線幅を測定することができる。
なお、従来の電子線装置では、一次電子線をウエハに照射した時発生する二次電子を一次電子と共通の二段のレンズで集束させ、この集束位置にE×B分離器を設けて二次電子を一次電子より分離し、その後はレンズ無しでマルチ検出器に結像させる方式が用いられている。このため、一次及び二次光学系に共通の二段のレンズは、一次光学系のレンズ条件を優先して調節される必要があるので、二次光学系の合焦条件や拡大率の調整を行うことができないことから、これらの合焦条件や拡大率が設計値からズレた場合に、調整できないという欠点があった。
しかしながら、二次電子をE×B分離器725で分離後、これを二次光学系のレンズで拡大するようにしたので、一次光学系のレンズ条件とは独立して、二次光学系の合焦条件や拡大率を調整することができる。
また、一次光学系のマルチ開口板723の開口を通過した一次電子ビームをウエハWの表面に合焦させ、ウエハから放出される二次電子を検出器761に結像させる際に、一次光学系で生じる歪み、軸上色収差及び視野非点という3つの収差による影響を最小にするよう特に配慮する必要がある。
特に、一次電子線と二次電子線が光路を共有する場合、共通の光路に一次電子流と二次電子流が流れるので、ほぼ2倍のビーム電流が流れ、その結果、空間電荷効果による一次電子線のボケや合焦条件の狂いがほぼ2倍になる。また、共通の光路では、一次電子線と二次電子線の軸合わせが困難である。一次電子線の軸合わせを行うと、二次電子線の軸が狂い易く、逆に二次電子線の軸合わせを行うと、一次電子線の軸が狂い易い。また、共通の光路では、レンズを一次電子線の合焦条件に合わせると、二次電子線の合焦条件が外れ易く、逆に二次電子線の合焦条件に合わせると、一次電子線の合焦条件が外れ易い。
したがって、共通の光路はできるだけ短くする必要があるが、そのために対物レンズ726の下方にE×B分離器725を設けると、対物レンズの像面距離が長くなり、収差が大きくなる問題を生ずる。そこで、本発明においては、対物レンズ726から見て電子銃721側にE×B分離器725を設けており、その結果、一次光学系と二次光学系とは、一つのレンズのみを共有する構成となっている。
また、複数の一次電子ビーム間の間隔と、二次光学系との関係については、一次電子ビーム間の間隔を二次光学系の収差(この場合は、対物レンズの二次電子に対する収差)よりも大きい距離だけ離すことにより、複数のビーム間のクロストークを無くすことができる。
さらに、静電偏向器727の偏向角度を、E×B分離器725のうち電磁偏向器による電磁偏向角度の−1/2倍に近い値にすることが好ましく、これによって、偏向の色収差を小さくできるので、E×B分離器を通してもビーム径があまり大きくならないようにすることができる。
プレチャージユニット81
プレチャージユニット81は、図1に示したように、ワーキングチャンバ31内で電子光学系70の鏡筒71に隣接して配設されている。本発明の評価システム1では、ウエハに電子線を走査して照射することによってウエハ表面に形成されたデバイスパターン等を検査する形式の装置であるため、ウエハ材料、照射電子のエネルギ等の条件によって、ウエハ表面が帯電(チャージアップ)することがある。更に、ウエハ表面でも強く帯電する箇所、弱い帯電箇所が生じる可能性がある。そして、電子線の照射により生じる二次電子等の情報をウエハ表面の情報としているが、ウエハ表面の帯電量にむらがあると、二次電子の情報もむらを含み、正確な画像を得ることができない。そこで、この実施形態では、帯電むらを防止するために、プレチャージユニット81が設けられている。該プレチャージユニット81は荷電粒子照射部811を含み、ウエハ上に検査のために一次電子を照射する前に、荷電粒子照射部811から荷電粒子を照射することにより、帯電むらを無くす。なお、ウエハ表面の帯電状態は、電子光学系70を用いて予めウエハ面の画像を形成し、その画像を評価することで検出することができ、そして、検出された帯電状態に基づいて、荷電粒子照射部811からの荷電粒子の照射を制御する。プレチャージユニット81では、一次電子線をぼかして照射してもよい。
また、ウエハの電気的欠陥を検査する方法としては、本来電気的に絶縁されている部分とその部分が通電状態にある場合では、その部分の電圧が異なることを利用することもできる。それは、まず、ウエハに事前に電荷を付与することで、本来電気的に絶縁されている部分の電圧と、本来電気的に絶縁されている部分ではあるが、何らかの原因で通電状態にある部分の電圧とに電圧差を生じさせ、その後、電子ビームを照射することにより、電圧差のデータを取得し、この取得データを解析して、通電状態となっていることを検出することができる。
このような電気的欠陥の検出方法において、予めウエハに事前に電荷をチャージさせるために、プレチャージユニット81を用いることもできる。
電圧印加機構83
図11は、電圧印加機構83の構成を示すブロック図である。電位印加機構83は、ウエハから放出される二次電子発生率が、ウエハの電位に依存すると言う事実に基づいて、ウエハを載置するステージの設置台に±数Vの電位を印加することにより、二次電子の発生が最適化するよう制御するものである。また、この電位印加機構83は、照射される一次電子が当初有しているエネルギを減速し、ウエハ上の電子エネルギを100〜500eV程度に制御するためにも用いられる。
電位印加機構83は、図11に示されるように、ステージ装置50の載置面551と電気的に接続された電圧印加装置831と、チャージアップ調査及び電圧決定システム(以下、「調査及び決定システム」)832とを備えている。調査及び決定システム832は、電子光学系70の検出系76の画像処理部763に電気的に接続されたモニタ833と、モニタ833に接続されたオペレーション入力部834と、該オペレーション入力部834に接続されたCPU835とを備えている。CPU835は、制御装置2(図1)に含まれ、電圧印加装置831に電圧調整信号を供給する。なお、CPU835はさらに、電子光学系70の偏向器727(図8)に走査信号を供給する等、種々構成要素に制御信号を供給する。電位印加機構83は、画像処理部763によって形成された画像をモニタ833に表示し、オペレーション入力部834及びCPU835によって、ウエハが帯電し難い電位を探し、得られた電位を、電圧印加装置831からステージ装置50のホルダ55に印加する。
電子ビームキャリブレーション機構85
電子ビームキャリブレーション機構85は、図12の[A]及び[B]に示すように、回転テーブル54上でウエハ載置面541の側部の複数箇所に設置された、ビーム電流測定用の複数のファラデーカップ851及び852を備えている。ファラデーカップ851は細いビーム用(φ=約2μm)で、ファラデーカップ852は太いビーム用(φ=約30μm)である。細いビーム用のファラデーカップ851では、回転テーブル54をステップS送りすることにより、ビームプロフィルを測定し。太いビーム用のファラデーカップ852では、ビームの総電流量を計測する。ファラデーカップ851及び852は、上表面が載置面541上に載せられたウエハWの上表面と同じレベルになるように配置される。このようにして、電子銃から放出される一次電子線を常時監視し、ウエハ表面に照射される電子線の強度がほぼ一定となるように、電子銃への電力供給を制御する。電子銃が常時一定の電子線を放出できるわけでなく、経年変化等によりその放出量が変化するため、このような機構により、電子線強度を較正する。
アライメント制御装置87
アライメント制御装置87は、ステージ装置50を用いてウエハWを電子光学系70に対して位置決めさせる装置である。アライメント制御装置87は、光学顕微鏡871(図1)を用いた広視野観察によるウエハの概略位置合わせである低倍率合わせ(電子光学系によるよりも倍率が低い位置合わせ)、電子光学系70の電子光学系を用いたウエハの高倍率合わせ、焦点調整、検査領域設定、パターンアライメント等の制御を行うようになっている。なお、このように低倍率でウエハを検査するのは、ウエハのパターンの検査を自動的に行うためには、電子線を用いた狭視野でウエハのパターンを観察してウエハアライメントを行うときに、電子線によるアライメントマークを容易に検出する必要があるからである。
光学顕微鏡871は、主ハウジング30内に設けられているが、主ハウジング30内で移動可能に設けられていてもよい。光学顕微鏡871を動作させるための光源(不図示)も主ハウジング30内に設けられている。また高倍率の観察を行う電子光学系は、電子光学系70の電子光学系(一次光学系72及び二次光学系74)を共用するものである。
アライメント制御装置87の構成を概略図示すれば、図13に示すようになる。ウエハW上の被観察点を低倍率で観察するには、ステージ装置50のXステージ又はYステージを動かすことによって、ウエハの被観察点を光学顕微鏡の視野内に移動させる。光学顕微鏡871を用いて広視野でウエハを視認し、そのウエハ上の観察すべき位置をCCD872を介してモニタ873に表示させ、観察位置すなわち被観察点の位置を、おおよそ決定する。この場合、光学顕微鏡871の倍率を低倍率から高倍率に徐々に変化させていってもよい。
次に、ステージ装置50を電子光学系70の光軸と光学顕微鏡871の光軸との間隔δxに相当する距離だけ移動させることにより、光学顕微鏡871を用いて予め決めたウエハ上の被観察点を電子光学系70の視野位置に移動させる。この場合、電子光学系70の軸線O−Oと光学顕微鏡871の光軸O−Oとの間の距離(この実施形態では、X軸方向にのみ両者は位置ずれしているものとするが、Y軸方向に位置ずれしていてもよい)δxは予めわかっているので、その値δxだけ移動させれば、被観察点を視認位置に移動させることができる。電子光学系70の視認位置への被観察点の移動が完了した後、電子光学系により高倍率で被観察点をSEM撮像して画像を記憶したり、モニタ765に表示させる。
このようにして、電子光学系によって高倍率でウエハの観察点をモニタに表示させた後、公知の方法により、ステージ装置50の回転テーブル54の回転中心に関するウエハの回転方向の位置ずれ、すなわち電子光学系の光軸O−Oに対するウエハの回転方向のずれδθを検出し、また電子光学系70に関する所定のパターのX軸及びY軸方向の位置ずれを検出する。そして、その検出値並びに別途得られたウエハに設けられた検査マークのデータ、或いはウエハのパターンの形状等に関するデータに基づいて、ステージ装置50の動作を制御してウエハのアライメントを行う。
制御装置2
制御装置2の制御系は、主にメインコントローラ、制御コントローラ、ステージコントローラから構成されている。
メインコントローラには、マンーマシンインターフェースが備えられており、オペレータの操作は、ここを通して行われる(種々の指示/命令、レシピなどの入力、検査スタートの指示、自動と手動検査モードの切り替え、手動検査モード時等の必要な全てのコマンドの入力等)。その他、工場のホストコンピュータとのコミュニケーション、真空排気系の制御、ウエハの搬送、位置合わせの制御、制御コントローラやステージコントローラへのコマンドの伝達や情報の受け取り等も、メインコントローラで行われる。また、光学顕微鏡からの画像信号の取得、ステージの変動信号を電子光学系にフィードバックさせて像の悪化を補正するステージ振動補正機能、ウエハ観察位置のZ軸方向(二次光学系の軸方向)の変位を検出して、電子光学系へフィードバックし、自動的に焦点を補正する自動焦点補正機能を備えている。電子光学系へのフィードバック信号等の授受、及びステージ装置からの信号の授受は、それぞれ制御コントローラ及びステージコントローラを介して行われる。
制御コントローラは、主に電子光学系の制御、すなわち、電子銃、レンズ、アライナー、ウィーンフィルタ用等の高精度電源の制御等を担う。具体的には、照射領域に、倍率が変わったときにも常に一定の電子電流が照射されるように電源を制御すること、各倍率に対応した各レンズ系やアライナーへ自動的に電圧を設定すること等の、各オペレーションモードに対応した各レンズ系やアライナーへの自動電圧設定等の制御(連動制御)が行われる。
ステージコントローラは、主にステージの移動に関する制御を行い、精密なX軸方向およびY軸方向のμmオーダーの移動(±0.5μm程度の許容誤差)を可能にしている。また、ステージの移動制御では、誤差精度±0.3秒程度以内で、回転方向の制御(θ制御)も行われる。
上記した本発明に係るこのような評価システムによれば、マルチビームを用いた電子線装置を評価システムの各構成機器を機能的に組み合わせることができたため、高いスループットで検査対象を処理することができる。また、エンバイロメント空間内に清浄度を観察するセンサを設けることにより、その空間内の塵埃を監視しながら検査対象の検査を行うことができる。さらに、プレチャージユニットを設けているので、絶縁物でできたウエハも帯電による影響を受けがたい。
次に、本発明に係る評価システム1に具備される電子線装置のステージ装置50と電子光学系70との組合せについて、種々の実施形態を説明する。
半導体ウエハ等のように、超精密加工が施された試料を検査する場合には、ウエハを真空のワーキングチャンバ31中で精度良く位置決め可能なステージ装置50を使用する必要がある。このように非常に高精度な位置決めが要求される場合のステージ装置として、XYステージを静圧軸受けによって非接触支持する構造が採用されている。この場合、静圧軸受けから供給される高圧ガスが直接真空チャンバすなわちワーキングチャンバ31に排出されないように、高圧ガスを排気する差動排気機構を静圧軸受けの範囲に形成することによって、ワーキングチャンバ31の真空度を維持している。なお、本明細書において、「真空」とは、等技術分野において呼ばれる真空状態であって、必ずしも絶対真空を指すものではない。
このようなステージ装置50と電子光学系70の荷電ビーム照射部72との組合せの従来例を、図14に示している。図14において、[A]は正面図、[B]は側面図である。この従来例において、真空チャンバ31を構成する主ハウジング30に、荷電ビームを発生しウエハWに照射する電子光学系装置の鏡筒71の先端部すなわち荷電ビーム照射部72が取り付けられている。鏡筒71の内部は、真空配管10−1によって真空排気されており、真空チャンバ31は真空配管11−1aによって真空排気されている。そして、荷電ビームは、鏡筒71の先端部7から、その下に置かれたウエハW等のウエハに対して照射される。
ウエハWは、ウエハ載置台すなわちホルダ55に公知の方法により取り外し可能に保持されており、ホルダ55は、XYステージを構成するYテーブル52の上面に取り付けられている。Yテーブル52には、Xテーブル53のガイド面53a−1と向かい合う面(図14の[A]において、左右両面及び下面)に、静圧軸受け9−1が複数取り付けられており、この静圧軸受け9−1の作用により、ガイド面との間に微小隙間を維持しながら、Y方向(図12の[B]において、左右方向)に移動できる。さらに静圧軸受け9−1の周りには、静圧軸受け9−1に供給される高圧ガスが真空チャンバ31の内部にリークしないように、差動排気機構が設けられている。この様子を図15に示す。
図15に示すように、静圧軸受け9−1の周囲には、二重に溝18−1と17−1とが構成されており、これらの溝は、図示していない真空配管と真空ポンプにより、常時真空排気されている。このような構造により、Yテーブル52は、真空中を非接触状態で支持され、Y方向に自在に移動することが可能である。二重の溝18−1と17−1は、Yテーブル52の静圧軸受け9−1が設けられている面に、その静圧軸受けを囲むように形成される。なお、静圧軸受け9−1の構造は公知のもので良いので、その詳細な説明は省略する。
Yテーブル52を搭載しているXテーブル53は、図14に示すように、上方に開口している凹形の形状を有し、そして、Xテーブル53にも、上記と同様の静圧軸受け及び溝が設けられている。これにより、ステージ台すなわち固定テーブル51に対して、非接触で支持されており、X方向に自在に移動することができる。
これらのYテーブル52及びYテーブル53の移動を組み合わせることによって、ウエハWを鏡筒71の先端部72すなわち荷電ビーム照射部に関して水平方向任意の位置に移動させ、ウエハWの所望の位置に荷電ビームを照射することができる。
図14に示したステージ装置50及び電子光学系70の荷電ビーム照射部72の組合せも、本発明の評価システム1に用いることができるものの、以下の問題点がある。
上記した静圧軸受け9−1と差動排気機構を組み合わせた従来例では、XYステージが移動する際に、静圧軸受け9−1に対向するガイド面53a及び51aは、静圧軸受け部の高圧ガス雰囲気とワーキングチャンバ31内の真空環境の間を往復運動することになる。この時、これらガイド面には、高圧ガス雰囲気に曝されている間にガスが吸着し、その後真空環境に露出されると吸着していたガスが放出される、という状態が繰り返される。このため、XYステージが移動する度に、ワーキングチャンバ31内の真空度が悪化するという現象が起こり、上述した荷電ビームによる露光や検査や加工等の処理を安定して行うことができなかったり、ウエハが汚染されてしまうという問題がある。
したがって、真空度の低下を防止し、かつ荷電ビームによる検査や加工の処理を安定して行うことができる装置が必要となる。図16は、このような作用効果を奏することができるステージ装置50及び電子光学系70の荷電ビーム照射部72の実施形態を示している。なお、図16において、[A]は正面図、[B]は側面図である。
図16に示すように、この実施形態でのステージ装置50は、Yテーブル52の上面に、±Y軸方向(図16の[B]で左右方向)に大きくほぼ水平に張り出した仕切り板14−1が取り付けられ、Xテーブル53の上面との間に、コンダクタンスが常時小さい絞り部50−1が生じるよう構成されている。また、Xテーブル53の上面にも、同様の仕切り板12−1が±X軸方向(図14の[A]で左右方向)に張り出すよう取り付けられており、固定テーブル51の上面との間に常に絞り部51−1が形成されるよう構成されている。固定テーブル51は、主ハウジング30内において、底壁の上に公知の方法で固定されている。
これにより、ウエハ台すなわちホルダ55がどの位置に移動しても、常に絞り部50−1及び51−1が形成されるので、Yテーブル52及びXテーブル53の移動時にガイド面53a及び51aからガスが放出されても、絞り部50−1及び51−1によって放出ガスの移動が妨げられる。したがって、荷電ビームが照射されるウエハ近傍の空間24−1の圧力上昇も、極めて低く押さえることができる。
ステージ装置50の可動部であるYテーブル52の側面及び下面、並びにXテーブル53の下面には、静圧軸受け9−1の周囲に、図15に示した差動排気用の溝が形成され、この溝によって真空排気されるため、絞り部50−1、51−1が形成されている場合は、ガイド面からの放出ガスはこれらの差動排気部によって主に排気されることになる。このため、ステージ装置50内部の空間13−1及び15−1の圧力は、ワーキングチャンバ31内の圧力よりも高い状態になっている。したがって、空間13−1及び15−1を差動排気溝17−1や18−1で排気するだけでなく、真空排気する箇所を別に設けることにより、これら空間の圧力を下げることができ、ウエハWの近傍24−1の圧力上昇を、更に小さくすることができる。このための排気通路11−1b及び11−1cが設けられている。排気通路11−1bは、固定テーブル51及び主ハウジング30を貫通し、主ハウジング30の外部に通じている。また、排気通路11−1cは、Xテーブル53に形成され、該Xテーブルの下面に開口している。
また、仕切り板12−1及び14−1を設置すると、ワーキングチャンバ31とこれら仕切り板が干渉しないように、ワーキングチャンバ31を大きくする必要が生じるが、仕切り板を伸縮可能な材料や構造にすることによって、この点を改善することが可能である。この改善例として、仕切り板をゴムで構成したり蛇腹状に構成し、その移動方向の端部を、仕切り板14−1の場合はXテーブル53に固定し、仕切り板12−1の場合はハウジング8の内壁に固定することが好適である。
図17は、ステージ装置50及び電子光学系70の荷電ビーム照射部72の別の実施形態を示している。この実施態様では、鏡筒71の先端部すなわち荷電ビーム照射部72の周囲に、試料であるウエハWの上面との間に絞り部ができるように、円筒状の仕切り16−1が構成されている。この構成によれば、XYステージからガスが放出されてワーキングチャンバ31内の圧力が上昇しても、仕切りの内部24−1は仕切り16−1で仕切られておりかつ真空配管10−1により排気されているので、ワーキングチャンバ31内と仕切りの内部24−1との間に圧力差が生じ、仕切り内部24−1の圧力上昇を低く抑えることができる。仕切り16−1とウエハW面との隙間は、ワーキングチャンバ31内とビーム照射部72周辺の圧力をどの程度に維持するかによって調整すべきであるが、数十μm〜数mm程度が適当である。なお、仕切り16−1内と真空配管10−1とは公知の方法により連通されている。
また、電子光学系70においては、ウエハWに数kV程度の高電圧を印加することがあり、導電性の材料をウエハの近傍に設置すると、放電を起こす恐れがある。この場合には、仕切り16−1の材質をセラミックス等の絶縁物で構成すれば、ウエハWと仕切り16−1との間で放電を起こすことがない。
なお、ウエハWの周囲に配置したリング部材4−1は、ウエハ台すなわちホルダ55に固定された板状の調整部品である。このリング部材4−1は、仕切り16−1の先端部全周に亘って微小隙間52−1が形成されるように、ウエハWと同一の高さに設定されている。これによって、ウエハの端部を含む任意の位置に荷電ビームを照射しても、仕切り16−1の先端部には常に一定の微小隙間52−1が形成され、鏡筒71の先端部周囲の仕切り内部空間24−1の圧力を、安定に保つことができる。
図18は、ステージ装置50及び電子線装置の荷電ビーム照射部72の組合せの他の実施態様を示している。この実施形態においては、鏡筒71の荷電ビーム照射部2の周囲に、差動排気構造を内蔵した仕切り19−1が設けられている。仕切り19−1は円筒形状をしており、その内部に円周溝20−1が形成され、その円周溝から上方に排気通路21−1が延びている。該排気通路は、内部空間22−1を経由して、真空配管23−1に繋がれている。仕切り19−1は、その下端とウエハWの上面との間に数10μm〜数mm程度の微小隙間を形成するよう配置される。
図18の構成によれば、XYステージの移動に伴ってステージ装置50からガスが放出され、ワーキングチャンバ31内の圧力が上昇して荷電ビーム照射部72にガスが流入しようとしても、仕切り19−1がウエハWとの隙間を絞ってコンダクタンスを極めて小さくしているため、ガスは流入を邪魔され流入量は減少する。更に、流入したガスは、円周溝20−1から真空配管23−1へ排気されるため、荷電ビーム照射部72の周囲の空間24−1へ流入するガスはほとんどなくなり、荷電ビーム照射部72の圧力を、所望の高真空のまま維持することができる。
図19は、ステージ装置50及び電子光学系70の荷電ビーム照射部72のさらに別の実施態様を示している。この実施形態においては、ワーキングチャンバ31内の荷電ビーム照射部72の周囲には仕切り26−1が設けられ、これにより、荷電ビーム照射部72をワーキングチャンバ31から隔てている。仕切り26−1は、銅やアルミニュウム等の熱伝導性の良い材料からなる支持部材29−1を介して冷凍機30−1に連結されており、−100℃〜−200℃程度に冷却される。部材27−1は、冷却されている仕切り26−1と鏡筒71との間の熱伝導を遮断するためのものであり、セラミックスや樹脂材等の熱伝導性の悪い材料で形成されている。また、部材28−1は、セラミックス等の非絶縁体からなり、仕切り26−1の下端に形成され、ウエハWと仕切り26−1との間で放電が生じることを防止するためのものである。
図19の構成によれば、ワーキングチャンバ31内から荷電ビーム照射部72に流入しようとするガス分子は、仕切り26−1で流入を阻害されるとともに、流入しても仕切り26−1の表面に凍結捕集されてしまうため、荷電ビーム照射部72の圧力を低く保つことができる。
なお、冷凍機30−1として、液体窒素による冷却や、He冷凍機、パルスチューブ式冷凍機等の様々な冷凍機を使用することができる。
図20は、ステージ装置50及び電子光学系70の荷電ビーム照射部72の組合せのさらに他の実施態様を示している。XYステージの両可動部すなわちYテーブル52及びXテーブル53には、図16の構成と同様に、仕切り板12−1、14−1が設けられており、ウエハ台すなわちホルダ55が任意の位置に移動しても、これらの仕切りによって、ステージ装置内の空間13−1とワーキングチャンバ31内とが絞り50−1、51−1を介して仕切られる。更に、荷電ビーム照射部72の周りには、図17の構成と同様に仕切り16−1が形成されており、ワーキングチャンバ31内と荷電ビーム照射部72のある空間24−1とが絞り52−1を介して仕切られている。このため、XYステージの移動時に、該ステージに吸着しているガスが空間13−1に放出されて該空間の圧力を上昇させたとしても、ワーキングチャンバ31の圧力上昇は低く抑えられ、空間24−1の圧力上昇は更に低く抑えられる。これにより、荷電ビーム照射部72の空間24−1の圧力を低い状態に保つことができる。また、仕切り16−1を、差動排気機構を内蔵した仕切り19−1としたり、図18に示したように冷凍機で冷却された仕切り26−1とすることによって、空間24−1を更に低い圧力で安定に維持することができる。
上記した荷電ビーム照射部の構造によれば、ステージ装置を真空のワーキングチャンバ内で高精度に位置決めすることができ、また、照射部の圧力が上昇しにくいため、高精度の画像データを得ることができる。
図21は、ステージ装置50及び電子光学系70の荷電ビーム照射部72の組合せの他の実施形態を示している。この実施形態においては、電子光学系70の先端部すなわち荷電ビーム照射部72がワーキングチャンバ31を画成する主ハウジング30に取り付けられている。ステージ装置50におけるXYステージの台座すなわち固定テーブル51は、主ハウジング30の底壁に固定され、Yテーブル52が固定テーブル51の上に載っている。Yテーブル52の両側面(図19において左右側面)には、固定テーブル51に載置された一対のY方向ガイド7a−2及び7b−2のYテーブル52に面した側に形成された凹溝内に突出する突部が形成されている。その凹溝は、Y方向ガイドのほぼ全長に亘ってY方向(図面と直交する方向)に伸びている。凹溝内に突出する突部の上、下面及び側面には、公知の構造の静圧軸受け11a−2、9a−2、11b−2、9b−2がそれぞれ設けられ、これらの静圧軸受けを介して高圧ガスを吹き出すことにより、Yテーブル52は、Y方向ガイド7a−2、7b−2に対して非接触で支持され、Y方向に円滑に往復運動できるようになっている。また、固定テーブル51とYテーブル52との間には、Y方向の駆動を行うための公知の構造のリニアモータ12−2が配置されている。Yテーブル52には、高圧ガス供給用のフレキシブル配管22−2によって高圧ガスが供給され、Yテーブル内に形成されたガス通路(図示せず)を通じて、静圧軸受け9a−2〜11a−2及び9b−2〜11b−2に対して高圧ガスが供給される。静圧軸受けに供給された高圧ガスは、Y方向ガイドの対向する案内面との間に形成された数ミクロンから数十ミクロンの隙間に噴出して、Yテーブル52を案内面に対してX方向及びZ方向(図21において、図面の上下方向)に正確に位置決めする役割を果たす。
Yテーブル52上にはXテーブル53がX方向(図21において、図面の左右方向)に移動可能に載置されている。Yテーブル52上には、Yテーブル用のY方向ガイド7a−2、7b−2と同じ構造の一対のX方向ガイド8a−2、8b−2(8a−2のみ図示)が、Xテーブル53を間に挟んで設けられている。X方向ガイドのXテーブル53に面した側にも凹溝が形成され、Xテーブルの側部(X方向ガイドに面した側部)には、該凹溝内に突出する突部が形成されている。その凹溝は、X方向ガイドのほぼ全長に亘って伸びている。凹溝内に突出するX方向テーブル53の突部の上、下面及び側面には、先に説明した静圧軸受け11a−2、9a−2、10a−2、11b−2、9b−2、10b−2と同様の静圧軸受け(図示せず)が、同様の配置で設けられている。Yテーブル52とXテーブル53との間には、Xテーブル53の駆動を行うための公知の構造のリニアモータ13−2が配置されている。Xテーブル53にはフレキシブル配管21−2によって高圧ガスが供給され、静圧軸受けに高圧ガスを供給する。この高圧ガスが静圧軸受けからX方向ガイドの案内面に対して噴出されることによって、Xテーブル53がY方向ガイドに対して高精度に非接触で支持されている。真空のワーキングチャンバ31は、公知の構造の真空ポンプ等に接続された真空配管19−2、20a−2、20b−2によって排気される。配管20a−2、20b−2の入口側(ワーキングチャンバ内側)は、固定テーブル51を貫通してその上面において、XYステージから高圧ガスが排出される位置の近くで開口しており、ワーキングチャンバ31内の圧力が静圧軸受けから噴出される高圧ガスにより上昇するのを極力防止している。
荷電ビーム照射部72の周囲には、差動排気機構25−2が設けられ、ワーキングチャンバ31内の圧力が高くても、荷電ビーム照射空間30−2の圧力が十分低くなるように構成されている。すなわち、荷電ビーム照射部72の周囲に取り付けられた差動排気機構25−2の環状部材26−2は、その下面(ウエハW側の面)とウエハとの間で微少隙間(数ミクロン〜数百ミクロン)40−2が形成されるように、主ハウジング30に対して位置決めされており、その下面には、環状溝27−2が形成されている。環状溝27−2は排気管28−2により図示しない真空ポンプ等に接続されている。したがって、微少隙間40−2は、環状溝27−2及び排気口28−2を介して排気され、ワーキングチャンバ31から環状部材26−2によって囲まれた荷電ビーム照射空間30−2内にガス分子が侵入しようとしても、排気されてしまう。これにより、空間30内の圧力を低く保つことができ、荷電ビームを問題なく照射することができる。
環状溝27−2は、チャンバ内の圧力、荷電ビーム照射空間30内の圧力によっては、二重構造或いは三重構造にしてもよい。
静圧軸受けに供給する高圧ガスは、一般に、ドライ窒素が使用される。しかしながら、可能ならば、更に高純度の不活性ガスにすることが好ましい。これは、水分や油分等の不純物がガス中に含まれると、これらの不純物分子が主ハウジング30の内面やステージ装置50の構成部品の表面に付着して真空度を悪化させたり、ウエハ表面に付着して荷電ビーム照射空間の真空度を悪化させてしまうからである。
なお、試料であるウエハWは、通常、Xテーブル53上に直接載置されるのでなく、ウエハを取り外し可能に保持したりXYステージに対して微少な位置変更を行うなどの機能を持たせたウエハ台すなわちホルダの上に載置されているが、ホルダの有無及びその構造は本願発明の要旨には関係ないので、上記説明においては、説明を簡素化するために省略している。
以上に説明した荷電ビーム装置では、大気中で用いられる静圧軸受けのステージ機構をほぼそのまま使用できるので、露光装置等で用いられる大気用の高精度ステージと同等の高精度のXYステージを、ほぼ同等のコスト及び大きさで荷電ビーム装置用のXYステージとして実現できる。
以上説明した静圧ガイドの構造や配置及びアクチュエータ(リニアモータ)はあくまでも一実施例であり、大気中で使用可能な静圧ガイドやアクチュエータであるならば、任意のものを適用可能である。
図22は、差動排気機構の環状部材26−2及び該部材に形成される環状溝27−2の大きさの数値例を示している。この例では、環状溝は、2つの環状溝27−2a及び27−2bの二重構造を有しており、それらは半径方向に隔てられている。
静圧軸受けに供給される高圧ガスの流量は、通常、約20L/min(大気圧換算)程度である。ワーキングチャンバ31を、内径50mmで長さ2mの真空配管を介して20000L/minの排気速度を有するドライポンプで排気すると仮定すると、該チャンバ31内の圧力は、約160Pa(約1.2Torr)となる。この時、差動排気機構の環状部材26−2及び環状溝等の寸法を、図22に示したように設定することにより、荷電ビーム照射空間30−2内の圧力を10−4Pa(10−6Torr)にすることができる。
図23は、図21に示した実施形態におけるワーキングチャンバ31に対する排気機構を示している。ワーキングチャンバ31には、真空配管74−2、75−2を介して、ドライ真空ポンプ53−2が接続されている。また、差動排気機構25−2の環状溝27−2は、排気口28−2に接続された真空配管70−2を介して、超高真空ポンプであるターボ分子ポンプ51−2に接続されている。更に、鏡筒71の内部は、排気口18−2に接続された真空配管71−2を介して、ターボ分子ポンプ52−2に接続されている。これらのターボ分子ポンプ51−2、52−2は、真空配管72−2、73−2によって、ドライ真空ポンプ53−2に接続されている。(図23では、ターボ分子ポンプの粗引きポンプと真空チャンバの真空排気用ポンプを1台のドライ真空ポンプで兼用したが、XYステージの静圧軸受けに供給する高圧ガスの流量、真空チャンバの容積や内表面積、真空配管の内径や長さに応じて、それらを別系統のドライ真空ポンプで排気する場合もある。)
XYステージの静圧軸受けには、フレキシブル配管21−2、22−2を通して、高純度の不活性ガス(Nガス、Arガス等)が供給される。静圧軸受けから噴出したこれらのガス分子は、ワーキングチャンバ31内に拡散し、排気口19−2、20a−2、20b−2を通して、ドライ真空ポンプ53−2によって排気される。また、差動排気機構や荷電ビーム照射空間に侵入したこれらのガス分子は、環状溝27−2或いは鏡筒71の先端部から吸引され、排気口28−2、18−2を通って、ターボ分子ポンプ51−2、52−2によって排気され、そしてその後、ドライ真空ポンプ53−2によって排気される。このようにして、静圧軸受けに供給された高純度不活性ガスは、ドライ真空ポンプに集められて排出される。
一方、ドライ真空ポンプ53−2の排気口は、配管76−2を介して圧縮機54−2に接続され、圧縮機54−2の排気口は、配管77−2、78−2、79−2及びレギュレータ61−2、62−2を介して、フレキシブル配管21−2、22−2に接続されている。このため、ドライ真空ポンプ53−2から排出された高純度不活性ガスは、圧縮機54−2によって再び加圧され、レギュレータ61−2、62−2で適正な圧力に調整された後、再びXYテーブルの静圧軸受けに供給される。
なお、静圧軸受けに供給されるガスは、上述したように、できるだけ高純度でかつ水分や油分が極力含まれないようにする必要があるため、ターボ分子ポンプ、ドライポンプ及び圧縮機は、ガス流路に水分や油分が混入しないような構造であることが求められる。また、圧縮機の排出側配管77−2の途中にコールドトラップやフィルタ等(60−2)を設け、循環するガス中に混入した水分や油分等の不純物質をトラップして、静圧軸受けに供給されないようにすることも有効である。
こうすることによって、高純度不活性ガスを循環させて再利用できるので、高純度不活性ガスを節約でき、また、本装置が設置された部屋に不活性ガスをたれ流さないので、不活性ガスによる窒息等の事故が発生する恐れもなくすことができる。
循環配管系には高純度不活性ガス供給系63−2が接続されており、該不活性ガス供給系は、ガスの循環を始める際に、ワーキングチャンバ31や真空配管70−2〜75−2及び加圧側配管76−2〜80−2を含む全ての循環系に高純度不活性ガスを満たす役割と、何らかの原因で循環するガスの流量が減少した際に不足分を供給する役割とを担っている。
また、ドライ真空ポンプ53−2に大気圧以上まで圧縮する機能を持たせることによって、ドライ真空ポンプ53−2と圧縮機54−2を1台のポンプで兼ねさせることも可能である。更に、鏡筒72の排気に用いる超高真空ポンプには、ターボ分子ポンプの代わりにイオンポンプやゲッタポンプ等のポンプを使用することも可能である。ただし、これらの溜込み式ポンプを用いた場合は、この部分には循環配管系を構築することはできないことになる。また、ドライ真空ポンプの代わりに、ダイヤフラム式ドライポンプ等、他方式のドライポンプを使用することももちろん可能である。
上記した荷電ビーム照射部の構造及び排気機構によれば、ステージ装置を真空のワーキングチャンバ内で高精度に位置決めすることができ、また、照射部の圧力が上昇しにくいため、高精度の画像データを得ることができる。また、これらの構造は、図8に示した電子線装置の実施形態だけではなく、以下に説明する実施形態及びそれらの変形にも適用できることは勿論である。
次に、本発明に係る電子線装置の電子光学系70とウエハWとの検査開始時の位置合わせについて、図24を参照して説明する。ウエハ上には、通常、1又は複数のアライメントマークが形成されており、検査開始時に一次電子線を走査することによりアライメントマークを検出して、ウエハと電子線装置との位置決めを行っている。図24は、位置合わせ時のアライメントマークと一次電子線による走査領域との関係を模式的に表しており、図24において、M1〜M3はウエハ上のアライメントマーク、BS1〜BS9は9つの一次電子線によってウエハWの表面上にそれぞれ形成されるビームスポット、R1〜R9はこれら一次電子線によって検査開始時に走査される領域、Zは電子線装置の一次光学系の光軸を示している。
図24において、アライメントマークM1〜M3ののいずれかが光軸Zの近傍、すなわち領域R1〜R9のいずれにも含まれていない場合は、該アライメントマークの位置を検出することができない。また、アラインメントマークM3のように、2つの領域R7及びR8の両方に存在する場合には、1つのアライメントマークが2回検出されることになり、間違ったマーク検出が行われる可能性がある。
一方、アライメントマークM1及びM2のように、1つの領域のみに存在する場合には、正確なマーク検出を行うことができる。すなわち、1つのアライメントマークを単一の一次電子線のみが走査している場合にのみ、それにより検出された信号をアライメント信号として利用する。また、図の例では、領域R1及びR6を走査する一次電子線が最も相互に離れており、また重複領域も少ないので、これらの一方の電子線をマーク検出用に利用するように、XYステージを移動させることが好適である。このように設定することにより、最も広い領域を位置合わせ時に走査した場合でも、単一の電子ビームのみでアライメントマークを走査する場合と同じ条件を満足することができる。
上記した位置合わせは、図8に示した実施形態の電子線装置の他、以降で説明する他の実施形態及びそれらの変形においても適用可能である。
次に、本発明の電子線装置において採用可能な、S/N比を向上させるための方法について説明する。以下の説明においては、電子線のビーム径Dは、電子線のウエハ表面上の像の径寸法(直径又は対角線長)を意味するものとし、電子線の間隔は、隣接する電子線のウエハ表面上における隣接する像の中心間の距離を意味するものとする。また変調伝達関数MTF(Modulation Transfer Function)は、正弦波応答関数、コントラスト伝達関数とも呼ばれる光学系の性能評価法の1つであり、光学系を通した時の物体コントラストに対する像コントラストの比を意味するものとする。電子線装置によりパターンの欠陥を検出する場合においては、最小線幅は、検出すべき欠陥の最小寸法に相当する。
なお、従来は、検出すべきパターンの最小線幅dと検査用電子線のビーム径Dとの比の最適値を明かにすることなく、経験的に、0.1μmの最小線幅dを検出するには、0.1μmφより十分小さいビーム径Dを使用し、最小線幅dが0.05μmのパターンを評価するには、0.05μmφより十分小さいビーム径Dのビームを用いていた。
しかしながら、評価すべきパターンの最小線幅dに対して、電子線のビーム径Dが小さいと、解像度は上がるが、ビーム電流Iが小さくピクセル当りの二次電子数が少ないため、S/N比(信号/雑音比)が小さくなり、評価のスループットすなわち単位時間当り処理量が向上しないという問題があった。逆に、ビーム径Dが大きいと、パターン像がボケる、即ちMTFが小さく、パターンのコントラストが低く高精度の検査を行うことができないという問題、及びS/N比が小さいため、評価の精度及びスループットを向上させることができないという問題があった。
本発明者は、評価すべきパターンの最小線幅(又は欠陥サイズ)dに対する電子線のビーム径Dの比D/dと、S/N比との関係を明かにし、S/N比を最大にできるD/d、及び高S/N比を与えることのできるD/dを求めることにより、高精度、高スループットで線幅の検出及び欠陥検出等ができるようにした。以下に、ビーム径の設定について、詳細に説明する。
図25は、S/N比が最大値又は最大値付近になるビーム径D/最小線幅dの値を求めるために使用されるグラフであり、本発明者によるシミュレーションの結果得られたものである。図25において、グラフG11はビーム径Dとビーム電流Iとの関係(I∝D)を表し、グラフG12はD/dとMTFとの関係を表し、グラフG13はD/dと(MTF)との関係を表し、グラフG14はD/dと(MTF)Iとの関係を表している。これらのグラフG11〜G14は、以下のようにして生成された。
まず、細く絞った一次電子線でウエハ表面を走査しウエハから発生される二次電子を検出する際に得られる信号のS/N比は、次の式で表すことができる。
S/N
={信号/(オフセット値+信号)}(MTF)(N/2)1/2  (1)
ここで、MTFは、有限寸法のビームで有限寸法の一次元パターンを横断する方向に走査する際に得られる信号のコントラストの低下であり、ビーム径/最小線幅=D/dの関数となる。Nは、走査の1ピクセル当りに検出される二次電子の数であり、ビーム電流Iと二次電子透過率の積に比例する。即ち、
∝(ビーム電流I)(二次電子透過率)           (2)
S/N比を最大にするには、(S/N)を最大にすれば良いことから、式(1)及び式(2)から、式(3)が得られる。
(S/N)∝(MTF)I                 (3)
MTFは、次の計算式により求めた。
MTF=Max(f,fのたたみ込み関数)        (4)
=1  NP/2≦x<(N+1)P/2のとき
=0  (N+1)P/2≦x<(NP/2)のとき    (5)
=1/σ√(2π)exp{−x/2σ}       (6)
ただし、N:整数
P=2.34σ(D/d)
σ:ガウス関数の定数で分散値
例えば、図25のグラフの横軸の比D/dが1.0の時のMTFの値は、P=2.34σとして、関数fを決め、関数fとのたたみ込み関数を求め、得られた関数の振幅(最小値は0だから最大値)を求めると、図25の横軸の1.0に対するMTFの値が求まる。
同様にして上記の比D/dを0.5、1.5、2.0、・・・・・等としてMTFの値を求め、その値をプロットすると、MTFとD/dとの関係を示すグラフG12が得られる。
このグラフG12から(MTF)を求めてグラフG13を作成し、そして、Iを表すグラフG11と(MTF)を表すグラフG13との積(MTF)Iとして計算することにより、式(3)の右辺を表すグラフG14が得られる。
図25から明らかなように、グラフG14は、D/d≒1.1で(MTF)Iが最大値を取り、したがって、(S/N)すなわちS/N比が最大となる。このときのMTFは、ほぼ0.35である。また、グラフG14は、D/dが0.8〜1.4の範囲で、(MTF)I(すなわちS/N比)が最大値に近い値であることを示している。このときのMTFは、0.2〜0.6である。更に、グラフG4によれば、D/dが0.95〜1.25の範囲であれば、S/N比がさらに良好であることを示している。このときのMTFは、0.25〜0.45である。
従って、D/dを1.1に極めて近似させることにより、最大のS/N比を得ることができ、D/dを0.95〜1.25の範囲に選択することにより、最大値に近いS/N比を得ることができ、D/dを0.8〜1.4の範囲に選択することにより、比較的高いS/N比を得ることができる。よって、どの程度のS/N比を得る必要があるかに応じて、D/dの値を設定すればよい。例えば、D/d比を0.66〜1.5の範囲にあるようにしてもよい。
これをMTFの範囲に換算して示すと、MTFが0.35のとき、最大のS/N比を得ることができ、MTFが0.25〜0.45の範囲にあるとき、ほぼ最大値に近いS/N比を得ることができ、MTFが0.2〜0.6の範囲にあるとき、比較的高いS/N比を得ることができる。
また、1台の電子線装置を用いて、評価すべきパターンの最小線幅d1であって検査時間をTにしたい場合と、例えば最小線幅d2が2倍(d2=2d1)で検査時間をT/4に短縮したい場合との、両方の要求がある場合等のように、2つ以上の検出モードを実行することが必要な場合がある。このように2つ以上の検出モードがある場合であって、ビーム径Dを変化させる時間を十分小さくできる場合には、それぞれの最小線幅d1、d2に対して使用するビーム径D1、D2を以下の2つの条件
0.8≦D1/d1≦1.4
0.8≦D2/d2≦1.4
を同時に満足するように、ビーム径D1、D2を変化させれば、それぞれの最小線幅に対して最も適した電子線を使用することができる。この場合、ビーム径の変化は、レンズを2段以上設け、ズーム作用で焦点面を変えずに、縮小率のみを可変にすることで実行することができる。この場合には、図8に示した電子線装置の電子光学系70において、一次光学系のマルチ開口板723の開口723aの縮小像位置をZ軸(光軸)方向へ変化させることによって、開口723aからウエハWまでに関する縮小率を変化させ、D/dの値を例えば0.8〜1.4の範囲に入るようにビーム径Dを変化させる。これにより、S/N比をほぼ最大値に近い値にすることができる。
上記したS/N比を向上させるためのビーム径Dの設定については、図8に示した実施形態の電子線装置の他、以降で説明する他の実施形態の電子線装置及びそれらの変形においても適用可能である。
次に、図8に示した電子線装置を用いて、ウエハW上の配線パターンの短絡を検出する方法について説明する。上記したように、ウエハ面の欠陥検査、試料面に形成されたパターンの評価を行うには、ウエハをステージ装置50上にセットし、ウエハ表面への複数の電子線の照射による電荷注入によって付与される電位に応じて変動する二次電子の放出量を、複数の検出器761で観測する。したがって、例えばLSIを電子線により走査して電荷注入を行う場合、LSIの短絡配線部分は、電位の初期値が正常な配線部と異なり、これを利用することにより、短絡配線部分を検出することができる。
なお、軸対称電極730にウエハWよりも低い電圧を与えると、軸対称電極730は一定の電位障壁を形成する。二次電子は、ウエハWのパターンが持っている電位によって、この電位障壁を越えたり又は電位障壁により阻止される。従って、一定の電位障壁を越えた二次電子のみが対応する各々の検出器761により検出され、パターンが持っている電位に依存して二次電子の検出量が増減することとなる。一方、ウエハのパターンには、電子ビームの照射によって電荷が注入され、それらの電荷の持つ静電容量に依存して決まる電位が発生する。従って、例えば、異なったチップであってもパターンが同一であれば、同じ静電容量を有し、電荷注入量が同じであれば、同じ電位を有すると想定することができる。このような論理に基づいて二次電子を観測し、同じ電位になることが予想されるパターンに関して、その予想値より低い電位が観測された場合には、パターンの短絡が存在していると判定することができる。
以上の短絡の検出も、図8に示した実施形態の電子線装置に限らず、以降で説明する他の実施形態およびそれらの変形に適用可能である。
次に、本発明に係る電子線装置において実行されるチャージアップによる影響の低減方法について説明する。従来、マルチビームすなわち複数の一次電子線をウエハ上に照射する方式の電子線装置においては、様々な技術的な課題が未解決のまま残されており、その課題の1つとして、ウエハ面に生じるチャージアップの問題がある。チャージアップ即ち帯電は、絶縁体や浮遊導体などが存在する被観察体すなわち試料において、入射する電子数と二次電子あるいは反射電子として放出される電子数とが同一でない場合に、照射部分が正又は負に帯電してしまう現象である。チャージアップは、絶縁体や浮遊導体などが存在する半導体ウエハにおいては避けられない現象であり、これが発生すると、ウエハ面を等電位にできないばかりか、局所的な帯電により視野内で電位が大きく異なる現象が発生してしまう。
一方、二次電子等の低エネルギ電子を加速し、静電レンズで高倍率に拡大投影する場合、マルチビームは、軸上色収差のため結像できるエネルギ幅が狭く、視野全体でのエネルギの均一性に敏感である。よって、ウエハ面上の電位分布が大きく異なると、その近傍で像が歪んだり、結像できなかったりして、まともな観察ができなくなってしまうという問題がある。これに加えて、ウエハが帯電しすぎると、放電や絶縁破壊を起こして試料そのものを損傷させることもある。
チャージアップの発生は、二次電子発生効率によって決定される。二次電子発生効率とは、発生する二次電子と反射電子の数を、ウエハに照射される電子で割った値であり、二次電子発生効率が1より大きい場合は、ウエハは正に帯電し、二次電子発生効率が1よりも小さい場合は、ウエハは負に帯電する。したがって、絶縁体や浮遊導体に対しては、極力二次電子の発生効率が1に近くなるように一次電子線を照射すれば、前記のような問題点は低減できることがわかるはずであるが、実際はそれ程単純ではない。
その結果、半導体ウエハ上には、二次電子発生効率の異なる複数種の絶縁体や浮遊導体が混在する場合が多いので、これらをチャージアップさせずに画像を得ることが非常に困難であった。また、電位コントラスト像など、わざとある程度チャージアップさせないと観察できない像もあり、このような場合に、チャージアップの程度を制御することが困難であった。
例えば、絶縁体を含む半導体ウエハの実際の例として、図26に示すような断面構造を有するものについて検討する。図26において、Suはシリコン基板であって半導体であり、m1、m2は別種の絶縁体であり、半導体ウエハの表面は、CMP等のプロセスで平坦化されているため、光学顕微鏡観察ではもちろんのこと、通常のエッジ強調型のSEM観察でも、像コントラストが低く、良好な観察像を得ることができない。
このウエハをランディングエネルギ(入射エネルギ)がV1の電子Ebで照射すると、チャージアップが起こってランディングエネルギがシフトする。リーク電流がない限り、そのシフト量は、絶縁体m1及びm2の二次電子発生効率を表す図27の「A」及び[b]のグラフのa、bまで達し、そこで平衡状態になる。その結果、チャージアップ電位はそれぞれ、Us/A(=a−V1)及びUs/B(=b−V1)だけ上昇する。
この場合、
min<Us/A<Umax                 (7)
min<Us/B<Umax                 (8)
の2つの不等式を同時に満足すればよいが、図27のグラフにおいて、ランディングエネルギV1の位置を変えても、一般的には達成できない場合が多い。
そこで、本発明に係る電子線装置70においては、図28に示すように、ランディングエネルギV1の電子に加えて、ランディングエネルギV2の電子Eb’でも照射する。ここで、ランディングエネルギV1及びV2は、図29に示すように、絶縁体m1及びm2の平衡点a及びbを挟んで両側に位置するように設定する。
二つの異なるエネルギを持つ電子で照明されたそれぞれの絶縁体m1及びm2のチャージアップ電位は、次のようにして検出する。照射電子のエネルギVに対する絶縁体m1及びm2の二次電子効率曲線をそれぞれFA(V)及びFB(V)とする。また、ウエハ上のランディングエネルギV1及びV2の照射電子密度をそれぞれI1及びI2とする。これら二つのエネルギ照射により、絶縁体m1及びm2の表面から放出される二次電子量密度Q1及びQ2はそれぞれ、以下のように表すことができる。
Q1=I1・FA(V1)+I2・FA(V2)
Q2=I1・FB(V1)+I2・FB(V2)
一般に、Q1及びQ2の値は、照射電子密度I1及びI2と同じでない。その結果、チャージアップが起こり、それぞれの絶縁体Us/A及びUs/Bだけ表面電位の変化が生じた後に、平衡状態に達する。平衡状態は、以下のように表すことができる。
I1+I2=I1FA(V1+Us/A)+I2FA(V2+Us/A
(9)
I1+I2=I1FB(V1+Us/B)+I2FB(V2+Us/B
(10)
これらの2つの式(9)及び(10)は、I1/(I1+I2)=αとおいて変形すると、以下のように書き換えることができる。
1=αFA(V1+Us/A)+(1−α)FA(V2+Us/A
(11)
1=αFB(V1+Us/B)+(1−α)FB(V2+Us/B
(12)
s/A及びUs/Bを、不等式(7)及び(8)を満たす特定の値に決め、V1、V2及び照射総電流密度に対するI1の比αの内の一つを規定値として、式(11)及び(12)が同時に成立するように残りの二つを演算して設定すれば、絶縁体を含んだウエハを良好なる結像状態で観察することができる。そして、その上で、照射総電流密度を調整することにより、最も好ましい照射条件で照明を行うことができる。
なお、式(11)、(12)中のV1、V2及び照射総電流密度に対する比αの全てを変数として求めれば、3種類の絶縁体にまで対応できる。更に照射電子エネルギを1種増す毎に、新たなVとIの二つの変数が増すので、対応できる絶縁体は2種づつ増えていく。
以上説明したように、複数の電子線によりウエハを同時に照射でき、各電子源からの電流量と入射エネルギを独立に制御できるので、各絶縁体又は浮遊導体のチャージアップによる表面電位の変化を、それぞれ目標値にするように電流量と入射エネルギを設定することができる。よって、各絶縁体又は浮遊導体のチャージアップによる表面電位の変化(U)を、像観察に必要な最低量(Umin)と、ウエハ自身を損傷させることなくかつ歪みの少ない観察画像を得られる最大量(Umax)との間になるように制御することができ、明瞭で歪みの無い画像を得ることができる。なお、視野内を均一な照射条件で照明することが好ましく、これにより、部分的なチャージアップや、視野内での照射むらに基づく画像の明暗がなくなり、より明瞭な画像を得ることができる。
チャージアップによる表面電位の変化を目標値に制御するための上記方法は、図8に示した実施形態の電子線装置に適用可能であるだけでなく、以下に説明する他の実施形態及びそれらの変形に適用可能である。
なお、少なくとも1つの電子銃の電流量とウエハへの入射エネルギとを時分割的に制御可能にすることにより、あたかも電流量と入射エネルギが異なる複数の電子銃を具備しているかのような効果を持たせることが好適である。チャージアップにおいては、時間的、空間的な重ね合わせが成り立つので、このようにしても、チャージアップの問題を低減することができる。
この場合、二次電子を受光して電気信号に変える検出器は、二次電子−光変換器とPMT等の光電変換器を組み合わせて使用し、時分割的に切り換えて照射される照明の1周期分の電荷をCCDに貯えた上で取り出すことにより、全ての異なる照明光に対する出力を加え合わせたものを出力することができる。この場合でも、視野内を均一な照明条件で照明することが好ましい。
次に図8に示した電子線装置を用いて、ウエハWを走査する方法について、図30〜図32を参照して説明する。
1つの走査方法においては、図30に示すように、ウエハWは、分割された小領域200単位で一次電子線が走査される。電子線装置では、一次電子線の視野が、小領域200より少し大きい領域300となるように、小領域200が設定される。小領域200は、一次電子線を電気的に偏向できる領域に対応している。ウエハから発生される二次電子を検出後、ウエハを移動させて次の小領域200を照射するが、該次の領域は、隣接する小領域を少なくとも1以上スキップした未照射の小領域とする。荷電電荷は、時間とともに減少するので、照射済の小領域の帯電による影響が十分に小さく時間の経過後に、スキップした小領域を照射する。照射順序の選択方法の一例として、図30に示したように、64に分割した小領域を、▲1▼、▲2▼、▲3▼、……の順序で照射すると、1つの小領域を照射後の該小領域に隣接する小領域の照射まで、十分な時間をおくことができる。なお、ウエハWを移動中に、照射済みの小領域から検出した二次電子に基づく検査を実行することが好適である。
このような小領域の照射順序の選択は、1つの一次電子線を用いる電子線装置にも適用できる。
図31は、別の走査方法を示しており、この例では、ウエハWをストライプ状の小領域R1、R2、R3、……に分割している。そして、一次電子線を小領域の短軸方向(X軸方向)に走査しながら、小領域の長軸方向(Y軸方向)に移動させる。小領域を1つスキップさせる場合、走査しながら+Y軸方向にウエハを移動させ、小領域R1の照射を行い、次いで、ウエハをX軸方向へ移動させた後、−Y軸方向にウエハを移動させながら小領域R3の照射を行う。順次、1つおきに照射を行い、小領域Riの照射後、小領域R(i+1) (i=1,2,…,n−1)の照射を行う。
図32は、さらに別の走査方法を示している。この方法においては、小領域を走査により照射するに際して、走査を行う小領域に近い側から走査を開始し、遠い側へ進めるものである。すなわち、一列毎に走査を進める場合、小領域R11を走査した後、小領域R12をスキップして、小領域R13走査するが、その場合、小領域R1の走査は、小領域R13に近い点P11から開始し、最も遠い点P12で終了する。小領域R11の走査が終了すると、ウエハWをステップ移動させて、小領域R13の走査を点P13から開始し、点P14まで行う。その後、小領域R13に隣接するR14をスキップして、小領域R15をおこなう。その行が終了すると次の行に移動して、小領域毎に同様に走査を行う。このような走査方法によれば、帯電による影響を少なくすることができる。なお、直前に走査した小領域Rijの走査終了点と離れている小領域の点から走査を開始すると言う前提で、小領域Rijの終了後、隣接する小領域Ri(j+1)をスキップせずに、該小領域Ri(j+1)を走査してもよい。
また、図32の各小領域の走査において、例えば、小領域R22に示すように、点P15から走査を開始し、点P16に到達した時点で点P17に戻り、そして、点P18まで走査する用にしてもよい。なお、小領域R22内の破線は、帰線を示している。このように、各小領域内において、1つおきにラスタスキャンすることにより、直前の走査による影響を小さくすることができる。スキップする線を1本ではなく、任意の複数本とすることができる。
図30〜図32に示した走査方法においては、小領域のスキップは、電気的に制御することができるので、時間的なロスはほとんどなく、しかも帯電による影響を少なくすることができる。
以下に、本発明に係る電子線装置の図8に示した実施形態以外の種々の実施形態について説明する。
図33は、本発明に係る電子線装置に適用可能な電子光学系70の一実施形態を示している。この実施形態は、放出された電子をマルチビームに生成するためのマルチ開口板の複数の開口を、光軸の周りに回転させて調整する機能を持たせたものである。
図33に示すように、この実施形態の電子光学系70は、電子線17−3を発生する電子銃1−3と、電子線を照射されたウエハW表面からの二次電子をマルチ開口板14−3の開口に結像させる二次光学系(写像投影部)25−3を備えている。電子銃1−3は、ZrOの熱電界放出電子銃であり、<001>方位のタングステンの針状カソードにZrを溶接し、針の先端にZrを拡散させ、酸素雰囲気中で活性化させたものである。このように形成された電子銃1−3は、図34のX−Y平面上のビーム断面として示すように、光軸(すなわち図33において紙面の上下方向であり、図34において紙面と直交する方向であるZ軸)の方向に強い電子線20−3を放出するが、それよりさらに強い電子線17−3を側面の4つの<001>方位の方向へ放出することが知られている。この強い電子線17−3は、図34に示すように、光軸の周囲の4つの方向に放出される。
図34に示す5つの強い電子線17−3、20−3をコンデンサレンズ2−3により収束してクロスオーバ像5−3を形成する。コンデンサレンズ2−3とクロスオーバ像5−3との間に開口4−3aを有するマルチ開口板4−3を光軸に垂直に配置する。マルチ開口板4−3は、光軸方向の電子線20−3を捨て、光軸のまわりの4つの方向の強い電子線17−3を通す4個の小さい開口4−3aを有する。4個の開口4−3aを通過した電子線は、縮小レンズ6−3、8−3で縮小され、ステージ装置50上のウエハW上に、4個の直径100nmのマルチビームを結像させる。
電子線17−3の強度が最大の場所の中央部と開口4−3aの位置は、一般に、光軸(Z軸)を中心として所定角度ずれているので、回転レンズ3−3を設け、強い電子線17−3を図33において時計まわり方向へ回転させ、電子線17−3の強度が最大の場所の中央部と開口4−3aの位置を一致させる。また、ステージ装置50によりウエハWをY軸方向へ連続移動させながら、開口4−3aを通過した4つの強い電子線17−3をX軸方向へ走査させる。ウエハの評価を行うために、電子線17−3のX軸方向へ投影した間隔は、いずれのビーム間においても等しいことが好ましい。これは、図9の[A]を参照して説明した電子線装置の電子光学系70の場合と同様である。
回転レンズ3−3は、コンデンサレンズ2−3と同じZ軸方向位置に配置される。回転レンズ3−3は、断面がコの字型の軸対象の強磁性体のコアと、その内部に光軸の周りに巻いたコイルとから構成されており、コイルに流す電流の強さで回転料を制御できる。されにコンデンサレンズ2−3は、上極及び下極が接地され、中央電極に負の高圧を与えたユニポテンシャルレンズとして構成されている。従って、各電子線は、中央電極位置でエネルギが小さく、回転レンズの小さい磁場で回転することができる。
図34は、走査方向(X軸方向)に平行の、光軸を通るX−Y平面上の4つの強い電子線17’−3を、Y軸からの角度φ変位した位置に示している。図35に示すように、X軸方向の間隔e、f、gが互いに等しいためには、
e=cosφ−sinφ、f=2sinφ、及びg=cosφ−sinφであるから、
2sinφ=cosφ−sinφ
を満たすように、角度φを設定すれば、4つの電子線17’−3のX軸方向間隔e、f、gを等しくすることができる。
4つの電子線17’−3の角度φを調整する工程は、回転レンズ18−3により行う。回転レンズ18−3は、電子線17’−3のクロスオーバ位置に一致させて配置し、回転レンズ18−3の強度を変化させても、クロスオーバ像の倍率が変化しないようにする。
ウエハWから放出された二次電子は、対物レンズ40−3で拡大され、ウイーンフィルタ(E×Bフィルタ)23−3の手前で約4倍の拡大像を作り、E×Bフィルタで図28の右方へ偏向され、拡大レンズ12−3、13−3により、二次光学系側のマルチ開口板14−3に結像される。マルチ開口板14−3は4つの開口14−3a(開口4−3aよりも大きい)を備え、これらの近くへきた電子はすべて、その開口を通過して、検出器15−3により検出される。しかしながら、4つの一次電子線17’−3のそれぞれによりウエハ表面から発生された電子が対応する開口14−3aに入らず、隣接する開口へ入ることのないように、各開口14−3aの回転角度が各電子線17’−3の回転角度に合っている必要がある。この回転角度を合わせる工程は、拡大レンズ12−3、13−3とマルチ開口板14−3との間に配置した回転レンズ19−3により実行される。
図33に示した電子光学系70の分解能は、対物レンズ40−3の収差により決定される。この収差を小さくするため、対物レンズ40−3の近傍に磁気レンズ21−3が配置される。磁気レンズ21−3は、レンズ電界とレンズ磁界を重畳させ、収差を低減する。回転レンズ3−3のZ軸(光軸)方向位置は、静電レンズ2−3の各電極に付与される電圧を考慮し、最も低い電圧を付与される電極位置に磁場の最大値が一致するような位置として設定される。図33において、電子銃1−3から光軸方向へ放出される電子線20−3は、対応する開口がマルチ開口板4−3に設けられておらず、したがって利用されていない。
図33に示した電子光学系70において、ウエハ表面の欠陥検出は、得られた画像信号により生成される画像を標準のパターンデータと比較するか、又はダイ同士の検出画像間を比較することにより行われ、ウエハ表面の欠陥レビューは、ウエハ表面上における一次電子線の走査と同期させたモニタ上のビームの走査により得られる画像観察により行われる。また、パターン線幅測定は、ウエハ表面上における一次電子線の走査をパターンの短辺方向に行ってそのときに得られた画像に基づいて行われ、パターン電位測定は、ウエハ表面に最も近い電極に負の電位を与え、ウエハ表面の高い電位を持つパターンから放出される二次電子を選択的にウエハ側へ追い戻すことにより行われる。
上記したように、図33に示した電子光学系70は、電子銃の近傍に回転レンズを設け、電子線を光軸のまわりに回転させ、電子線強度が最大の場所の中央部と穴すなわち開口の位置のずれを解消する。これにより、電子線強度が最大の場所の中央部と穴の位置が精度良く一致される。また、4つの強い電子線をウエハ上でX軸方向へ走査する工程において、回転レンズを設けて4つの電子線を回転させることにより、4つの電子線のX軸方向へ投影した間隔をいずれのビーム間においても等しくするように調整することができる。この回転レンズを、電子線のクロスオーバ位置に一致させて配置することにより、回転レンズの強度を変化させても、クロスオーバ像の倍率及び結像条件に影響を与えないようにすることが可能である。
さらに、対物レンズの近傍に磁気レンズを備え、このレンズを調整することにより、光学系の分解能を決定する対物レンズの収差を小さくすることができる。この磁気レンズは、ウエハ上の開口像の近傍に配置されるため、クロスオーバ像の結像条件又は開口像の結像条件に影響を与えることなく、電子線の回転を制御できる。そして、レンズ電界とレンズ磁界を重畳させることにより、対物レンズの収差を低減することができる。さらにまた、二次光学系のマルチ開口板の検出用の開口の回転角度と二次電子線の回転角度を、拡大レンズ12−3、13−3と検出用の開口の間に配置した回転レンズ19−3により、調整して一致させることができるので、二次電子線による像と検出開口の回転方向を一致させることができ、クロストークを小さくすることができる。
なお、マルチビームの数が4個に限定されないことは、言うまでもない。
図36は、本発明に係る電子線装置の他の実施形態を示している。この実施形態は、特に、一次光学系におけるマルチビームを生成するためのマルチ開口板の構成、電子線の強度の時間変動を実時間で補正可能である点、並びに、マルチ開口板のバラツキや二次電子の透過率を増幅器の利得を調整することにより補正可能である点に特徴を有している。
図36に示した電子線装置は、一次光学系10−4と、二次光学系20−4と、検査部30−4とを備えている。一次光学系10−4は、電子線を放出する電子銃11−4と、電子銃11−4から放出された電子線を集束する静電レンズ12−4と、複数の小開口が形成されたマルチ開口板(この実施形態では、電極として機能するので、開口板電極と称する)13−4と、電子線を集束する静電中間レンズ14−4と、静電偏向器15−4と、E×B分離器兼偏向器16−4と、静電偏向器17−4と、静電対物レンズ18−4とを備え、それらは、図36に示したように、電子銃11−4を最上部にして順に、しかも電子銃から放出される電子線の光軸OがウエハWの表面(試料面)に鉛直になるように、配置されている。
電子銃11−4は、この実施形態では、熱電界放出が可能なように、先端を尖らせたタングステンの針にZrをコーティングした単一のカソードを有する熱電界放出電子銃である。なお、カソードのZrのコーティングは、その後、酸素雰囲気中で処理されてZrOに変化し、仕事関数が下がる。この電子銃11−4から放出される電子線の強度分布は、図37にグラフCLで示したように、中央(光軸位置)が最大で、光軸から離れるにしたがって軸対称に減少する形状を有している。
光軸から遠く離れても強度があまり減少しない電子銃として、LaBカソードを持った電子銃を用いた方が良い場合もあるが、この場合は、電子銃のエミッタンスが大きく取れるため、多くのビームを作れる。また、この電子銃は、空間電荷制限領域で使う方が、がショット雑音が小さくて有利である。
開口板電極13−4は、一次光学系10−4の像面湾曲を補正するために、図36及び図38の「A」に示したように、中央部分131−4が周囲の他の部分132−4よりも電子銃11−1側に突出し、かつ四隅の部分134−4が反電子銃側に突出した3段の段付き構造になっている。開口板電極13は、例えば、Ta、Pt等の高融点金属でつくられており、この例では、図38に示したように、3行3列で合計9個の開口すなわち小孔133−4a〜133−4iが形成されている。中央部分131−4には孔133−4aが形成され、周囲の部分132−4には孔133−4(133−4b、133−4c、133−4d及び133−4g)が、更に四隅の部分134−4には孔133−4(133−4e、133−4f、133−4h及び133−4i)が形成され、図38の[A]に示したように配置されている。これらの孔の数は、9個に限定されるものではない。これらの孔は、例えば、2μmφの円形で、隣接する孔の間のピッチは1000μmであるが、大きさ及びピッチは任意に選択できる。ただし、孔133−4b、133−4c、133−4d及び133−4gは、光軸を中心とした同一の円周上に配置され、孔133−4e、133−4f、133−4h及び133−4iは同一の円周上にされている。そして、この段付き構造のずれ量λは、一次光学系の像面湾曲に相当する値であり、光軸O上にある孔133−4aが、他の孔133−4b、133−4c、133−4d及び133−4gより像面湾曲に相当する値λで電子銃側に接近しており、かつ孔133−4b、133−4c、133−4d及び133−4gが孔133−4e、133−4f、133−4h及び133−4iより像面湾曲に相当する値λで電子銃側に接近している。なお、図38の[A]に示す開口板電極13−4では、中央部分131−4が円形に突出させているが、方形に突出させてもよく、また中央部分131−4、部分132−4を部分134−4に対して円形に突出させてもよい。更に、開口板電極は、図38の[B]において13’−4で示したように、中央部が中高になる曲面形状にしてもよい。この場合、図38の[A]の開口板電極と同様に、孔133−4b、133−4c、133−4d及び133−4gは光軸を中心とした同一の円周上に配置され、孔133−4e、133−4f、133−4h及び133−4iは同一の円周上にされている。そして、光軸Oにある孔133−4aが他の孔133−4b、133−4c、133−4d及び133−4gより像面湾曲に相当する値λで電子銃側に接近しており、かつ孔133−4b、133−4c、133−4d及び133−4gが孔133−4e、133−4f、133−4h及び133−4iより像面湾曲に相当する値λで電子銃側に接近している。
静電偏向器15−4及び17−4は、この実施形態では、8極静電偏向器である。8極静電偏向器15−4及び17−4、静電レンズ12−4、14−4及び18−4自体は公知の構造のものであるから、それらの詳細な説明は省略する。E×B分離器すなわちE×B型偏向器16−4については、図10に示した。また、開口板電極に形成される小孔は、3行3列に限定されるものではなく、図39に開口板電極13”−4として示したように、孔135−4a〜135−4dの4個の円形の小孔とするか、或いは、136−4a及び136−4bの2個の円形の小孔とすると、各小孔を通過する電子線のビーム強度をほぼ同じにできる。また、光軸からの距離がそれぞれ等しいため、像面湾曲も補正する必要がない。
図36に戻って、二次光学系20−4は、一次光学系のE×B型偏向器16−4近くの焦点面FP近傍で光軸Oに対して所定の角度で傾斜している光軸O’に沿って配置された偏向レンズ21−4及び22−4と、マルチ開口板23−4とを備えている。マルチ開口板23−4には、開口(図36では、3つの開口のみを図示)が、一次光学系のマルチ開口板13−4の孔に対応して、3行3列で9個形成されている。電子光学系70は、マルチ開口板23−4の開口毎に、検出器31−4(図36では、31−4a、31−4b、31−4cのみ図示)を有している。それぞれの検出器31−4にはそれぞれ増幅器32−4(図36では32−4a、32−4b、32−4cのみを図示)を介して、信号処理部33−4(図36では33−4a、33−4b、33−4cのみを図示)が接続されている。各増幅器には、利得調整器34−4(図36では34−4a、34−4b、34−4cのみを図示)がそれぞれ設けられ、その増幅器の利得或いはオフセット値を調整する。利得調整器34−4は、共通の増幅器35−4を介して開口板電極13−4に電気的に接続され、該開口板電極を流れる電流の変化の信号を、利得調整器34−4に送る。これは、熱電界放出電子銃11−4から放出される電子線のビーム強度が時間的に変動するので、開口板電極13−4をアースから絶縁しておき、ビーム電流を測定してビーム電流変動の測定値を二次電子信号の増幅率すなわち利得或いはオフセット値に実時間でフィードバックし、ビーム電流の変動が信号に影響を与えないようにするためである。なお、開口板電極に形成される孔の数は9個に限定されるものではないことは、上記した通りである。この場合、当然ながら、マルチ開口板23−4に形成される開口、検出器、増幅器等の数も、それに合わせた数及び配置となる。また、開口の大きさは、2μmφの円形で、隣接する開口間のピッチは1200μmである。開口板電極の孔及び開口板の開口は、円形だけでなく、方形に形成してもよい。
次に、図36に示した電子線装置の動作について説明する。単一のカソードを有する電子銃11−4から放出された電子線は、コンデンサレンズすなわち静電レンズ12−4により集束され、開口板電極13−4を照射する。電子線は開口板電極13−4に形成された複数の小孔133−4を通過して試料に向かって進み、途中に設けられた静電中間レンズ14−4及び静電対物レンズ18−4により縮小されてウエハWの表面(試料面)に結像する。ウエハ面からは一次電子の照射により二次電子が放出され、その二次電子は、静電対物レンズ18−4とウエハWとの間に印加された、二次電子に対する加速電界で加速、収束され、比較的小さな口径のビームとなって静電対物レンズ18−4を通過し、一次ビームの焦点面FPの手前でほぼ焦点を結ぶ。二次電子は、焦点面FPの位置において、E×B型偏向器16−4により光軸O’に沿って移動するように偏向される。偏向された二次電子は、静電レンズ21−4に入射する。静電レンズ21−4は、ウエハ面で2eVの電子に対してレンズ21−4の手前に結像するように励磁されている。二次電子は、更に静電レンズ22−4で拡大されて検出用のマルチ開口板23−4において結像する。なお、開口板電極13−4の各孔133−4を通ったビームによりウエハ面から放出された二次電子は、開口板23−4の対応する開口を通して、対応する検出器に導かれる。
上記のようにしてマルチ開口板23−4で形成された像は、該開口板の各開口を介して、該開口板の背面に開口毎に配置された検出器31−4により検出され、これらの検出器31−4によって、電気信号に変換される。検出器からの信号は増幅器32−4によって増幅され、それぞれ対応する信号処理回路33−4に送られ、それらの信号処理回路により、ウエハ面の欠陥検査、形成されたパターンの線幅の測定、欠陥のレビュー等が行われる。そして、8極静電偏向器15−4及び17−4により、一次光学系10−4を進む複数の電子線のビームでウエハ面の所定の区域を走査させて、その区域に付いての検査等を行なう。この場合、8極静電偏向器の偏向感度比を公知の方法により最適化することにより、偏向軌道が光軸上のZ軸方向位置を静電対物レンズ18−4の主面近傍のどの位置にするかによって、大きく偏向した時のビームボケを最小にすることができる。ウエハ面全体に付いてビームで走査するには、上記区域内でのビームの走査とウエハ面のX−Y方向の移動とを組み合わせて行う。
増幅器34−4により信号を増幅する際には、開口板電極13−4の小孔を通過した電子線量の不均一を補正するため、利得調整器により個々の増幅器毎に利得或いはオフセット値を調整する。この場合、電子線の照射により開口板電極を流れる電流の時間変動を測定し、その測定結果を利得調整器に入力させて利得或いはオフセット値の調整に使用することが可能である。なお、段付き形状の開口板電極13−4と、利得或いはオフセット値を調整可能な増幅器とを組み合わせて使用した例について説明したが、平坦の開口板電極と利得を調整可能な増幅器とを組み合わせて使用してもよい。
図36に示した電子線装置を使用してウエハ面の欠陥検査、パターンの線幅の測定、欠陥のレビュー等を行うには、まず、開口板でビームを形成する小孔の大小、及び二次電子透過率の大小を予め補正するため、パターンが形成されていないウエハを所定の位置にセットして電子線装置を動作させる。そして、各増幅器32−4の出力が同じになるように、各利得調整器34−4により、それぞれの増幅器の利得とオフセット値を補正する。次に、検査すべきウエハをセットし、電子線装置を上述のように動作させて、ウエハ面から放出された二次電子を検出器により検出し、増幅器により増幅された電気信号を信号処理回路33−4で処理する。この信号処理回路を、処理した信号を例えば図示しない比較回路で記憶部に記憶されている設計通りのパターンに関する参照データと比較することによって、ウエハに形成されたパターンの欠陥の有無や欠陥位置を検出する欠陥検出回路に置き代えることによって、欠陥検査を行うことができる。また、信号処理回路を線幅測定装置に置き代えれば、ウエハ面に形成されたパターンの線幅を測定できる。更に、信号処理回路に更にCRT等のモニタを接続すれば、欠陥のレビューが可能になる。更にまた、一次光学系のどこかにビームをブランキングする機能を持たせれば、EBテスタとして使用することもできる。
図36に示した電子線装置によれば、電子銃からの電子線の強度の時間変動を実時間で補正することができるので、検査を正確に行うことができる。また、マルチ開口板のバラツキや二次電子の透過率を、増幅器の利得を調整することにより補正することができるので、各検出器からの出力にバラツキがない。
図40は、本発明に係る電子線装置に適用可能な電子光学系70を示している。この実施形態においては、図40に示すように、電子銃1−5から放出された電子線を3段のコンデンサレンズ3−5、5−5、6−5で光源像を拡大させて最終レンズ8−5の入射瞳上に結像させる(図面中では、実線16−5で示す)。コンデンサレンズ3−5のウエハW側には、光軸を中心にした同一円周上に等間隔で4つの穴を設けたマルチ開口板4−5が設けられ、それらの穴を通過した電子線は、2つのコンデンサレンズ5−5、6−5と対物レンズ8−5で縮小されて、ウエハW上に結像される(破線14−5で示す)。対物レンズ8−5の電子銃側にはE×B分離器7−5が設けられており、一次電子ビームを進行方向の右へ10°、二次電子ビームを進行方向の右に30°偏向させる。すなわち、一次電子線のE×B分離器7−5の電界による偏向量は磁界による偏向量の半分に設定されている。電界による偏向色収差は、磁界による偏向色収差の半分であるから、電界による偏向色収差と磁界による偏向色収差は互いに打ち消し合い、偏向色収差をほぼ0にすることができる。さて、ウエハW上の4つの一次電子ビーム照射点から放出される二次電子は、拡大レンズ10−5の手前に4つの拡大像を形成し、さらに該拡大レンズ10−5で拡大され、4つの穴を有するマルチ開口板11−5に像を結ぶ(一点鎖線12−5で示す)。マルチ開口板の各穴の背後には検出器13−5が配置され、結像された二次電子像を検出し、電子信号として出力する。
E×B分離器7−5の偏向中心が一次電子ビームの結像点ではないので、一次電子ビームに偏向色収差が大きくなる恐れがあり、このため、磁界での偏向量を電界での偏向量の2倍にすることにより、偏向色収差を小さくしている。具体的には、電界で10°左へ偏向し、磁界で右へ20°偏向し、差し引き10°右へ偏向する様にしている。これに対応し、ウエハWは、入射する一次電子ビームを垂直に受けるために、10°傾けて配置してある。もちろん、ウエハを水平にして一次光学系を10°傾けててもよい。
電子銃内部のカソード2−5は、熱電界放出電子銃のカソードで、光軸方向は<100>方位で、<310>又は側面の<100>方位の4つの方向に光軸方向より強いビーム放出があるので、<100>方位の放出(emission)は捨て、<310>または側面の<100>方位の放出のみ下へ通すようにする。<310>または側面の<100>方位の放射は十分広い方向に放出されるので、コンデンサレンズ3−5の励起を変え、対物レンズ8−5上のクロスオーバ寸法を大きく変えても、マルチ開口板4−5の各穴を照射するビーム電流はほとんど変らず、ビーム電流を不変にすることができる。
コンデンサレンズ5−5、6−5をズームレンズ動作させ、即ち、クロスオーバ結像条件及び開口像結像条件を変えないで、クロスオーバの拡大率を可変としてビーム寸法やビーム電流を調整してもよい。また、2つのレンズをズームレンズにすることにより、ビーム間隔を調整するようにしてもよい。
図40に示した電子光学系70によれば、一次光学系を4つのレンズで構成し、二次光学系を1つのレンズで構成しているので、構造が簡単であり、その制御、すなわち、ビーム間隔、ビーム寸法(径)、ビーム電流の制御が容易になる。クロスオーバは、すべてのレンズによる拡大により形成され、開口像は総てのレンズによる縮小により形成されるので、光学系が簡単になる。また、二次光学系において、対物レンズとE×B分離器後の1つのレンズで十分な拡大率が得られる。
マルチ開口板4−5及び11−5の開口は、対応して配置される必要があるが、4個に限定されず任意の複数個に設定可能であることは、勿論である。
図41は、本発明に係る電子線装置に適用可能な電子光学系70のさらに別の実施形態を示している。この実施形態は、レンズ段数を極力減少させ、簡単化したものである。レンズ段数が少ないため、一次電子線と二次電子線の合焦及び軸合わせを容易に行うことができ、しかも、電子線間のクロストークを低減することができるものである。
図41に示した電子光学系70において、電子銃1−6の内部には、単結晶LaBカソードが、円周上に突起が並んだ形状に加工され配置されている。電子銃から放出される電子線は、コンデンサレンズ3−6により集束され、マルチ開口板4−6に照射される。マルチ開口板4−6は、同一円上に設けられた9個の開口を有しているおり、X軸上に投影した場合の開口の相互の間隔が等しくなるように設定されている。これは、図8に示した電子線装置の電子光学系70に関連して図9の[A]に示した場合と同様である。また、後述する二次光学系のマルチ開口板14−6の開口及び複数の検出器15−6の配置関係も、図9の[A]に示した場合と同様である。
電子銃1−6から放出された電子線は、マルチ開口板4−6の開口を通過してマルチビーム化され、縮小レンズ5−6により点7−6に結像され、さらに、対物レンズ10−6を介してウエハW上に結像される。対物レンズ10−6は、中央電極に正の高電圧が印加されるユニポテンシャルで、これにより、複数の一次電子線すなわちマルチビームは、ウエハWに射照されるときに減速される。
一方、マルチビームの照射によりウエハから放出される二次電子は、対物レンズ10−6が作る電界で加速され、静電偏向器8−6及び電磁偏向器9−9からなるE×Bフィルタにより二次光学系側へ偏向され、拡大レンズ13−6を介して二次光学系用のマルチ開口板14−6に合焦される。点線18−6は、マルチビームの照射により放出された二次電子のうち、ウエハから垂直に出た二次電子の軌道であり、この二次電子がクロスオーバを作る位置に、二次電子の開口を決めるアパーチャ板20−6を設けている。これにより、大きい収差のビーム取り除くことができる。
図41の電子光学系70において、一次電子線と二次電子線の両方に共通する光路は、E×BフィルタとウエハWの間で、レンズは対物レンズ10−6しか存在しない。従って、一次電子線及び二次電子線に関するレンズの合焦やレンズの軸合せは簡単である。この点は、図8等に示した電子光学系においても同様である。即ち、図41の電子光学系70に関して言えば、対物レンズ10−6は、一次電子線の合焦条件のみ満たせばよく、二次電子線の合焦は、例えば、マルチ開口板14−6、開口アパーチャ板20−6の位置を機械的に移動させることにより、行うことができる。
対物レンズ10−6への軸合せは、軸合せ装置19−6により行えば二次電子の軸を狂わせないで行うことでき、拡大レンズ13−6への軸合せは、E×BフィルタすなわちE×B分離器を、一次電子線のウィーン条件を満たしながら偏向量を調整すれば、一次電子線の軸に影響を与えないで、レンズ13−6への軸合せが可能である。
ウエハW上のマルチビーム照射点から放出された二次電子が合焦されるマルチ開口板14−6でのボケは、市販のソフトウェアでシミュレーションを行えば容易に計算できる。また、ウエハ上でのマルチビームのビーム間隔が決まっている場合には、マルチ開口板14−6でのボケ量を、ウエハWから該開口板14−6迄の拡大率で割算することにより、ウエハ上でのボケが算出できる。このボケ量がビーム間隔より小さくなるように、開口アパーチャ板20−6の口径を決めてもよい。他の方法としては、開口アパーチャ板20−6の口径を一定の値とし、ウエハ上での値に換算した二次電子像のボケよりもマルチビーム間隔を大きくしてもよい。
図41に示した電子光学系70においては、他の実施形態の電子光学系と同様に、一次電子線を減速するので収差が小さくなり、細く絞ることができる。また、二次電子を対物レンズで加速するので、光軸に対して大きい角度で放出された二次電子も対物レンズで細いビーム束に絞られ、よって、二次光学系の開口を小さくすることができる。
図42は、本発明に係る電子線装置に適用可能な電子光学系70の別の実施形態を示している。この実施形態においては、電子線をウエハWの表面に照射する一次光学系10−7と、ウエハWから放出された二次電子を検出面に結像する電子線結像光学系としての二次光学系20−7と、二次電子を検出する検出系30−7とを備えている。同図において、電子銃11−7から放出された電子線(一次電子線)は、静電レンズからなるコンデンサレンズ12−7により集束されて、点COにおいてクロスオーバを形成する。このクロスオーバ点COに、NAを決める開口141−7を有する絞り14−7が配置されている。
コンデンサレンズ12−7の下方には、複数の開口を有するマルチ開口板13−7が配置され、これによって複数の一次電子線が形成される。マルチ開口板13−7によって形成された一次電子線のそれぞれは、静電レンズからなる縮小レンズ15によって縮小されて、E×BフィルタすなわちE×B分離器16−7の偏向主面DSに合焦される。そして、点DSで合焦した後、静電レンズからなる対物レンズ17−7によってウエハWに合焦される。マルチ開口板13−7から出た複数の一次電子ビームは、縮小レンズ15−7と対物レンズ17−7との間に配置された偏向器により、同時にウエハWの表面上を走査するよう偏向される。
縮小レンズ15−7及び対物レンズ17−7の像面湾曲収差を補正するため、図42に示したように、マルチ開口板13−7は、中央部から周辺部に向かうにしたがってコンデンサレンズ12からの距離が大きくなるように、段が付けられた構造を有している。
合焦された複数の一次電子線によって、ウエハW上の複数の点が照射され、照射されたこれらの複数の点から、二次電子が放出される。放出された二次電子は、対物レンズ17−7の電界に引かれて細く集束され、E×B分離器16−7の手前の点FPにおいて焦点を結ぶ。これは、各一次電子線がウエハWの表面上で500eVのエネルギを持っているのに対して、二次電子線は数eVのエネルギしか有していないためである。ウエハWから放出された複数の二次電子線は、E×B分離器14−7により、一次光学系10−7の光軸の外方向に偏向されて一次電子線から分離され、二次光学系20−7に入射する。
二次光学系20−7は、静電レンズからなる拡大レンズ21−7、22−7を備えており、これらの拡大レンズ21−7、22−7を通過した二次電子線は、二次光学系のマルチ開口板23−7の複数の開口を通って複数の検出器31−7に結像する。なお、検出器31−7の前に配置されたマルチ開口板23−7に形成された複数の開口と、一次光学系のマルチ開口板13−7に形成された複数の開口とは1対1に対応し、また、複数の検出器31−7もこれらに1対1に対応する。
それぞれの検出器31−7は、検出した二次電子線を、その強度を表す電気信号に変換する。こうして各検出器から出力された電気信号は増幅器32−7によってそれぞれ増幅された後、画像処理部33−7によって受信され、画像データに変換される。画像処理部33−7には、一次電子線を偏向させるための走査信号が供給され、該走査信号に基づいて電気信号を処理することにより、画像処理部33−7は、ウエハWの表面を表す画像データを形成することができる。このようにして得られたウエハの画像を標準パターンと比較することにより、ウエハの欠陥を検出することができる。
また、レジストレーションによりウエハを一次光学系の光軸の近くへ移動させ、そしてラインスキャンすることによって、線幅評価信号を取り出し、これを適宜に校正することにより、ウエハ上のパターンの線幅を測定することができる。
一次光学系のマルチ開口板13−7の開口を通過した一次電子線をウエハの表面上に合焦させ、ウエハから放出された二次電子を検出器31−7に結像させる際に、一次光学系及び二次光学系で生じるコマ収差、像面湾曲、及び視野非点という三つの収差による影響を最小にするように特に配慮する必要がある。また、複数の一次電子線の照射点の間隔と二次光学系との関係については、一次電子線の間隔を、二次光学系の収差よりも大きい距離だけ離せば、複数の電子線の間のクロスストロークをなくすことができる。
図43は、本発明に係る電子線装置に適用可能な電子光学系70のさらに別の実施形態を示している。この実施形態においては、E×B分離器による偏向色収差を無くすことができるようにしたものである。
すなわち、E×B分離器を用いた電子光学装置においては、E×B分離器が一次光学系に対して収差を持つことが避けられず、特に偏向色収差が大きい等の問題がある。この偏向色収差により、ウエハ表面で所定のビーム径となるように一次電子線を絞ることができない。
図43に示した電子光学系70は、一次光学系20−8、二次光学系30−8、及び検出器15−8を含む。一次光学系20−8は、複数の一次電子線をウエハWの表面(試料面)に照射する照射光学系であり、一次電子線を放出する電子銃1−8、二次元的に配列された複数の小孔4aを有するマルチ開口板4−8、電子銃1−8から放出された一次電子線を集束する静電レンズ3−8、5−8、7−8、静電偏向器16−8、E×B分離器9−8、開口絞り17−8、静電レンズである対物レンズ10−8を備えている。
E×B分離器は、電磁偏向器による偏向角が静電偏向器の2倍に設計されている。したがって、E×B分離器9−8により、一次電子は図の左へαだけ偏向され、二次電子は右へ3αだけ偏向される。一次光学系をα(例えば、5°)傾けて設置する問題点があるが、一次電子線と二次電子線との分離は4α(例えば、20°)となって容易に分離可能であり、E×B分離器による偏向色収差が一次電子線で発生しない利点がある。
一次光学系20−8は、図43に示すように、電子銃1−8を最上部にして、該電子銃から放出された一次電子線の光軸PがウエハWの表面に垂直になるように配置される。E×B分離器9−8で一次電子線に偏向色収差が発生しないため、一次電子線を細く絞ることができる。
二次光学系30−8は、一次光学系20−8のE×B分離器の近傍で光軸Pに対し傾斜する光軸Qに沿って配置される静電レンズからなる拡大レンズ12、及び二次元的に配列される複数の開口すなわち小孔14−8aを有するマルチ開口板14−8を備える。検出器15−8は、小孔14−8a毎に検出要素15−8aを備える。マルチ開口板14−8の小孔14−8aは、一次光学系のマルチ開口板4−8の小孔4−8aの数及び配列に合わせた数及び配列にされる。複数の一次電子線の間のクロストークを無くするため、複数の一次電子線のウエハ表面の照射位置の間隔を、二次光学系の収差(対物レンズの二次電子に対する収差)より大きい距離とする。
図44〜図46は、E×B分離器の図43の電子光学系70での作用原理を説明する斜視図であり、図44は全体概略図、図45は一次電子線に作用する力を示す概略図、図46は二次電子線に作用する力を示す概略図である。図44に示すように、磁界をかける磁極31Bと電界をかける電極31Eを90°ずらして配置すると、一次電子線20−8aに対しては、図45に示すように、磁界による力FBと電界による力FEとが逆方向に働いて、両者の差の分だけビーム軌道は曲げられる。すなわち、静電偏向器による偏向角をα、電磁偏向器による偏向角を2αとすると、αだけ偏向される。一方、二次電子線30−8aに対しては、図46に示すように、磁界による力FBと電界による力FEとが同一方向に働いて、互いに強調されるので、二次電子線30−8aは、大きく曲げられ、上記の場合では、3αだけ偏向される。この構成は、荷電粒子ビームを加速電圧により偏向させるウイーンフィルタと同じであるが、本実施形態では、電磁プリズム(ビームスプリッタ)として機能させている。
図43に戻り、E×B分離器9−8を通過した一次電子線は、開口絞り17−8に達し、この開口絞り17−8の位置で、クロスオーバ像を形成する。開口絞り17−8を通過した一次電子線は、対物レンズ10−8によるレンズ作用を受けて、ウエハWに達し、細く集束された状態でウエハ表面を照射する。
一次電子線が照射されたウエハからは、二次電子線30−8として、ウエハの表面形状、材質分布、電位の変化などに応じた分布の二次荷電粒子すなわち二次電子、錯乱電子、及び反射荷電粒子(反射電子)が放出され、いずれも仕様によっては利用できるが、ここでは、二次電子を選択した場合について述べる。
放出された二次電子は、対物レンズ10−8の作用を受け、対物レンズ10−8の焦点位置に配置される開口絞り17−8を通過し、E×B分離器9−8に達する。E×B分離器9−8によって形成される互いに直交した磁界Bと電界Eとは、ウエハWからの二次電子がウイーン条件を満たすようには設定されていない。これにより、開口絞り17−8を通過した二次電子は、このE×B分離器9−8により偏向され、複数段のレンズ12−8、13−8へ向う。
図43に示した電子光学系70では、一次電子線及び二次電子線の両方の軌道を曲げるE×B分離器を用いたが、それに限定されずに、例えば、一次電子線の軌道を直進させ、二次電子線の軌道を曲げる電磁プリズムを用いてもよい。二次光学系のマルチ開口板14−8には、多数の開口14−8aが設けられる。開口14−8aは、対物レンズ10−8及びレンズ12−8、13−8に関してウエハWと共役である。E×B分離器により偏向された二次電子は、更に、複数のレンズ12−8、13−8、及び開口14−8aを経て、検出器15−8へ到達し、到達した二次電子の強度に対応する電気信号に変換される。
図47は、本発明に係る電子線装置を示している。この実施形態においては、電子銃1−9のカソード2−9から放出された電子線は、コンデンサレンズ3−9によって集束されて点5−9にクロスオーバを形成する。コンデンサレンズ3−9の下方には、複数の開口4−9aを有するマルチ開口板4−9が配置され、これによって複数の一次電子線が形成される。マルチ開口板4−9によって形成された一次電子線の各々は、縮小レンズ6−9によって縮小され、対物レンズ8−9によってウエハWに合焦される。マルチ開口板4−9から出た複数の一次電子線は、縮小レンズ6−9と対物レンズ8−9との間に配置された偏向器19−9、20−9により、同時にウエハWの面上を走査するように偏向される。
縮小レンズ6−9及び対物レンズ8−9の像面湾曲収差の影響を無くすために、マルチ開口板4−9の小開口4−9aは、円周上に配置され、それら開口のX軸方向へ投影した点が等間隔に設定されている。これは、第1の実施形態の電子線装置70について図9の「A」を参照して説明した場合と同様である。合焦された複数の一次電子線によって、ウエハの複数の点が照射され、照射されるこれらの複数の点から放出される二次電子線は、対物レンズ8−9の電界に引かれて細く集束され、E×B分離器7−9で偏向され、二次光学系を経て複数の検出器13−9により検出される。
二次光学系は、拡大レンズ10−9、11−9を有する。これらの拡大レンズ10−9、11−9を通過した二次電子線は、マルチ開口板12−9の複数の開口12−9aに結像する。二次光学系のマルチ開口板12−9の複数の開口12aと一次光学系のマルチ開口板4−9の複数の開口4−9aは、1対1に対応する。各検出器13−9は、検出した二次電子線をその強度を表す電気信号へ変換し、該電気信号は、増幅器14−9により各々増幅されA/D変換された後、画像処理部15−9に伝達されて、画像データへ変換される。画像処理部15−9は、更に一次電子線を偏向させるための走査信号を供給され、ウエハの表面を表す画像を形成する。
画像処理部15−9において形成された試料表面を表す画像を標準パターンと比較することにより、ウエハの欠陥を検出することができる。またレジストレーションによりウエハの被評価パターンを一次光学系の光軸の近くへ移動させ、ラインスキャンすることによって線幅評価信号を取出しこれを適宜に校正することにより、パターンの線幅を測定することができる。
一次電子線をウエハWの表面上へ合焦させ、ウエハから放出される二次電子線を検出系12−9、13−9に結像させる際は、一次光学系で生じる歪、軸上色収差、及び視野非点という3つの収差による影響を最小にすることが望ましい。複数の電子線の間隔と二次光学系の関係において、一次電子線の間隔の最小値を二次光学系の収差よりも大きくすることにより、検出される複数の電子線の間のクロストークを低減することができる。
さらに、図47の電子線装置においては、二次電子の検出器13−9及び増幅器14−9からなる信号経路の後段に、信号経路毎に、スイッチ(単極双投スイッチ)16−9、2つのメモリ(メモリ0及びメモリ1)17−9、及びスイッチ(双極単投スイッチ)18−9が接続され、これらを介してデジタル信号がCPU15−9に供給される。複数のスイッチ16−9は同時に切り替えられ、また、複数のスイッチ18−9も同時に切り替えられ、さらに、これら2組のスイッチは図示の状態から同時に切り替えられる。したがって、図示の状態で、i回のラスタ走査に対応しているデジタル信号をメモリ0に記憶している間に、i−1回のラスタ走査時に得られてメモリ1に記憶されているデジタル信号を該メモリ1からCPU15−9に転送し、i回のラスタ走査が終了した時点で2組のスイッチを切り替え、i回のラスタ走査で得られてメモリ0に記憶されている信号をCPU15−9に供給して処理すると同時に、i+1回のラスタ走査で得られる信号をメモリ1に記憶する。そしてまた、i+1回のラスタ走査が終了すると、2組のスイッチを反転させる。この結果、クロック周波数500MHz〜1GHzの高速走査を行っても、二次電子線の強度に対応する信号を忠実に転送することができる。
図48は、本発明に係る電子線装置に適用可能な電子光学系70の他の実施形態を示している。この実施形態では、カソード31−9、ウエーネルト32−9、アノード33−9の電極を備える電子銃30−9、電子銃30−9から放出される一次電子線をウエハWへ結像させる一次光学系、及びウエハから発生される二次電子を検出器38−9へ案内する二次光学系を含む。一次光学系において、電子銃30−9から放出される一次電子線は、軸合せ偏向器34−9、35−9でコンデンサレンズ36−9に軸合せされ、コンデンサレンズ36−9で集束され、対物レンズ41−9でウエハに合焦され、静電偏向器37−9及び電磁偏向器29−9で2段偏向され、ウエハ上を走査する。
ウエハ上の一次電子線の走査点から発生する二次電子は、対物レンズ41−9の中央電極49−9の正の高電圧で加速され、細く集束され対物レンズを通過する。対物レンズ41−9を通過した二次電子は、E×B分離器29−9、40−9で、図51の右方へ偏向され、検出器38−9で検出される。この場合、コンデンサレンズ36−9及び対物レンズ41−9が光学系の外径寸法を決める部品となるが、これらのレンズ36−9、41−9の外径寸法を小さくすることにより、この電子線装置の電子光学系70の鏡筒を小外径とすることができる。
鏡筒の外径が小さい場合、そのような鏡筒を1枚のウエハ上に複数個配置することができるので、複数の鏡筒により複数の電子線で同時に1枚のウエハに画像形成し評価することにより、高スループットでウエハの評価を行うことができる。
図49〜51は、本発明に係る電子線装置の、電子光学系を複数配置した場合の実施形態を説明するための説明図である。
図49に示した実施形態においては、単体の電子光学系の鏡筒71を4筒×2列に配置している。これは、コンデサレンズ及び対物レンズ等のサイズを小さくして鏡筒の外形サイズを小さくすることによって実現することができるが、これを、図48に示した電子光学系を一例として説明する。
図48に示した電子光学系70において、コンデンサレンズ36−9及び対物レンズ41−9を軸対称レンズとして構成し、これらのレンズの外径寸法を小さくするために、コンデンサレンズ36−9を、一体のセラミックスの円柱43−9から上部電極44−9、中央電極45−9、下部電極46−9を削出し、削出されたセラミックス表面に金属をコーティングして製造する。対物レンズ41−9も同様に、一体のセラミックスの円柱47−9から上部電極48−9、中央電極49−9、下部電極50−9を削出し、削出されたセラミックス表面に金属をコーティングして製造する。
上記の製造方法により、各レンズの外径寸法を40mmφ以下にすることができ、8インチのウエハ表面に、図49に示すように、鏡筒71を4筒×2列に配置することができる。セラミックス表面にコーティングする金属材料は、仕事関数の大きい白金とすることによって電極間の小さい間隔に高い電圧を印加できることがわかった。この結果、軸上色収差を小さくでき小寸法のビームで大電流をうることができた。なお、図48において、26−9で示す部分は、コンデンサレンズ36−9の中央電極45−9に電圧を与えるための電圧導入端子である。また、図49において、38−9は、図48に示した検出器を示している。
図48に示した電子光学系だけでなく、先に説明した任意の実施形態の電子光学系においても、コンデンサレンズ及び対物レンズを図48に示した構造にすることにより、複数の鏡筒を同時にウエハ上に配置し検査することができる。
図50に示した実施形態は、4個の単体の電子光学系の鏡筒71を一列に配置した例であり、この例では、各鏡筒71の電子光学系において、一列の7個のマルチビームによりウエハWを照射する形態を示している。したがって、28個の電子線によりウエハを走査することができる。ウエハ全体を走査するために、ステージ装置(不図示)により、ウエハはX軸方向に連続移動され、Y軸方向にステップ移動される。
図51に示した実施形態は、6個の単体の電子光学系の鏡筒71を2行3列に配置して例である。この例では、各鏡筒71の電子光学系において、3行3列のマルチビームによりウエハWを照射する例を示している。したがって、同時に54個の電子線でウエハを走査できる。
このように、電子光学系を複数個配置し、各光学系において、ウエハ表面を照射するマルチビーム及び対応する複数の検出器を設けることにより、検査工程のスループット(単位時間当たりの検査量)を大幅に高めることがきる。
上記図1に関連して説明したように、検査されるウエハは、大気搬送系及び真空搬送系を通して、超精密のXYステージ上に位置合わせ後、静電チャック機構等により固定され、以後、図52の手順に従って欠陥検査等が行われる。図52に示すように、まず、光学顕微鏡により、必要に応じて各ダイの位置確認や各場所の高さ検出が行われ、データが記憶される。光学顕微鏡は、この他に欠陥等を監視したい所の光学顕微鏡像を取得し、電子線像との比較等にも使用される。次にウエハの種類(どの工程後か、ウエハのサイズは20cmか30cmか等)に応じたレシピの情報を装置に入力し、以下、検査場所の指定、電子光学系の設定、検査条件の設定等を行なった後、画像取得を行ないながら、リアルタイムで欠陥検査を行なう。セル同士の比較、ダイ比較等が、アルゴリズムを備えた高速の情報処理システムにより検査が行なわれ、必要に応じて、検査結果をCRT等に出力したり、又は記憶装置に格納する。欠陥には、パーティクル欠陥、形状異常(パターン欠陥)、及び電気的(配線又はビア等の断線及び導通不良等)欠陥等が有り、これらを区別したり欠陥の大きさや、キラー欠陥(チップの使用が不可能になる重大な欠陥等)の分類を、自動的にリアルタイムで行うこともできる。電気的欠陥の検出は、コントラスト異状を検出することで達成される。例えば、導通不良の場所に電子線照射(500eV程度)すると、通常正に帯電し、コントラストが低下するので、正常な場所と区別ができる。この場合の電子照射装置とは、通常、検査用の電子線照射装置以外に、電位差によるコントラストを際立たせるために別途設けた、低電位のエネルギの電子線発生装置(熱電子発生、UV/光電子)をいう。検査対象領域に検査用の電子線を照射する前に、この低電位エネルギの電子線を発生し照射している。検査用の電子線を照射すること自体で正に帯電させることができる写像投影方式の場合は、使用によっては、低電位の電子線発生装置を別途設ける必要はない。また、ウエハに、基準電位に対して正又は負の電位を印加すること等による(素子の順方向又は逆方向により流れ易さが異なるために生じる)コントラストの違いから、欠陥検出ができる。線幅測定装置及び合わせ制度装置にも利用できる。
なお、電子線装置が作動すると、電子ビームの形成や偏向に使用される様々電極には有機物質が堆積する。このように表面に徐々に堆積していく絶縁体は、電子ビームの形成や偏向機構に悪影響を及ぼすので、堆積した絶縁体は周期的に除去しなければならない。絶縁体の周期的な除去は、絶縁体の堆積する領域の近傍の電極を利用して、真空中で水素や酸素あるいはフッ素、及びそれらを含む化合物HF、O、HO、Cなどのプラズマを作り出し、有機物質のみを酸化、水素化、フッ素化により除去することができる。
次に、本発明の電子線装置用いてプロセス途中又はプロセス後に半導体ウエハを評価する工程を含んだ半導体デバイスの製造方法について説明する。
図56に示すように、半導体デバイス製造方法は、概略的に分けると、ウエハを製造するウエハ製造工程S501、ウエハに必要な加工処理を行うウエハ・プロセッシング工程S502、露光に必要なマスクを製造するマスク製造工程S503、ウエハ上に形成されたチップを1個づつに切り出し、動作可能な状態にするチップ組立工程S504、及び完成したチップを検査するチップ検査工程S505によって構成されている。各工程はそれぞれ、幾つかのサブ工程を含んでいる。
上記各工程の中で、半導体デバイスの製造に決定的な影響を及ぼす工程は、ウエハ・プロセッシング工程S502である。なぜなら、この工程において、ウエハ上に設計された回路パターンが形成され、かつ、メモリやMPUとして動作するチップが多数形成されるからである。
このように半導体デバイスの製造に影響を及ぼすウエハ・プロセッシング工程のサブ工程において実行されたウエハの加工状態を評価することが重要であり、該サブ工程について、以下に説明する。
まず、絶縁層となる誘電体薄膜を形成するとともに、配線部及び電極部を形成する金属薄膜を形成する。薄膜は、CVDやスパッタリング等により形成される。次いで、形成された誘電体薄膜及び金属薄膜、並びにウエハ基板を酸化し、かつ、マスク製造工程S503によって作成されたマスク又はレチクルを用いて、リソグラフィ工程において、レジスト・パターンを形成する。そして、ドライ・エッチング技術等により、レジスト・パターンに従って基板を加工し、イオン及び不純物を注入する。その後、レジスト層を剥離し、ウエハを検査する。
このようなウエハ・プロセッシング工程は、必要な層数だけ繰り返し行われ、チップ組立工程S504においてチップ毎に分離される前のウエハが形成される。
図57は、図56のウエハ・プロセッシング工程のサブ工程であるリソグラフィ工程を示すフローチャートである。図57に示したように、リソグラフィ工程は、レジスト塗布工程S521、露光工程S522、現像工程S523、及びアニール工程S524を含んでいる。
レジスト塗布工程S521において、CVDやスパッタリングを用いて回路パターンが形成されたウエハ上にレジストを塗布し、露光工程S522において、塗布されたレジストを露光する。そして、現像工程S523において、露光されたレジストを現像してレジスト・パターンを得、アニール工程S524において、現像されたレジスト・パターンをアニールして安定化させる。これら工程S521〜S524は、必要な層数だけ繰り返し実行される。
このような半導体デバイスの製造工程において、検査が必要な処理工程後に欠陥等の検査を行うが、一般に、電子線を用いた欠陥検査装置は高価であり、またスループットも他のプロセス装置に比べて低いために、最も検査が必要と考えられている重要な工程(例えば、エッチング、成膜、又はCMP(化学機械研磨)平坦化処理等)の後に使用することが好適である。
このように、本発明による検査処理が高スループットであるマルチビームの電子線装置を用いて、検査が必要な各工程が終了後に欠陥等の検査を行いつつ半導体デバイスを製造するので、半導体デバイスそのものの製造も高スループットで行うことができる。したがって、製品の歩留まりの向上及び欠陥製品の出荷の防止を図ることができる。
【図面の簡単な説明】
図1は、本発明に係る評価システムの主要構成要素を示す立面図である。
図2は、図1に示した評価システムの主要構成要素の平面図であって、図1の線B−Bに沿って見た図である。
図3は、ウエハ搬送箱とローダーとの関係を示す図である。
図4は、図1のミニエンバイロメント装置を示す断面図であって、図1の線C−Cに沿って見た図である。
図5は、図1のローダハウジングを示す図であって、図2の線D−Dに沿って見た図である。
図6は、ウエハラックの拡大図であって、[A]は側面図であり、[B]は[A]の線E−Eに沿って見た断面図である。
図7の[A]及び[B]は、主ハウジングの支持方法の変形例を示す図である。
図8は、図1に示した評価システムに適用可能な本発明に係る電子線装置の一実施形態を示す概略図である。
図9の[A]及び[B]はそれぞれ、図8に示した電子線装置の一次光学系及び二次光学系に使用されているマルチ開口板の開口の位置関係、及び一次電子線の走査方式を示す図である。
図10の[A]及び[B]はそれぞれ、本発明に係る電子線装置に適用可能なE×B分離器の実施形態を示す図である。
図11は、本発明に係る電子線装置に適用可能な電位印加機構を示す図である。
図12は、本発明に係る電子線装置に適用可能な電子ビームキャリブレーション機構を説明する図であって、[A]は側面図であり、[B]は平面図である。
図13は、本発明に係る電子線装置に適用可能なウエハのアライメント制御装置の概略説明図である。
図14は、従来例の電子線装置におけるXYステージと電子光学系の荷電ビーム照射部との関係を表す図である。
図15は、図14に示したXYステージの底部の状態を示す図である。
図16は、本発明に係る電子線装置に適用可能な一実施形態のXYステージと電子光学系の荷電ビーム照射部との関係を表す図である。
図17は、本発明に係る電子線装置に適用可能な別の実施形態のXYステージと電子光学系の荷電ビーム照射部との関係を表す図である。
図18は、本発明に係る電子線装置に適用可能なさらに別の実施形態のXYステージと電子光学系の荷電ビーム照射部との関係を表す図である。
図19は、本発明に係る電子線装置に適用可能な他の実施形態のXYステージと電子光学系の荷電ビーム照射部との関係を表す図である。
図20は、本発明に係る電子線装置に適用可能なさらに他の実施形態のXYステージと電子光学系の荷電ビーム照射部との関係を表す図である。
図21は、本発明に係る電子線装置に適用可能な別の実施形態のXYステージと電子光学系の荷電ビーム照射部との関係を表す図である。
図22は、図21に示した実施形態に設けられる作動排出機構を示す図である。
図23は、図21に示した実施形態に設けられるガスの循環配管機構を示す図である。
図24は、ウエハ上のアラインメントマークとマルチビームの走査領域との関係を示す説明図である。
図25は、最適なビーム径設定のための、ビーム電流I、MTF、MTF、MTFIとビーム径D/最小線幅dとの関係を示すグラフである。
図26は、試料であるウエハの断面構造を例示する図である。
図27の[A]及び[B]はそれぞれ、電子のランディングエネルギと二次量子発生効率の関係を示すグラフである。
図28は、本発明に係る、ウエハに対する電子線調整を説明するための図である。
図29の[A]及び[B]はそれぞれ、2つの絶縁体それぞれに対するランディングエネルギと二次電子発生効率の関係を示すグラフである。
図30は、本発明に係るウエハ上の電子線の走査方法を説明するための図である。
図31は、本発明に係るウエハ上の電子線の他の走査方法を説明するための図である。
図32は、本発明に係るウエハ上の電子線のさらに他の走査方法を説明するための図である。
図33は、本発明に係る電子線装置の別の実施形態を示す概略図である。
図34は、図33に示した電子線装置における電子銃から放出される電子線を光軸に直交するX−Y平面上で示した断面図である。
図35は、走査方向に平行の4つの電子線の位置を設計するための説明図である。
図36は、本発明に係る電子線装置のさらに別の実施形態を示す概略図である。
図37は、電子銃から放射される電子の強度分布を示すグラフである。
図38の[A]及び[B]はそれぞれ、図36に示した電子線装置における開口板電極の2つの例を示す斜視図である。
図39は、図36に示した電子線装置における開口電極の他の例を示す平面図である。
図40は、本発明に係る電子線装置の他の実施形態を示す概略図である。
図41は、本発明に係る電子線装置のさらに他の実施形態を示す概略図である。
図42は、本発明に係る電子線装置の別の実施形態を示す概略図である。
図43は、本発明に係る電子線装置のさらに別の実施形態を示す概略図である。
図44は、E×B分離器の作用を説明するための説明図である。
図45は、E×B分離器の一次電子線に作用する力を示す説明図である。
図46は、E×B分離器の二次電子線に対する力を示す説明図である。
図47は、本発明に係る電子線装置の他の実施形態を示す概略図である。
図48は、本発明に係る電子線装置のさらに他の実施形態を示す概略図である。
図49〜図51はそれぞれ、本発明に係る、電子線装置を複数配置した場合の実施形態を説明するための図である。
図52は、本発明に係る評価方法を示すフローチャートである。
図53は、本発明に係る半導体デバイスの製造方法を示すフローチャートである。
図54は、図53に示した工程のうちのリソグラフィ工程の詳細を示すフローチャートである。
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a technique for inspecting a surface property of a sample such as a wafer using a plurality of electron beams. More specifically, the present invention irradiates a sample with an electron beam, such as defect detection and line width measurement of a wafer in a semiconductor manufacturing process, and captures secondary electrons that change according to the surface properties. The present invention relates to an electron beam apparatus for forming image data and evaluating a pattern or the like formed on a sample surface at a high throughput based on the image data, a semiconductor device evaluation system using the apparatus, and a semiconductor device manufacturing method. . In this specification, “evaluation” of a sample includes any “inspection” such as defect detection and line width measurement of the sample.
Background art
In the semiconductor process, the design rule is approaching the era of 100 nm, and the production form is shifting from small-kind mass production typified by DRAM to multi-kind small production such as SOC (Silicon on Chip). As a result, the number of manufacturing steps increases, and it is essential to improve the yield for each step, and defect inspection due to the process becomes important.
As semiconductor devices become more highly integrated and patterns become finer, high-resolution and high-throughput inspection apparatuses are required. In order to inspect a wafer for a 100 nm design rule for defects, a resolution of 100 nm or less is required, and an increase in the number of manufacturing processes due to high integration of devices increases the amount of inspection. Therefore, high throughput is required. In addition, as devices become more multi-layered, inspection devices are also required to have a function of detecting a contact failure (electrical defect) of a via connecting an interlayer wiring. At present, optical defect inspection equipment is mainly used, but in terms of resolution and contact failure inspection, defect inspection equipment that uses electron beams instead of optical defect inspection equipment will become mainstream in the future. Expected to be. However, the electron beam type defect inspection apparatus also has a weak point, which is inferior to the optical type in terms of throughput. Therefore, development of an electron beam type inspection apparatus capable of high resolution, high throughput, and capable of detecting an electrical defect is required.
It is said that the resolution in the optical system is limited to の of the wavelength of the light used, and is about 0.2 μm in the case of a practically used visible light. On the other hand, in a method using an electron beam, a scanning electron beam method (SEM method) is usually put into practical use, and the resolution is 0.1 μm and the inspection time is 8 hours / sheet (20 cm wafer). An important feature of the electron beam method is that it can also inspect for electrical defects (such as disconnection of wiring, poor conduction, poor conduction of vias, etc.). However, as described above, development of a defect inspection apparatus having a very long inspection time and a high inspection speed is expected. In addition, since an electron beam type inspection apparatus is expensive and has a low throughput as compared with other process apparatuses, generally, after an important step at present, for example, etching, film formation (including copper plating), or It is used after a CMP (chemical mechanical polishing) flattening process.
A scanning (SEM) inspection apparatus using an electron beam will be described. The SEM type inspection apparatus irradiates the wafer in a line by scanning the electron beam while narrowing the electron beam (this beam diameter corresponds to the resolution). On the other hand, the observation region is irradiated with the electron beam in a planar shape by moving the stage in a direction perpendicular to the scanning direction of the electron beam. The scanning width of the electron beam is generally several 100 μm. A secondary electron from the wafer generated by irradiation of an electron beam (referred to as a primary electron beam), which is narrowed down, is detected by a detector (scintillator + photomultiplier (photomultiplier tube)) or a semiconductor detector (PIN diode type). ) Etc.). The coordinates of the irradiation position and the amount of secondary electrons (obtained as signal intensity) are combined to form an image, stored in a storage device, or output on a monitor such as a CRT (CRT). The above is the principle of the scanning electron microscope (SEM), and a defect of a semiconductor (usually Si) wafer in the process is detected from an image obtained by this method. The inspection speed (corresponding to the throughput) is determined by the amount (current value) of the primary electron beam, the beam diameter, and the response speed of the detector. A beam diameter of 0.1 μm (which may be considered to be the same as the resolution), a current value of the primary electron beam of 100 nA, and a response speed of the detector of 100 MHz are the current maximum values. It is said that about 8 hours per sheet. As described above, the fact that the inspection speed is extremely slow (1/20 or less) as compared with light is a major problem (defect).
In addition, when the beam current is increased to increase the throughput, the wafer having the insulating film on the surface has a problem that the wafer is charged and a good SEM image cannot be obtained.
As another method for improving the inspection speed, which is a drawback of the SEM method, an SEM (multi-beam SEM) method and apparatus using a plurality of electron beams is disclosed. In this conventional system and apparatus, the inspection speed can be improved by the number of a plurality of electron beams, but a plurality of primary electron beams are obliquely incident, and a plurality of secondary electron beams from the wafer are obliquely extracted. As for the secondary electrons emitted from the wafer, only those emitted in an oblique direction are picked up by the detector. Further, there is a problem that a shadow is formed on an image, and it is difficult to separate each secondary electron from a plurality of electron beams, and the secondary electrons are mixed with each other.
Furthermore, in an evaluation system using a multi-beam type electron beam apparatus, almost no interaction between the electron beam apparatus and other subsystems has been proposed so far. No complete system has been proposed. Further, the size of the wafer to be inspected has been increased, and it is necessary that the subsystem be able to cope with the increase in the size of the wafer. However, this point has not been proposed.
Disclosure of the invention
The present invention has been made in view of such problems of the conventional example, and an object of the present invention is to provide an evaluation system using an SEM type electron beam apparatus using a multi-beam, and to reduce the throughput of an inspection process. It is to provide an evaluation system that can be improved.
Another object of the present invention is to provide an SEM type electron beam apparatus using a multi-beam, which can improve the inspection processing throughput and the detection accuracy.
Another object of the present invention is to provide a semiconductor device manufacturing method capable of evaluating a semiconductor wafer during or after a process by using such an electron beam apparatus or an evaluation system.
In order to achieve the above-described object, the present invention generally describes a method in which a primary electron beam is formed into a plurality of beams, that is, a multi-beam. An electron beam is vertically incident on the sample surface through a filter (Wien filter), and secondary electrons emitted from the sample are separated from the primary electron beam by an E × B filter and taken out obliquely with respect to the axis of the primary electron beam. Further, an image is formed or condensed on a detection system by a lens system. Then, the stage is moved in a direction perpendicular to the scanning direction of the primary electron beam (X-axis direction) (Y-axis direction) to obtain a continuous image.
When the primary electron beam passes through the E × B filter, a condition (Wien condition) is set in which the force of the electron beam from the electric field and the intensity from the magnetic field are equal in the opposite direction, and the primary electron beam goes straight. On the other hand, the secondary electron is bent from the axial direction of the primary electron beam because the direction of the electric and magnetic fields acting on the secondary electron becomes the same because the direction is opposite to the direction of the primary electron beam. As a result, the primary electron beam and the secondary electron beam are separated. When the electron beam passes through the E × B filter, the aberration in the case where the electron beam is bent is larger than when the electron beam is straight. Therefore, the primary electron beam which requires high accuracy is caused to travel straight, and the relatively high accuracy is not required. The optical system is designed to bend the secondary electron beam.
As a detection system, a detector corresponding to each of a plurality of primary electron beams is provided, and secondary electrons from the corresponding primary electron beam always enter the corresponding detector by the imaging system. It is set as follows. For this reason, it is possible to reduce mixing of signals, that is, crosstalk. As the detector, a scintillator and a photomultiplier (photomultiplier) may be used, or a PIN diode (semiconductor detector) or the like may be used. In the electron beam apparatus according to one embodiment of the present invention, for example, a beam diameter of 0.1 μm and a beam current of 20 nA per beam are obtained for each of 16 primary electron beams. The current value is three times that of the current device.
In the electron gun used in the electron beam apparatus according to the present invention, a thermionic beam source is used as the electron beam source. The electron emission (emitter) material is LaB6It is. As long as the material has a high melting point (low vapor pressure at high temperature) and a small work function, other materials can be used. Two methods are used to obtain a plurality of electron beams. One method is to extract one electron beam from one emitter (one projection) and pass it through a thin plate (opening plate) with multiple holes to obtain multiple electron beams. In this method, a plurality of projections are formed on one emitter, and a plurality of electron beams are directly extracted from these projections. In any case, the property that the electron beam is easily emitted from the tip of the projection is used. An electron beam from another type of electron beam source, for example, an electron beam of the thermal field emission type can also be used. A thermionic electron beam source is a method of emitting electrons by heating an electron-emitting material. A thermal field emission electron beam source emits electrons by applying a high electric field to the electron-emitting material, and further emits electrons. This is a method in which electron emission is stabilized by heating the line emission unit.
BEST MODE FOR CARRYING OUT THE INVENTION
Hereinafter, an embodiment of an evaluation system according to the present invention will be described with reference to the drawings, in which a semiconductor substrate having a pattern formed on its surface, that is, a wafer, is evaluated as an inspection sample. It is needless to say that the present invention can be applied to evaluation of a sample other than a wafer.
1 and 2 are an elevation view and a plan view showing main components of an evaluation system 1 according to an embodiment of the present invention. The evaluation system 1 is disposed between a cassette holder 10 that holds a cassette containing a plurality of wafers, a mini-environment device 20, a main housing 30, and a mini-environment device 20 and the main housing 30. A loader housing 40 defining two loading chambers, a loader 60 for loading a wafer from the cassette holder 10 onto a stage device 50 disposed in the main housing 30, and a wafer disposed in the main housing 30. A stage device 50 for mounting and moving the wafer W thereon, and an electron optical system 70 attached to the main housing 30 are arranged in a positional relationship as shown in FIGS. . The evaluation system 1 further includes a precharge unit 81 arranged in the vacuum main housing 30, a potential application mechanism 83 (shown in FIG. 11) for applying a potential to the wafer, and an electron beam calibration mechanism 85 (FIG. 12). And an optical microscope 871 which constitutes an alignment control device 87 for positioning the wafer on the stage device 50.
Hereinafter, the configuration of each of the main elements (subsystems) of the evaluation system 1 will be described in detail.
Cassette holder 10
The cassette holder 10 includes a plurality of cassettes c (for example, closed cassettes such as SMIF and FOUP manufactured by Assist Co., Ltd.) in which a plurality of (for example, 25) wafers are stored in parallel in a vertical direction. In this embodiment, two cassettes are held. When the cassette is conveyed by a robot or the like and automatically loaded into the cassette holder 10, the cassette holder has a structure suitable for the cassette holder. When the cassette is manually loaded, an open cassette structure suitable for the same is used. Can be selected and installed arbitrarily. In this embodiment, the cassette holder 10 is of a type in which the cassette c is automatically loaded, and includes, for example, an elevating table 11 and an elevating mechanism 12 for moving the elevating tail 11 up and down. The cassette c can be automatically loaded in the state shown by the chain line in FIG. 2 on the elevating table. After loading, the cassette c is automatically rotated to the state shown by the solid line in FIG. It is directed to the rotation axis of the first transport unit. Further, the elevating table 11 is lowered to a state shown by a chain line in FIG. As described above, the cassette holder used for automatic loading or the cassette holder used for manual loading may be appropriately selected from known structures and used. A detailed description of the function is omitted here.
In another embodiment, as shown in FIG. 3, a plurality of 300 mm wafers W are housed in a groove-type pocket (not shown) fixed inside the box body 501, and are transported and stored. is there. The substrate transport box 24 is connected to a rectangular cylindrical box body 501 and an automatic opening / closing device for the substrate transport door, and a substrate transport door 502 that can mechanically open and close the side opening of the box body 501; The cover 503 is located on the side opposite to the opening and covers the opening for attaching and detaching the filters and the fan motor, and the groove-shaped pocket 507 for holding the wafer W. In this embodiment, the wafer is loaded and unloaded by the robotic transfer unit 61 of the loader 60.
Note that the wafer stored in the cassette c is performed after or during the process of processing the wafer in the semiconductor manufacturing process. Specifically, a wafer subjected to a film forming process, CMP, ion implantation, etc., a wafer having a wiring pattern formed on its surface, or a wafer having no wiring pattern yet formed is housed in the cassette c for inspection. Is done. A large number of wafers accommodated in the cassette c are arranged side by side in parallel with each other vertically separated from each other so that a wafer at an arbitrary position in the cassette can be held by a first transfer unit described later. Further, the arm of the first transport unit can be moved up and down.
Mini-environment device 20
FIG. 4 is an elevational view of the mini-environment device 20 viewed from a direction different from FIG. As shown in FIG. 4 and FIGS. 1 and 2 described above, the mini-environment device 20 includes a housing 22 defining a mini-environment space 21 whose atmosphere is controlled, and a clean air within the mini-environment space 21. A gas circulating device 23 for circulating gas and controlling the atmosphere, a discharging device 24 for collecting and discharging a part of the air supplied to the mini-environment space 21, And a pre-aligner 25 which is provided and roughly positions a wafer as a sample.
The housing 22 has a top wall 221, a bottom wall 222, and a peripheral wall 223 surrounding four circumferences, and has a structure that blocks the mini-environment space 21 from the outside. In order to control the atmosphere of the mini-environment space 21, the gas circulation device 23 is mounted downward on the top wall 221 in the mini-environment space 21 as shown in FIG. And a gas supply unit 231 that cleanly flows the clean air in a laminar flow directly downward through one or more gas outlets (not shown) and a bottom wall 222. , A collection duct 232 for collecting the air flowing down to the bottom, and a conduit 233 connecting the collection duct 232 and the gas supply unit 231 to return the collected air to the gas supply unit 231.
In this embodiment, the gas supply unit 231 is configured to take in about 20% of the supplied air from outside the housing 22 to clean the atmosphere of the mini-environment space 21. However, the proportion of the gas introduced from the outside can be arbitrarily selected. The gas supply unit 231 includes a HEPA or ULPA filter having a known structure for producing clean air. The laminar downward flow of the clean air, that is, the downflow, is mainly supplied so as to flow through a transfer surface of a first transfer unit described later disposed in the mini-environment space 21, whereby the transfer is performed. Prevents dust that may be generated by the unit from adhering to the wafer. Therefore, the ejection port for the downflow does not necessarily need to be located at a position close to the top wall as shown in the figure, but may be located above the transport surface of the transport unit. Also, there is no need to flow over the entire mini-environment space. In some cases, the cleanliness can be improved by using ion wind as clean air. Further, a sensor for observing the cleanliness is provided in the mini-environment space, and when the cleanliness deteriorates, the apparatus can be shut down. An entrance 225 is formed in a portion of the peripheral wall 223 of the housing 22 adjacent to the cassette holder 10. A shutter device having a known structure may be provided near the entrance 225 to close the entrance 225 from the mini-environment device side. The down flow of the laminar flow created near the wafer may be, for example, a flow rate of 0.3 to 0.4 m / sec. The gas supply unit 231 may be provided outside the mini-environment space 21 instead of inside it.
The discharge device 24 includes a suction duct 241 disposed below the transfer unit at a position below a wafer transfer surface of the transfer unit described later, a blower 242 disposed outside the housing 22, a suction duct 241 and the blower. And a conduit 243 for connecting the tub 242. The discharge device 24 sucks a gas containing dust that may flow around the transport unit and may be generated by the transport unit by the suction duct 241, and the outside of the housing 22 through the conduits 243 and 244 and the blower 242. To be discharged. In this case, the air may be discharged into an exhaust pipe (not shown) drawn near the housing 22.
The pre-aligner 25 disposed in the mini-environment space 21 has an orientation flat (referred to as a flat portion formed on the outer periphery of a circular wafer, hereinafter referred to as an orientation flat) formed on the wafer or an outer peripheral edge of the wafer. One or more V-shaped notches or notches formed are detected optically or mechanically and the axis O of the wafer is determined accordingly.1-O1Is pre-positioned with a precision of about ± 1 degree. The pre-aligner 25 constitutes a part of a mechanism for determining coordinates of a wafer, which is a wafer, and is responsible for rough positioning of the wafer. Since the pre-aligner itself may have a known structure, the description of the structure and operation is omitted here. Although not shown, a collection duct for a discharging device may be provided below the pre-aligner 25 to discharge air containing dust discharged from the pre-aligner 25 to the outside.
Main housing 30
As shown in FIGS. 1 and 2, the main housing 30 that defines the working chamber 31 includes a housing main body 32, and the housing main body 32 is provided with a vibration isolator or vibration isolator disposed on a base frame 36. It is supported by a housing support device 33 mounted on 37. The housing support device 33 includes a rectangular frame structure 331. The housing body 32 is disposed and fixed on the frame structure 331, and is connected to the bottom wall 321 placed on the frame structure, the top wall 322, the bottom wall 321 and the top wall 322, and surrounds four circumferences. And a peripheral wall 323 to isolate the working chamber 31 from the outside. In this embodiment, the bottom wall 321 is made of a relatively thick steel plate so as not to generate distortion due to a load by a device such as the stage device 50 mounted thereon, but other appropriate structures It may be. In this embodiment, the housing 32 body and the housing support device 33 are assembled in a rigid structure, and the vibration from the floor on which the base frame 36 is installed is transmitted to the rigid structure. It is blocked at 37. In the peripheral wall 323 of the housing 32, an entrance / exit 325 for taking in / out a wafer is formed on a peripheral wall adjacent to the loader housing 40.
The vibration isolator 37 may be an active type having an air spring, a magnetic bearing, or the like, or a passive type having these components. Since any of them may have a general-purpose structure, the description of the structure and functions will be omitted. The working chamber 31 is maintained in a vacuum atmosphere by a general-purpose vacuum device (not shown). The control device 2 that controls the operation of the entire evaluation system 1 is disposed below the base frame 36.
In the evaluation system 1, various housings including the main housing 30 are evacuated, and the evacuation system for that purpose is constituted by a vacuum pump, a vacuum valve, a vacuum gauge, a vacuum pipe, and the like. The optical system, the detector section, the wafer chamber, the load lock chamber, and the like are evacuated according to a predetermined sequence. In each part, a vacuum valve is controlled so as to achieve a required degree of vacuum. Then, the degree of vacuum is monitored at all times, and in the case of an abnormality, emergency control for shutting off between the chambers or between the chamber and the exhaust system by an isolation valve or the like is performed by an interlock function to secure a necessary degree of vacuum in each unit. As a vacuum pump, a turbo molecular pump is used for main exhaust, and a Roots type dry pump is used for roughing. The pressure at the inspection place (electron beam irradiation part) is 10-3-10-5Pa, preferably 10 which is one digit lower than that.-4-10-6Pa is practical.
Loader housing 40
FIG. 5 shows an elevational view of the loader housing 40 viewed from a different direction from FIG. As shown in FIG. 5 and FIGS. 1 and 2, the loader housing 40 includes a housing main body 43 that defines a first loading chamber 41 and a second loading chamber 42. The housing main body 43 has a bottom wall 431, a top wall 432, a peripheral wall 433 surrounding four circumferences, and a partition wall 434 that partitions the first loading chamber 41 and the second loading chamber 42. The loading chamber is isolated from the outside. The partition wall 434 has an opening, ie, an entrance 435, for transferring the wafer W between the two loading chambers. Entrance ports 436 and 437 are formed in a portion of the peripheral wall 433 adjacent to the mini-environment device 20 and the main housing 30. The housing body 43 of the loader housing 40 is mounted and supported on a frame structure 331 of the housing support device 33. Therefore, floor vibration is not transmitted to the loader housing 40.
Although the entrance 436 of the loader housing 40 and the entrance 226 of the housing 22 of the mini-environment device 20 are aligned, the communication between the mini-environment space 21 and the loading chamber 41 is selected between the entrances 436, 226. There is provided a shutter device 27 for preventing the movement. The shutter device 27 includes a seal member 271 that surrounds the entrances 226 and 436 and is fixed in close contact with the side wall 433, and a door that cooperates with the seal member 271 to prevent the flow of air through the entrance. 272 and a driving device 273 for moving the door. Similarly, the access port 437 of the loader housing 40 and the access port 325 of the housing body 32 of the main housing 30 are aligned, but communication between the loading chamber 42 and the working chamber 31 is provided between the access ports 436, 325. A shutter device 45 for selectively preventing sealing is provided. The shutter device 45 is in close contact with the side walls 433 and 323 surrounding the entrances 437 and 325, and cooperates with the sealing members 451 and 451 fixed to the side walls to allow the air to flow through the entrances. It has a door 452 for preventing circulation, and a driving device 453 for moving the door. Further, the opening formed in the partition wall 434 is provided with a shutter device 46 that closes the opening by the door 461 and selectively prevents the communication between the first and second loading chambers from being sealed. These shutter devices 27, 45 and 46 are adapted to hermetically seal each chamber when in the closed state. Since these shutter devices may be general-purpose ones, detailed description of their structures and operations is omitted.
Note that the method of supporting the housing 22 of the mini-environment device 20 and the method of supporting the loader housing 40 are different, and the vibration from the floor is prevented from being transmitted to the loader housing 40 and the main housing 30 via the mini-environment device 20. In order to achieve this, a vibration-proof cushioning material that hermetically surrounds the doorway may be disposed between the housing 22 of the mini-environment device 20 and the loader housing 40.
In the first loading chamber 41, a wafer rack 47 that horizontally supports a plurality of (two in this embodiment) wafers W vertically separated from each other is disposed. As shown in FIG. 6, the wafer rack 47 includes columns 472 fixed at four corners of a rectangular substrate 471 in an upright state at a distance from each other, and each column 472 is provided with two-stage support portions 473 and 474, respectively. Then, the peripheral edge of the wafer W is placed and held on the supporting portion. In this manner, with the wafer W mounted thereon, the distal ends of the arms of the first and second transfer units, which will be described later, approach the wafer W from between adjacent columns, the arm grips the wafer, and transfers the wafer. Let it.
The first and second loading chambers 41 and 42 are pumped by a general-purpose vacuum pumping device (not shown) including a vacuum pump to a high vacuum state (with a vacuum degree of 10).-5-10-6The atmosphere is controlled to Pa). In this case, the first loading chamber 41 is maintained in a low vacuum atmosphere as a low vacuum chamber, and the second loading chamber 42 is maintained in a high vacuum atmosphere as a high vacuum chamber, thereby effectively preventing wafer contamination. it can. By employing such a loading housing structure having two loading chambers, the wafer W can be transferred from the loading chamber to the working chamber without delay. By adopting such a loading chamber structure, it is possible to improve the inspection throughput for defects and the like in cooperation with a multi-beam type electron optical system, and furthermore, it is required that the storage state be a high vacuum state. The degree of vacuum around the source can be as high as possible.
A vacuum exhaust pipe and a vent pipe (not shown) for an inert gas (eg, dry pure nitrogen) are connected to the first and second loading chambers 41 and 42, respectively. This achieves inert gas venting (injecting inert gas to prevent oxygen gas other than inert gas from adhering to the surface) at atmospheric pressure in each loading chamber. Since the apparatus itself for performing such inert gas venting may have a general-purpose structure, a detailed description thereof will be omitted.
In the main housing 30 of the present invention using an electron beam, a typical lanthanum hexaboride (LaB) used as an electron source, that is, an electron gun of an electron optical system 70 described later.6In the case of (1) and the like, once heated to a temperature high enough to emit thermoelectrons, it is important not to make contact with oxygen or the like as much as possible in order not to shorten the lifetime. In the present invention, the possibility of contact with oxygen is reduced by performing the above-described atmosphere control at a stage before carrying the wafer W into the working chamber in which the electron optical system 70 of the main housing 30 is disposed. Therefore, the possibility of shortening the life of the electron source is reduced.
Stage device 50
The stage device 50 includes a fixed table 51 disposed on the bottom wall 321 of the main housing 30, a Y table 52 that moves in the Y direction (a direction perpendicular to the plane of FIG. 1) on the fixed table, and a Y table 52 that moves in the Y table. An X table 53 that moves in the X direction (the horizontal direction in FIG. 1), a rotary table 54 that can rotate on the X table, and a holder 55 that is disposed on the rotary table 54 are provided. The wafer W is releasably held on the wafer mounting surface 551 of the holder 55. The holder 55 may have a general-purpose structure capable of releasably holding the wafer W mechanically or by an electrostatic chuck method. The stage device 50 operates the plurality of tables 52 to 54 using a servomotor, an encoder, and various sensors (not shown), and thereby the wafer W held on the holder 55 on the mounting surface 551. In the X, Y, and Z directions (up and down directions in FIG. 1) with respect to the electron beam emitted from the electron optical system 70, and further in the direction (θ direction) around an axis perpendicular to the wafer support surface. Positioning with high accuracy. The positioning in the Z direction may be performed, for example, so that the position of the mounting surface on the holder 55 can be finely adjusted in the Z direction. In this case, the reference position of the mounting surface is detected by a position measuring device (a laser interferometer that uses the principle of an interferometer) using a fine-diameter laser, and the position is controlled by a feedback circuit (not shown). In addition to or instead of this, the position of the notch or the orientation flat of the wafer is measured, the plane position and the rotation position of the wafer with respect to the electron beam are detected, and the rotation table 54 is controlled by being rotated by a stepping motor capable of controlling a small angle. . The wafer W may be directly mounted on the rotary table 54 without providing the holder 55. Servo motors 521 and 531 and encoders 522 and 532 for the stage device 50 are arranged outside the main housing 30 in order to minimize generation of dust in the working chamber 31. The stage device 50 may have a general-purpose structure used in, for example, a stepper and the like, and a detailed description of its structure and operation will be omitted. Further, since the above-mentioned laser interference distance measuring apparatus may have a general-purpose structure, detailed description of its structure and operation will be omitted.
By inputting the rotation position and the XY coordinate position of the wafer W with respect to the electron beam in advance to a signal detection system or an image processing system described later, it is possible to standardize the signal. Further, the wafer chuck mechanism provided in the holder 55 is configured to apply a voltage for chucking the wafer to the electrodes of the electrostatic chuck, and to apply three voltages on the outer peripheral portion of the wafer W (preferably in the circumferential direction, etc.). (Three points separated by a distance). The wafer chuck mechanism includes two fixed positioning pins and one pressing crank pin. The clamp pin is configured so as to realize automatic chucking and automatic release, and constitutes a conductive part for voltage application.
In this embodiment, the table moving in the left-right direction in FIG. 2 is an X table, and the table moving in the vertical direction is a Y table. The table to be moved may be an X table.
Loader 60
The loader 60 includes a robot-type first transfer unit 61 disposed in the housing 22 of the mini-environment device 20 and a robot-type second transfer unit 63 disposed in the second loading chamber 42. Have.
The first transport unit 61 has an axis O with respect to the drive unit 611.1-O1Has a multi-articulated arm 612 that is rotatable about the arm. Although any structure can be used as the multi-joint arm, this embodiment has three parts that are pivotally attached to each other. One portion of the arm 612 of the first transport unit 61, that is, the first portion closest to the drive unit 611, is rotatable by a drive mechanism (not shown) having a general-purpose structure provided in the drive unit 611. 613. The arm 612 has an axis O1-O1About the axis O as a whole due to the relative rotation between the parts.1-O1Is radially stretchable with respect to. At the end of the third portion of the arm 612 farthest from the shaft 613, a gripping device 616 for gripping a wafer such as a general-purpose mechanical chuck or electrostatic chuck is provided. The drive unit 611 can be moved up and down by a general-purpose lifting mechanism 615.
In the first transport unit 61, the arm 612 extends toward one of the directions M1 or M2 (FIG. 2) of the two cassettes c held in the cassette holder 10, and The wafer W stored in the arm is placed on the arm or is gripped and taken out by a chuck (not shown) attached to the tip of the arm. Thereafter, the arm contracts (the state shown in FIG. 2), rotates to a position where the arm can extend in the direction M3 of the pre-aligner 25, and stops at that position. Then, the arm is extended again, and the wafer W held by the arm is placed on the pre-aligner 25. After receiving the wafer from the pre-aligner 25 in the opposite direction, the arm rotates further, stops at a position where the arm can extend toward the first loading chamber 41 (direction M4), and receives the wafer in the first loading chamber 41. The wafer is delivered to 47. When mechanically gripping the wafer, the peripheral edge of the wafer (a range of about 5 mm from the peripheral edge) is gripped. This is because devices (circuit wiring) are formed on the entire surface of the wafer except for the peripheral portion, and if a portion other than the peripheral portion is gripped, device destruction and defects occur.
The second transfer unit 63 also has basically the same structure as the first transfer unit 61, and only transfers the wafer W between the wafer rack 47 and the mounting surface of the stage device 50. Only a difference will be described, and a detailed description will be omitted.
The first and second transfer units 61 and 63 transfer the wafers from the cassette c held in the cassette holder onto the stage device 50 disposed in the working chamber 31 and vice versa. Perform while keeping The arms of the transfer units 61 and 63 move up and down simply by taking out and inserting the wafer from the cassette c, placing and taking out the wafer on the wafer rack, and the stage device 50. Only when loading and unloading wafers from and to. Therefore, even a large wafer having a diameter of, for example, 30 cm can be smoothly moved.
Here, in the evaluation system 1 having the above configuration, the transfer of the wafer from the cassette c supported by the cassette holder 10 to the stage device 50 disposed in the working chamber 31 will be described in order.
As described above, the cassette holder 10 has a structure suitable for manually setting a cassette, and a structure suitable for automatically setting a cassette. In this embodiment, when the cassette c is set on the elevating table 11 of the cassette holder 10, the elevating table 11 is lowered by the elevating mechanism 12, and the cassette c is aligned with the entrance 225. When the cassette is aligned with the entrance 225, a cover (not shown) provided on the cassette c is opened, and a cylindrical cover is disposed between the cassette c and the entrance 225 of the mini-environment device 20. Then, the cassette and the mini-environment space 21 are shut off from the outside. Since these structures are general-purpose ones, detailed description of their structures and operations is omitted. When a shutter device for opening and closing the entrance 225 is provided on the mini-environment device 20 side, the shutter device operates to open the entrance 225.
On the other hand, the arm 612 of the first transport unit 61 is stopped in a state facing one of the directions M1 and M2 (in this description, the direction of M1), and when the entrance 225 is opened, the arm extends. One of the wafers stored in the cassette c is received at the leading end. In this embodiment, the vertical position adjustment of the arm and the wafer to be taken out of the cassette is performed by the vertical movement of the drive unit 611 and the arm 612 of the first transfer unit 61. It may be performed by moving the table up and down, or both.
When the reception of the wafer by the arm 612 is completed, the arm contracts, the shutter device operates to close the doorway (if there is a shutter device), and then the arm 612 moves to the axis O.1-O1, And can be extended in the direction M3. Then, the wafer with the arm extended and mounted on the tip or held by the chuck is mounted on the pre-aligner 25, and the pre-aligner adjusts the direction of rotation of the wafer (the direction around the central axis perpendicular to the wafer plane). Position within a predetermined range. When the positioning is completed, the first transfer unit 61 contracts the arm after receiving the wafer from the pre-aligner 25 at the tip of the arm 612, and takes a posture in which the arm can be extended in the direction M4. Then, the door 272 of the shutter device 27 moves to open the entrances 226 and 436, and the arm 612 extends to place the wafer on the upper or lower side of the wafer rack 47 in the first loading chamber 41. Before the shutter device 27 is opened and the wafer is transferred to the wafer rack 47, the opening 435 formed in the partition wall 434 is closed in an airtight state by the door 461 of the shutter device 46.
In the process of transferring a wafer by the first transfer unit 61 described above, clean air flows from the gas supply unit 231 provided in the housing body 22 of the mini-environment device 20 in a laminar flow (as a down flow), and during the transfer. Prevents dust from adhering to the upper surface of the wafer. Part of the air around the transfer unit (in this embodiment, air that is mainly contaminated with about 20% of the air supplied from the supply unit) is sucked from the suction duct 241 of the discharge device 24 and discharged to the outside of the housing. Is done. The remaining air is collected through a collection duct 232 provided at the bottom of the housing body 22 and returned to the gas supply unit 231 again.
When a wafer is placed on the wafer rack 47 in the first loading chamber 41 of the loader housing 40 by the first transfer unit 61, the shutter device 27 closes to seal the loading chamber 41. Then, after the air is expelled into the loading chamber 41 and filled with the inert gas, the inert gas is also discharged, and the inside of the loading chamber 41 becomes a vacuum atmosphere. The vacuum atmosphere of the loading chamber 41 may be a low vacuum. When a certain degree of vacuum is obtained in the loading chamber 41, the shutter device 46 is operated to open the entrance 434 sealed by the door 461, and then the arm 632 of the second transfer unit 63 is extended to hold the tip holding device. Receives one wafer from the wafer receiver 47 (placed on the tip or gripped by a chuck attached to the tip). When the wafer is completely received, the arm contracts, the shutter device 46 operates again, and the door 461 is closed by the door 461. Before the shutter device 46 is opened, the arm 632 is in a posture in which it can be extended in the direction N1 of the wafer rack 47 in advance. Further, as described above, before the shutter device 46 is opened, the doorways 452 of the shutter device 45 close the doorways 437 and 325 to prevent communication between the second loading chamber 42 and the working chamber 31. The inside of the second loading chamber 42 is evacuated.
When the shutter device 46 closes the entrance 435, the second loading chamber 42 is evacuated again, and is evacuated to a higher degree of vacuum than the first loading chamber 41. Meanwhile, the arm of the second transfer unit 61 is rotated to a position where the arm can extend toward the stage device 50 in the working chamber 31. On the other hand, in the stage device 50 in the working chamber 31, the Y table 520-X0Is the rotation axis O of the second transport unit 632-O2X axis passing through1-X1The X table 53 is moved upward in FIG. 2 to a position substantially corresponding to the position shown in FIG. 2, and is moved to a position where the X table 53 approaches the leftmost position in FIG. 2, and stands by in this state. When the second loading chamber 42 becomes substantially the same as the vacuum state of the working chamber 31, the door 452 of the shutter device 45 moves to open the entrances 437 and 325, the arm extends, and the tip of the arm holding the wafer is moved to the working chamber. It approaches the stage device 50 in 31. Then, the wafer W is mounted on the mounting surface 551 of the stage device 50. When the mounting of the wafer is completed, the arm contracts, and the shutter device 45 closes the entrances 437 and 325.
The operation up to the transfer and mounting of the wafer W in the cassette c on the mounting surface 551 of the stage device 50 has been described above. In order to return the wafer W having undergone the inspection processing from the stage device 50 to the cassette c, the operation reverse to that described above is performed. Further, since a plurality of wafers are placed on the wafer rack 47, the first transfer unit 63 transfers the wafer between the wafer rack 47 and the stage device 50 while the second transfer unit 63 transfers the wafer. Can transfer wafers between the cassette c and the wafer rack 47. Therefore, the inspection processing can be performed efficiently.
Specifically, when there are already processed wafers A and unprocessed wafers B in the wafer rack 47 of the second transfer unit, (1) first, the unprocessed wafers B are moved to the stage device 50. , Start the process. (2) During this processing, the processed wafer A is moved from the stage device 50 to the wafer rack 47 by the arm, another unprocessed wafer C is similarly extracted from the wafer rack by the arm, and positioned by the pre-aligner. Move to the wafer rack 47 of the loading chamber 41. By doing so, in the wafer rack 47, the processed wafer A can be replaced with the unprocessed wafer C during the processing of the wafer B.
In addition, depending on the use of such an apparatus for performing inspection and evaluation, a plurality of stage devices 50 are arranged in parallel, and a plurality of wafers are moved from one wafer rack 47 to each stage device. The same can be handled.
FIGS. 7A and 7B show a modification of the method of supporting the main housing 30. In the modification shown in FIG. 7A, the housing support device 33a is made of a thick rectangular steel plate 331a, and the housing main body 32a is mounted on the steel plate. Therefore, the bottom wall 321a of the housing body 32a has a thinner structure than the bottom wall of the embodiment of FIG. In the modification shown in FIG. 7B, the housing body 32b and the loader housing 40b are supported in a suspended state by the frame structure 336b of the housing support device 33b. Lower ends of the plurality of vertical frames 337b fixed to the frame structure 336b are fixed to four corners of a bottom wall 321b of the housing main body 32b, and the bottom wall supports the peripheral wall and the top wall. The vibration isolator 37b is disposed between the frame structure 336b and the base frame 36b. The loader housing 40 is also suspended by a suspension member 49b fixed to the frame structure 336. In the modification shown in FIG. 7B, since the main housing 30b is supported in a hanging manner, the center of gravity of the main housing 30b and various devices provided therein can be lowered. The method of suspending and supporting the main housing and the loader housing is preferable because vibrations from the floor are not transmitted to these.
In another variant, not shown, only the housing body of the main housing is supported from below by the housing support device, and the loader housing is arranged on the floor in the same manner as the adjacent mini-environment device. In yet another variant not shown, only the housing body of the main housing is suspended from the frame structure and the loader housing is arranged on the floor in the same manner as the adjacent mini-environment device.
Electron optical system 70
An electron optical system 70 provided in the electron beam apparatus includes a lens barrel 71 fixed to the housing main body 32, in which a primary electron optical system (hereinafter, referred to as a “primary optical system”) and a secondary electron optical system are included. A system (hereinafter, “secondary optical system”) and a detection system are arranged.
FIG. 8 is a schematic diagram showing an embodiment of such an electron optical system 70, in which 72 is a primary optical system, 74 is a secondary optical system, and 76 is a detection system. Note that FIG. 8 also shows the stage device 50 on which the wafer W is mounted and the scanning signal generation circuit 764 which is a part of the control device. The primary optical system 72 is an optical system that irradiates an electron beam to the surface of the wafer W as a sample, and includes an electron gun 721 that emits an electron beam and an electrostatic lens that focuses the primary electron beam emitted from the electron gun 721. That is, a condenser lens 722, a multi-aperture plate 723 disposed below the condenser lens 722 and formed with a plurality of apertures, for forming a primary electron beam into a plurality of primary electron beams, that is, a multi-beam, A reduction lens 724 that is an electrostatic lens for reduction, a Wien filter, that is, an E × B separator 725, and an objective lens 726 are provided. These are arranged in order with the electron gun 721 at the top as shown in FIG. 8, and furthermore, such that the optical axis of the primary electron beam emitted from the electron gun is irradiated orthogonally to the surface of the wafer W. Is set.
In order to eliminate the influence of the field curvature aberration of the reduction lens 724 and the objective lens 726, a plurality (nine in this embodiment) of openings 723a formed in the multi-aperture plate 723 are formed as shown in FIG. Are formed on the circumference of a circle centered on the optical axis, and the openings are arranged such that the distance Lx in the X direction between the projected images on the X axis is the same.
The secondary optical system 74 includes magnifying lenses 741 and 742, which are two-stage electrostatic lenses that pass secondary electrons separated from the primary optical system by the E × B separator 725, and a multi-aperture detection plate 743. I have. The openings 743a formed in the multi-aperture detection plate 743 are disposed so as to correspond one-to-one with the openings 723a formed in the multi-aperture plate 723 of the primary optical system, as shown in FIG. I have.
The detection system 76 corresponds to the plurality of openings 743a of the multi-aperture detection plate 743 of the secondary optical system 74, and is provided with a plurality of (nine in this embodiment) detectors 761 arranged in close proximity thereto. An image processing unit 763 electrically connected to the detector 761 via an A / D converter 762 is provided. The image processing unit 763 does not need to be physically located in the electron optical system 70.
Next, the operation of the electron optical system 70 having the above configuration will be described. The primary electron beam emitted from the electron gun 721 is focused by the condenser lens 722 of the primary optical system 72 to form a crossover at the point P1. The primary electron beam focused by the condenser lens 722 passes through the plurality of openings 723a of the multi-aperture plate 723 to form a plurality of primary electron beams. These primary electron beams are reduced by the reduction lens 724, It is projected on the position P2. After focusing at the position P2, focusing is further performed on the surface of the wafer W by the objective lens 726. At this time, the primary electron beam is deflected by the deflector 727 disposed between the reduction lens 724 and the objective lens 726 so as to scan the surface of the wafer W. A scanning signal is applied to the deflector 727, and deflection scanning of the primary electron beam is executed based on the scanning signal.
A method of irradiating a primary electron beam by the primary optical system 72 will be described with reference to FIG. In the example of this figure, an example in which four primary electron beams 101, 102, 103, and 104 are used will be described for the sake of simplicity. Each electron beam scans a width of 50 μm. Taking the electron beam 101 as an example, first, the electron beam 101 scans rightward from the left end, returns to the right end immediately after arriving at the right end, and scans rightward again. As described above, since the wafer surface is simultaneously scanned by the four electron beams, the throughput is improved.
Plural points on the wafer W are irradiated with a plurality of focused primary electron beams (nine in the embodiment of FIG. 8), and secondary electrons are emitted from the plurality of irradiated points. The secondary electrons are attracted by the electric field of the objective lens 726, are narrowly focused, are deflected by the E × B separator 725, and are input to the secondary optical system 74. When viewed from the E × B separator 725, the image formed by the secondary electrons is focused at a position P3 closer to the position P2. This is because the primary electron beam has an energy of about 500 eV on the wafer surface, while the secondary electron has only an energy of about several ev.
Here, the E × B separator 725 will be described with reference to FIG. FIG. 10A shows an example of an E × B separator that can be used in the electron optical system 70 of the present invention. This separator is composed of an electrostatic deflector and an electromagnetic deflector, and in FIG.1It is shown as a cross section on an XY plane orthogonal to (the axis perpendicular to the drawing). The X-axis direction and the Y-axis direction are orthogonal to each other.
The electrostatic deflector includes a pair of electrodes (electrostatic deflection electrodes) 7251 provided in a vacuum container, and generates an electric field in the X-axis direction. These electrostatic deflection electrodes 7251 are attached to a vacuum wall 7253 of a vacuum vessel via insulating spacers 7252, and the distance Dp between these electrodes is smaller than the length 2Lp of the electrostatic deflection electrodes 7251 in the Y-axis direction. Is set. With such a setting, the Z axis, that is, the optical axis OA1Can be relatively large in the range in which the electric field strength is uniform around D. Ideally, if Dp <Lp, the range in which the electric field strength is uniform can be made larger.
That is, since the electric field intensity is not uniform in the range of Dp / 2 from the end of the electrode, the region where the electric field intensity is almost uniform is the 2Lp-Dp region at the center excluding the uneven end region. Become. For this reason, in order for a region having a uniform electric field intensity to exist, it is necessary to satisfy 2Lp> Dp, and by setting Lp> Dp, the region having a uniform electric field intensity becomes larger.
An electromagnetic deflector for generating a magnetic field in the Y-axis direction is provided outside the vacuum wall 7253. This electromagnetic deflector includes an electromagnetic coil 7254 and an electromagnetic coil 7255, which generate magnetic fields in the X-axis direction and the Y-axis direction, respectively. Although a magnetic field in the Y-axis direction can be generated by only the coil 7255, a coil 7254 that generates a magnetic field in the X-axis direction is provided to improve the degree of orthogonality between the electric field and the magnetic field. That is, by canceling out the + X-axis direction generated by the coil 7255 by the magnetic field component in the −X-axis direction generated by the coil 7254, the orthogonality between the electric field and the magnetic field can be improved.
Since these magnetic field generating coils 7254 and 7255 are provided outside the vacuum vessel, they may be respectively divided into two parts, attached from both sides of the vacuum wall 7253, and may be integrated by tightening the parts 7257 with screws or the like. .
The outermost layer 7256 of the E × B separator is configured as a yoke made of permalloy or ferrite. Like the coils 7254 and 7255, the outermost layer 7256 may be divided into two parts, attached to the outer periphery of the coil 7255 from both sides, and integrated at the portion 7257 by screwing or the like.
[B] of FIG. 10 shows another example of the E × B separator applicable to the electron optical system 70 of the present invention as a cross-sectional view orthogonal to the optical axis. This E × B separator is different from the embodiment shown in FIG. 10A in that the electrostatic deflection electrode 7251 is provided with six poles. In [B] of FIG. 10, components corresponding to the components of the E × B separator shown in FIG. 10 [A] are denoted by the same reference numerals with “′” (dash) added thereto, and the description thereof will be omitted. Is omitted. These electrostatic deflection electrodes 7251 ′ have an angle θ between the line connecting the center of each electrode and the optical axis and the direction of the electric field (X-axis direction).i(I = 0, 1, 2, 3, 4, 5), cos θiVoltage k · cos θ proportional toi(K is a constant) is supplied. Where θiIs any angle.
In the E × B separator shown in FIG. 10B, similarly to the E × B separator of FIG. 10A, only an electric field in the X-axis direction can be generated, so that magnetic fields in the X-axis and Y-axis directions are generated. Coils 7254 'and 7255' are provided to correct the orthogonality.
According to the E × B separator shown in FIG. 10B, the region where the electric field intensity is uniform can be further increased as compared with the E × B separator shown in FIG. 10A. .
In the E × B separator shown in FIGS. 10A and 10B, the coil for generating the magnetic field is formed in a saddle shape, but a toroidal coil may be used. The configuration of the E × B separator shown in FIG. 10 is applicable not only to the electron optical system 70 of the electron beam apparatus shown in FIG. 8 but also to the electron optical system of an electron beam apparatus of another embodiment described later. Applicable.
The image of the secondary electron focused at the position P3 is focused by the two-stage magnifying lenses 741 and 742 on the corresponding openings 743a of the multi-aperture detection plate 743, and the detectors are arranged corresponding to the respective openings 743a. At 761, it is detected. The detector 761 converts the detected electron beam into an electric signal representing the intensity. The electric signal output from each detector 761 is converted to a digital signal by the A / D converter 762 and then input to the image processing unit 763. As the detector 761, for example, a PN junction diode that directly detects electron beam intensity, or a PMT (photomultiplier tube) that detects light emission intensity via a fluorescent plate that emits light by electrons can be used.
The image processing unit 763 converts the input digital signal into image data. A scanning signal for deflecting the primary electron beam is supplied from the control device 2 (FIG. 1) to the image processing unit 763, and therefore, the image processing unit irradiates the primary electron beam scanned on the wafer surface. An electrical signal corresponding to the image of the point will be received, so that an image representing the wafer surface can be obtained. The quality of the evaluated pattern on the wafer W can be determined by comparing the image thus obtained with a preset standard pattern.
Furthermore, the pattern to be evaluated on the wafer W is moved to a position near the optical axis of the primary optical system by registration, a line width evaluation signal is taken out by line scanning, and the signal is appropriately calibrated to be formed on the surface of the wafer. The line width of the pattern can be measured.
In a conventional electron beam apparatus, secondary electrons generated when a primary electron beam is irradiated on a wafer are focused by a two-stage lens common to the primary electrons, and an E × B separator is provided at this focusing position. A method is used in which secondary electrons are separated from primary electrons, and thereafter, an image is formed on a multi-detector without a lens. For this reason, the two-stage lens common to the primary and secondary optical systems needs to be adjusted by giving priority to the lens conditions of the primary optical system. Since it cannot be performed, there is a drawback that the adjustment cannot be performed when the focusing condition and the enlargement ratio deviate from the design values.
However, after the secondary electrons are separated by the E × B separator 725, the secondary electrons are enlarged by the lens of the secondary optical system. Therefore, the secondary electrons are combined independently of the lens conditions of the primary optical system. The focus condition and magnification can be adjusted.
Further, when the primary electron beam passing through the opening of the multi-aperture plate 723 of the primary optical system is focused on the surface of the wafer W and secondary electrons emitted from the wafer are imaged on the detector 761, the primary optical system is used. Special attention must be paid to minimize the effects of the three aberrations, i.e., distortion, axial chromatic aberration, and visual field astigmatism.
In particular, when the primary electron beam and the secondary electron beam share an optical path, the primary electron current and the secondary electron current flow in the common optical path, so that almost twice the beam current flows, and as a result, the primary charge due to the space charge effect The blur of the electron beam and the deviation of the focusing condition are almost doubled. Further, it is difficult to align the primary electron beam and the secondary electron beam with a common optical path. When the axis of the primary electron beam is aligned, the axis of the secondary electron beam tends to be out of alignment. Conversely, when the axis of the secondary electron beam is aligned, the axis of the primary electron beam is easily out of alignment. In addition, in the common optical path, if the lens is adjusted to the focusing condition of the primary electron beam, the focusing condition of the secondary electron beam is easily deviated. The focusing condition is easily deviated.
Therefore, it is necessary to shorten the common optical path as much as possible. For this reason, if the E × B separator 725 is provided below the objective lens 726, the image plane distance of the objective lens becomes longer, and the aberration becomes large. Therefore, in the present invention, the E × B separator 725 is provided on the electron gun 721 side as viewed from the objective lens 726, and as a result, the primary optical system and the secondary optical system share only one lens. It has a configuration.
Regarding the relationship between the intervals between the plurality of primary electron beams and the secondary optical system, the interval between the primary electron beams is determined by the aberration of the secondary optical system (in this case, the aberration of the objective lens with respect to the secondary electrons). By increasing the distance by a large distance, crosstalk between a plurality of beams can be eliminated.
Further, it is preferable that the deflection angle of the electrostatic deflector 727 is set to a value close to -1/2 times the electromagnetic deflection angle by the electromagnetic deflector in the E × B separator 725, thereby reducing the chromatic aberration of deflection. Therefore, the beam diameter can be prevented from becoming too large even through the E × B separator.
Precharge unit 81
The precharge unit 81 is disposed adjacent to the lens barrel 71 of the electron optical system 70 in the working chamber 31 as shown in FIG. The evaluation system 1 of the present invention is an apparatus for inspecting a device pattern or the like formed on the surface of a wafer by scanning and irradiating the wafer with an electron beam. In some cases, the wafer surface may be charged (charged up). Further, there is a possibility that a strongly charged portion or a weakly charged portion may occur on the wafer surface. Although the information on the secondary surface generated by the electron beam irradiation is used as the information on the surface of the wafer, if the charge amount on the surface of the wafer is uneven, the information on the secondary electron also includes the unevenness, and an accurate image can be obtained. Can not. Therefore, in this embodiment, a precharge unit 81 is provided to prevent uneven charging. The precharge unit 81 includes a charged particle irradiator 811 and irradiates charged particles from the charged particle irradiator 811 before irradiating the wafer with primary electrons for inspection, thereby eliminating charging unevenness. The charged state of the wafer surface can be detected by forming an image of the wafer surface in advance using the electron optical system 70 and evaluating the image, and based on the detected charged state, The irradiation of charged particles from the particle irradiation unit 811 is controlled. In the pre-charge unit 81, the primary electron beam may be irradiated with blurring.
In addition, as a method for inspecting an electrical defect of a wafer, it is possible to utilize a difference in voltage between a part which is originally electrically insulated and a part where the part is in an energized state. First, by applying a charge to the wafer in advance, the voltage of the part that is originally electrically insulated and the part of the part that is originally electrically insulated but that is energized for some reason are By generating a voltage difference with the voltage and then irradiating the electron beam, data of the voltage difference is obtained, and the obtained data is analyzed to detect that the power is on.
In such an electrical defect detection method, a precharge unit 81 may be used to charge the wafer in advance with electric charges.
Voltage application mechanism 83
FIG. 11 is a block diagram showing the configuration of the voltage application mechanism 83. The potential application mechanism 83 applies a potential of ± several volts to a mounting table of a stage on which the wafer is mounted, based on the fact that the generation rate of secondary electrons emitted from the wafer depends on the potential of the wafer. , So that the generation of secondary electrons is optimized. The potential applying mechanism 83 is also used to reduce the energy originally possessed by the irradiated primary electrons and control the electron energy on the wafer to about 100 to 500 eV.
As shown in FIG. 11, the potential application mechanism 83 includes a voltage application device 831 electrically connected to the mounting surface 551 of the stage device 50, and a charge-up investigation and voltage determination system (hereinafter referred to as an “investigation and determination system”). )) 832. The investigation and determination system 832 includes a monitor 833 electrically connected to the image processing unit 763 of the detection system 76 of the electron optical system 70, an operation input unit 834 connected to the monitor 833, and a connection to the operation input unit 834. The CPU 835 is provided. The CPU 835 is included in the control device 2 (FIG. 1) and supplies a voltage adjustment signal to the voltage application device 831. Note that the CPU 835 also supplies control signals to various components, such as supplying a scanning signal to the deflector 727 (FIG. 8) of the electron optical system 70. The potential application mechanism 83 displays the image formed by the image processing unit 763 on the monitor 833, searches the operation input unit 834 and the CPU 835 for a potential at which the wafer is unlikely to be charged, and outputs the obtained potential from the voltage application unit 831. The voltage is applied to the holder 55 of the stage device 50.
Electron beam calibration mechanism 85
As shown in [A] and [B] of FIG. 12, the electron beam calibration mechanism 85 is provided at a plurality of positions on a side of the wafer mounting surface 541 on the rotary table 54 and is used for measuring a plurality of beam currents. Faraday cups 851 and 852 are provided. The Faraday cup 851 is for a thin beam (φ = about 2 μm), and the Faraday cup 852 is for a thick beam (φ = about 30 μm). In the thin beam Faraday cup 851, the beam profile was measured by feeding the rotary table 54 in step S. The thick beam Faraday cup 852 measures the total current of the beam. The Faraday cups 851 and 852 are arranged such that the upper surface is at the same level as the upper surface of the wafer W mounted on the mounting surface 541. In this way, the primary electron beam emitted from the electron gun is constantly monitored, and the power supply to the electron gun is controlled so that the intensity of the electron beam irradiated on the wafer surface becomes substantially constant. Since the electron gun cannot always emit a constant electron beam, and the amount of the emitted electron beam changes due to aging or the like, the electron beam intensity is calibrated by such a mechanism.
Alignment control device 87
The alignment control device 87 is a device that positions the wafer W with respect to the electron optical system 70 using the stage device 50. The alignment control device 87 performs low-magnification alignment (positioning with a lower magnification than the electron optical system), which is rough alignment of the wafer by wide-field observation using the optical microscope 871 (FIG. 1), Control such as high magnification adjustment, focus adjustment, inspection area setting, and pattern alignment of a wafer using an optical system is performed. Note that such low-magnification inspection of the wafer is performed when the wafer pattern is observed in a narrow field of view using an electron beam to perform wafer alignment in order to automatically perform the wafer pattern inspection. This is because it is necessary to easily detect an alignment mark by an electron beam.
The optical microscope 871 is provided in the main housing 30, but may be provided movably in the main housing 30. A light source (not shown) for operating the optical microscope 871 is also provided in the main housing 30. The electron optical system for performing high-magnification observation shares the electron optical system of the electron optical system 70 (the primary optical system 72 and the secondary optical system 74).
FIG. 13 is a schematic diagram showing the configuration of the alignment control device 87. In order to observe the observation point on the wafer W at a low magnification, the observation point on the wafer is moved into the field of view of the optical microscope by moving the X stage or the Y stage of the stage device 50. The wafer is visually recognized in a wide field of view using the optical microscope 871, the position to be observed on the wafer is displayed on the monitor 873 via the CCD 872, and the observation position, that is, the position of the observation point is roughly determined. In this case, the magnification of the optical microscope 871 may be gradually changed from a low magnification to a high magnification.
Next, by moving the stage device 50 by a distance corresponding to the distance δx between the optical axis of the electron optical system 70 and the optical axis of the optical microscope 871, the observation point on the wafer determined using the optical microscope 871 is determined. Is moved to the visual field position of the electron optical system 70. In this case, the axis O of the electron optical system 703-O3And the optical axis O of the optical microscope 8714-O4(In this embodiment, both are assumed to be displaced only in the X-axis direction, but may be displaced in the Y-axis direction.) Since δx is known in advance, its value By moving by δx, the observed point can be moved to the visual recognition position. After the movement of the observation point to the viewing position of the electron optical system 70 is completed, the observation point is SEM imaged at a high magnification by the electron optical system, and an image is stored or displayed on the monitor 765.
After the observation point of the wafer is displayed on the monitor at a high magnification by the electron optical system in this manner, the position shift of the rotation direction of the wafer with respect to the rotation center of the rotation table 54 of the stage device 50, that is, the electronic Optical axis O of optical system3-O3Is detected in the rotational direction of the wafer with respect to, and the positional shift of the predetermined pattern with respect to the electron optical system 70 in the X-axis and Y-axis directions is detected. Then, the operation of the stage device 50 is controlled based on the detected value and the data of the inspection mark provided on the wafer separately obtained or the data on the shape of the pattern of the wafer and the wafer is aligned.
Control device 2
The control system of the control device 2 mainly includes a main controller, a control controller, and a stage controller.
The main controller is provided with a man-machine interface, through which the operator's operations are performed (various instructions / commands, input of recipes, etc., instructions for starting inspection, switching between automatic and manual inspection modes, manual operation). Input of all necessary commands in the inspection mode, etc.). In addition, communication with the host computer in the factory, control of the vacuum evacuation system, transfer of the wafer, control of the alignment, transmission of commands to the control controller and the stage controller, reception of information, and the like are also performed by the main controller. Also, an image signal is obtained from an optical microscope, a stage vibration correction function for correcting a deterioration of an image by feeding back a stage fluctuation signal to an electron optical system, a Z-axis direction of a wafer observation position (axial direction of a secondary optical system). Is provided with an automatic focus correction function for detecting the displacement of the lens and feeding it back to the electron optical system to automatically correct the focus. The transmission and reception of a feedback signal and the like to the electron optical system and the transmission and reception of a signal from the stage device are performed via a control controller and a stage controller, respectively.
The controller mainly controls the electron optical system, that is, controls a high-precision power supply for an electron gun, a lens, an aligner, a Wien filter, and the like. Specifically, the power supply is controlled so that the irradiation area always emits a constant electron current even when the magnification changes, and the voltage is automatically set to each lens system and aligner corresponding to each magnification. (Eg, automatic voltage setting for each lens system and aligner corresponding to each operation mode).
The stage controller mainly controls the movement of the stage, and enables precise movement in the X-axis direction and Y-axis direction on the order of μm (tolerance of about ± 0.5 μm). In the stage movement control, rotation direction control (θ control) is also performed within an error accuracy of about ± 0.3 seconds.
According to the above-described evaluation system according to the present invention, since the electron beam apparatus using the multi-beam can functionally combine each component of the evaluation system, the inspection target can be processed with high throughput. it can. Further, by providing a sensor for observing cleanliness in the environment space, it is possible to inspect the inspection object while monitoring dust in the space. Further, since the precharge unit is provided, the wafer made of an insulator is hardly affected by the charging.
Next, various embodiments of the combination of the stage device 50 of the electron beam device and the electron optical system 70 provided in the evaluation system 1 according to the present invention will be described.
When inspecting a sample that has been subjected to ultraprecision processing, such as a semiconductor wafer, it is necessary to use a stage device 50 that can accurately position the wafer in the vacuum working chamber 31. A structure in which the XY stage is supported in a non-contact manner by a hydrostatic bearing is employed as a stage device in which extremely high precision positioning is required. In this case, a differential exhaust mechanism for exhausting the high-pressure gas is formed in the range of the static pressure bearing so that the high-pressure gas supplied from the static pressure bearing is not directly discharged to the vacuum chamber, that is, the working chamber 31. The degree of vacuum is maintained. In this specification, “vacuum” refers to a vacuum state called in the technical field, and does not necessarily indicate an absolute vacuum.
FIG. 14 shows a conventional example of such a combination of the stage device 50 and the charged beam irradiation unit 72 of the electron optical system 70. In FIG. 14, [A] is a front view and [B] is a side view. In this conventional example, a leading end portion of a lens barrel 71 of an electron optical system device that generates a charged beam and irradiates the wafer W, that is, a charged beam irradiating section 72 is attached to a main housing 30 constituting a vacuum chamber 31. The inside of the lens barrel 71 is evacuated by a vacuum pipe 10-1 and the vacuum chamber 31 is evacuated by a vacuum pipe 11-1a. Then, the charged beam is irradiated from the front end portion 7 of the lens barrel 71 to a wafer such as the wafer W placed thereunder.
The wafer W is detachably held on a wafer mounting table, that is, a holder 55 by a known method, and the holder 55 is mounted on the upper surface of a Y table 52 constituting an XY stage. A plurality of static pressure bearings 9-1 are attached to the Y table 52 on the surface (the left and right sides and the lower surface in FIG. 14A) facing the guide surface 53a-1 of the X table 53. By the action of the bearing 9-1, it is possible to move in the Y direction (the left-right direction in FIG. 12B) while maintaining a small gap between the bearing and the guide surface. Further, a differential pumping mechanism is provided around the static pressure bearing 9-1 so that the high-pressure gas supplied to the static pressure bearing 9-1 does not leak into the vacuum chamber 31. This is shown in FIG.
As shown in FIG. 15, double grooves 18-1 and 17-1 are formed around the hydrostatic bearing 9-1, and these grooves are formed by a vacuum pipe and a vacuum pump (not shown). Evacuates constantly. With such a structure, the Y table 52 is supported in a non-contact state in a vacuum, and can freely move in the Y direction. The double grooves 18-1 and 17-1 are formed on the surface of the Y table 52 on which the static pressure bearing 9-1 is provided so as to surround the static pressure bearing. Since the structure of the static pressure bearing 9-1 may be a known structure, a detailed description thereof will be omitted.
The X table 53 on which the Y table 52 is mounted has a concave shape that opens upward as shown in FIG. 14, and the X table 53 has the same static pressure bearing and A groove is provided. Accordingly, the stage table, that is, the fixed table 51 is supported in a non-contact manner, and can move freely in the X direction.
By combining the movements of the Y table 52 and the Y table 53, the wafer W is moved to an arbitrary position in the horizontal direction with respect to the distal end portion 72 of the lens barrel 71, that is, the charged beam irradiation unit, and the charged beam is moved to a desired position on the wafer W. Can be irradiated.
Although the combination of the stage device 50 and the charged beam irradiation unit 72 of the electron optical system 70 shown in FIG. 14 can be used in the evaluation system 1 of the present invention, it has the following problems.
In the conventional example in which the static pressure bearing 9-1 and the differential pumping mechanism are combined, when the XY stage moves, the guide surfaces 53a and 51a facing the static pressure bearing 9-1 have high pressure of the static pressure bearing portion. It reciprocates between the gas atmosphere and the vacuum environment in the working chamber 31. At this time, a state where the gas is adsorbed to these guide surfaces while being exposed to the high-pressure gas atmosphere, and then the adsorbed gas is released when exposed to a vacuum environment, is repeated. Therefore, every time the XY stage moves, a phenomenon occurs in which the degree of vacuum in the working chamber 31 deteriorates, and the above-described processes such as exposure, inspection, and processing by the charged beam cannot be performed stably. There is a problem that the wafer is contaminated.
Therefore, there is a need for an apparatus capable of preventing a decrease in the degree of vacuum and stably performing inspection and processing by a charged beam. FIG. 16 shows an embodiment of the stage device 50 and the charged beam irradiating section 72 of the electron optical system 70 which can provide such an operation and effect. In FIG. 16, [A] is a front view, and [B] is a side view.
As shown in FIG. 16, a stage device 50 according to this embodiment has a partition plate 14- which protrudes largely horizontally on the upper surface of a Y table 52 in ± Y-axis directions (left and right directions in FIG. 16B). 1 is attached to the upper surface of the X table 53, so that a narrowed portion 50-1 having a constantly small conductance is formed. A similar partition plate 12-1 is also mounted on the upper surface of the X table 53 so as to project in the ± X-axis direction (the left-right direction in FIG. 14A). The diaphragm 51-1 is always formed at the same time. The fixed table 51 is fixed on the bottom wall in the main housing 30 by a known method.
Thus, no matter where the wafer table, that is, the holder 55 moves, the narrowed portions 50-1 and 51-1 are always formed, so that when the Y table 52 and the X table 53 are moved, the gas flows from the guide surfaces 53a and 51a. Is released, the movement of the released gas is hindered by the throttle units 50-1 and 51-1. Therefore, the pressure rise in the space 24-1 near the wafer irradiated with the charged beam can be suppressed to an extremely low level.
On the side and underside of the Y table 52, which is the movable part of the stage device 50, and on the underside of the X table 53, grooves for differential exhaust shown in FIG. 15 are formed around the hydrostatic bearing 9-1. Since the gas is evacuated by the groove, when the throttle portions 50-1 and 51-1 are formed, the gas released from the guide surface is mainly exhausted by these differential exhaust portions. For this reason, the pressure in the spaces 13-1 and 15-1 inside the stage device 50 is higher than the pressure in the working chamber 31. Therefore, not only the spaces 13-1 and 15-1 are evacuated by the differential evacuation grooves 17-1 and 18-1, but also by providing a separate evacuated portion, the pressure in these spaces can be reduced, and the The pressure rise in the vicinity 24-1 of W can be further reduced. Exhaust passages 11-1b and 11-1c for this purpose are provided. The exhaust passage 11-1b penetrates the fixed table 51 and the main housing 30 and communicates with the outside of the main housing 30. Further, the exhaust passage 11-1c is formed in the X table 53, and is opened on the lower surface of the X table.
Further, when the partition plates 12-1 and 14-1 are installed, it is necessary to enlarge the working chamber 31 so that the working chamber 31 and these partition plates do not interfere with each other. This can improve this point. As an example of this improvement, the partition plate is formed of rubber or a bellows shape, and its end in the moving direction is fixed to the X table 53 in the case of the partition plate 14-1, and in the case of the partition plate 12-1. It is preferable to fix to the inner wall of the housing 8.
FIG. 17 shows another embodiment of the stage device 50 and the charged beam irradiation section 72 of the electron optical system 70. In this embodiment, a cylindrical partition 16-1 is formed around the distal end portion of the lens barrel 71, that is, around the charged beam irradiation unit 72, so as to form an aperture between the upper surface of the wafer W as a sample. I have. According to this configuration, even if gas is released from the XY stage and the pressure in the working chamber 31 rises, the interior 24-1 of the partition is partitioned by the partition 16-1 and exhausted by the vacuum pipe 10-1. Therefore, a pressure difference is generated between the inside of the working chamber 31 and the inside 24-1 of the partition, and the pressure rise in the inside of the partition 24-1 can be suppressed low. The gap between the partition 16-1 and the surface of the wafer W should be adjusted depending on how much the pressure in the working chamber 31 and the pressure around the beam irradiation unit 72 is maintained. is there. The inside of the partition 16-1 and the vacuum pipe 10-1 are communicated by a known method.
In the electron optical system 70, a high voltage of about several kV may be applied to the wafer W, and if a conductive material is placed near the wafer, a discharge may occur. In this case, if the material of the partition 16-1 is made of an insulator such as ceramics, no discharge occurs between the wafer W and the partition 16-1.
The ring member 4-1 disposed around the wafer W is a plate-shaped adjustment component fixed to the wafer table, that is, the holder 55. The ring member 4-1 is set at the same height as the wafer W so that a minute gap 52-1 is formed over the entire periphery of the leading end of the partition 16-1. As a result, even if the charged beam is irradiated to an arbitrary position including the end of the wafer, a constant minute gap 52-1 is always formed at the tip of the partition 16-1. The pressure in the partition inner space 24-1 can be kept stable.
FIG. 18 shows another embodiment of the combination of the stage device 50 and the charged beam irradiation unit 72 of the electron beam device. In this embodiment, a partition 19-1 having a built-in differential pumping structure is provided around the charged beam irradiation unit 2 of the lens barrel 71. The partition 19-1 has a cylindrical shape, and has a circumferential groove 20-1 formed therein, and an exhaust passage 21-1 extends upward from the circumferential groove. The exhaust passage is connected to a vacuum pipe 23-1 via an internal space 22-1. The partition 19-1 is disposed so as to form a minute gap of about several tens μm to several mm between its lower end and the upper surface of the wafer W.
According to the configuration of FIG. 18, the gas is released from the stage device 50 in accordance with the movement of the XY stage, and even if the pressure in the working chamber 31 rises and the gas tries to flow into the charged beam irradiation unit 72, the partition 19- 1 narrows the conductance by narrowing the gap with the wafer W, so that the gas is hindered from flowing and the amount of flowing gas is reduced. Further, since the gas that has flowed in is exhausted from the circumferential groove 20-1 to the vacuum pipe 23-1, almost no gas flows into the space 24-1 around the charged beam irradiation unit 72, and the charged beam irradiation unit 72 Can be maintained at a desired high vacuum.
FIG. 19 shows still another embodiment of the stage device 50 and the charged beam irradiation unit 72 of the electron optical system 70. In this embodiment, a partition 26-1 is provided around the charged beam irradiation unit 72 in the working chamber 31, thereby separating the charged beam irradiation unit 72 from the working chamber 31. The partition 26-1 is connected to the refrigerator 30-1 via a support member 29-1 made of a material having good heat conductivity such as copper or aluminum, and is cooled to about -100 ° C to -200 ° C. . The member 27-1 is for interrupting heat conduction between the cooled partition 26-1 and the lens barrel 71, and is made of a material having poor heat conductivity such as ceramics or resin material. . The member 28-1 is made of a non-insulating material such as ceramics and is formed at the lower end of the partition 26-1 to prevent discharge from occurring between the wafer W and the partition 26-1. .
According to the configuration of FIG. 19, gas molecules that are going to flow into the charged beam irradiation unit 72 from the inside of the working chamber 31 are prevented from flowing in by the partition 26-1, and even if they flow in, they remain on the surface of the partition 26-1. Since the sample is frozen and collected, the pressure of the charged beam irradiation unit 72 can be kept low.
Note that, as the refrigerator 30-1, various refrigerators such as cooling with liquid nitrogen, a He refrigerator, a pulse tube refrigerator, and the like can be used.
FIG. 20 shows still another embodiment of the combination of the stage device 50 and the charged beam irradiation unit 72 of the electron optical system 70. The movable plates of the XY stage, that is, the Y table 52 and the X table 53 are provided with partition plates 12-1 and 14-1, similarly to the configuration of FIG. Even if it moves, the space 13-1 in the stage device and the inside of the working chamber 31 are partitioned by the partitions 50-1 and 51-1 by these partitions. Further, a partition 16-1 is formed around the charged beam irradiating section 72 in the same manner as in the configuration of FIG. 17, and the inside of the working chamber 31 and the space 24-1 where the charged beam irradiating section 72 is located are constricted 52-. Partitioned through one. For this reason, even if the gas adsorbed on the XY stage moves to the space 13-1 to increase the pressure in the space during the movement of the XY stage, the pressure increase in the working chamber 31 is suppressed low, and the space 24 The pressure rise of -1 can be further suppressed. Thus, the pressure in the space 24-1 of the charged beam irradiation unit 72 can be kept low. In addition, the space 16-1 is further formed by forming the partition 16-1 as a partition 19-1 having a built-in differential exhaust mechanism or a partition 26-1 cooled by a refrigerator as shown in FIG. 18. It can be stably maintained at a low pressure.
According to the structure of the above-described charged beam irradiation unit, it is possible to position the stage device with high accuracy in the vacuum working chamber, and to obtain high-precision image data because the pressure of the irradiation unit does not easily increase. Can be.
FIG. 21 shows another embodiment of the combination of the stage device 50 and the charged beam irradiation unit 72 of the electron optical system 70. In this embodiment, the tip of the electron optical system 70, that is, the charged beam irradiation unit 72, is attached to the main housing 30 that defines the working chamber 31. The pedestal of the XY stage, that is, the fixed table 51 in the stage device 50 is fixed to the bottom wall of the main housing 30, and the Y table 52 is mounted on the fixed table 51. On both side surfaces (left and right side surfaces in FIG. 19) of the Y table 52, grooves formed on a side of the pair of Y direction guides 7a-2 and 7b-2 placed on the fixed table 51 facing the Y table 52. A protrusion protruding inward is formed. The concave groove extends in the Y direction (direction perpendicular to the drawing) over substantially the entire length of the Y direction guide. Hydrostatic bearings 11a-2, 9a-2, 11b-2, and 9b-2 having a known structure are provided on the upper, lower, and side surfaces of the protrusion protruding into the concave groove, respectively. By blowing out the high-pressure gas through the Y-table 52, the Y-table 52 is supported in a non-contact manner with respect to the Y-direction guides 7a-2 and 7b-2, and can smoothly reciprocate in the Y-direction. In addition, a linear motor 12-2 having a known structure for driving in the Y direction is disposed between the fixed table 51 and the Y table 52. The high pressure gas is supplied to the Y table 52 by a flexible pipe 22-2 for supplying a high pressure gas, and the static pressure bearings 9a-2 to 11a-2 and the static pressure bearings 9a-2 to 11a-2 pass through a gas passage (not shown) formed in the Y table 52. A high-pressure gas is supplied to 9b-2 to 11b-2. The high-pressure gas supplied to the hydrostatic bearing is jetted into a gap of several microns to several tens of microns formed between the Y-direction guide and the opposing guide surface, and moves the Y table 52 relative to the guide surface in the X direction. And in the Z direction (in FIG. 21, the vertical direction of the drawing).
An X table 53 is mounted on the Y table 52 so as to be movable in the X direction (in FIG. 21, the horizontal direction in the drawing). On the Y table 52, a pair of X direction guides 8a-2 and 8b-2 (only 8a-2 is shown) having the same structure as the Y direction guides 7a-2 and 7b-2 for the Y table, It is provided in between. A groove is also formed on the side of the X-direction guide that faces the X table 53, and a protrusion that projects into the groove is formed on the side of the X table (the side that faces the X-direction guide). I have. The groove extends over substantially the entire length of the X-direction guide. The above-described hydrostatic bearings 11a-2, 9a-2, 10a-2, 11b-2, 9b-2, and 10b are provided on the upper, lower, and side surfaces of the protrusion of the X-direction table 53 that protrudes into the concave groove. -2, a static pressure bearing (not shown) is provided in a similar arrangement. A linear motor 13-2 having a known structure for driving the X table 53 is disposed between the Y table 52 and the X table 53. The high pressure gas is supplied to the X table 53 by the flexible pipe 21-2, and the high pressure gas is supplied to the static pressure bearing. The high-pressure gas is ejected from the static pressure bearing to the guide surface of the X-direction guide, so that the X-table 53 is supported with high precision and non-contact with the Y-direction guide. The vacuum working chamber 31 is evacuated by vacuum pipes 19-2, 20a-2, and 20b-2 connected to a vacuum pump or the like having a known structure. The inlet side of the pipes 20a-2 and 20b-2 (the inside of the working chamber) penetrates through the fixed table 51 and opens on the upper surface near the position where high-pressure gas is discharged from the XY stage. The internal pressure is prevented from rising as much as possible due to the high-pressure gas ejected from the static pressure bearing.
A differential evacuation mechanism 25-2 is provided around the charged beam irradiation unit 72 so that the pressure in the charged beam irradiation space 30-2 is sufficiently reduced even if the pressure in the working chamber 31 is high. I have. That is, the annular member 26-2 of the differential evacuation mechanism 25-2 attached around the charged beam irradiation unit 72 has a minute gap (several microns to several microns) between its lower surface (the surface on the wafer W side) and the wafer. It is positioned with respect to the main housing 30 so that a (hundred micron) 40-2 is formed, and an annular groove 27-2 is formed on the lower surface thereof. The annular groove 27-2 is connected to a vacuum pump or the like (not shown) by an exhaust pipe 28-2. Therefore, the minute gap 40-2 is exhausted through the annular groove 27-2 and the exhaust port 28-2, and the gas molecules are discharged from the working chamber 31 into the charged beam irradiation space 30-2 surrounded by the annular member 26-2. If they try to get in, they will be exhausted. Thereby, the pressure in the space 30 can be kept low, and the charged beam can be irradiated without any problem.
The annular groove 27-2 may have a double structure or a triple structure depending on the pressure in the chamber and the pressure in the charged beam irradiation space 30.
As the high-pressure gas supplied to the static pressure bearing, dry nitrogen is generally used. However, if possible, it is preferable to use a higher purity inert gas. This is because, when impurities such as moisture and oil are contained in the gas, these impurity molecules adhere to the inner surface of the main housing 30 and the surface of the components of the stage device 50 to deteriorate the degree of vacuum, This is because they adhere and deteriorate the degree of vacuum in the charged beam irradiation space.
The wafer W as a sample is not normally placed directly on the X table 53, but is provided with functions such as holding the wafer removably and slightly changing the position of the XY stage. Although placed on the wafer table, that is, the holder, the presence or absence of the holder and the structure thereof are not related to the gist of the present invention, and thus are omitted in the above description for simplification of the description.
In the charged beam apparatus described above, the stage mechanism of the hydrostatic bearing used in the atmosphere can be used almost as it is, so that a high-precision XY stage equivalent to the high-precision stage for the atmosphere used in an exposure apparatus or the like is almost used. It can be realized as an XY stage for a charged beam device at the same cost and size.
The structure, arrangement, and actuator (linear motor) of the static pressure guide described above are merely examples, and any static pressure guide or actuator that can be used in the atmosphere can be used.
FIG. 22 shows a numerical example of the size of the annular member 26-2 of the differential pumping mechanism and the annular groove 27-2 formed in the member. In this example, the annular groove has a double structure of two annular grooves 27-2a and 27-2b, which are radially separated.
The flow rate of the high-pressure gas supplied to the static pressure bearing is usually about 20 L / min (atmospheric pressure conversion). Assuming that the working chamber 31 is evacuated by a dry pump having an evacuation speed of 20000 L / min through a vacuum pipe having an inner diameter of 50 mm and a length of 2 m, the pressure in the chamber 31 is about 160 Pa (about 1.2 Torr). Become. At this time, by setting the dimensions of the annular member 26-2 and the annular groove and the like of the differential pumping mechanism as shown in FIG. 22, the pressure in the charged beam irradiation space 30-2 is reduced by 10%.-4Pa (10-6Torr).
FIG. 23 shows an exhaust mechanism for the working chamber 31 in the embodiment shown in FIG. A dry vacuum pump 53-2 is connected to the working chamber 31 via vacuum pipes 74-2 and 75-2. The annular groove 27-2 of the differential evacuation mechanism 25-2 is connected to a turbo molecular pump 51-2 which is an ultra-high vacuum pump via a vacuum pipe 70-2 connected to the evacuation port 28-2. ing. Furthermore, the inside of the lens barrel 71 is connected to a turbo molecular pump 52-2 via a vacuum pipe 71-2 connected to the exhaust port 18-2. These turbo molecular pumps 51-2 and 52-2 are connected to a dry vacuum pump 53-2 by vacuum pipes 72-2 and 73-2. (In FIG. 23, one dry vacuum pump is used for both the roughing pump of the turbo molecular pump and the vacuum exhaust pump of the vacuum chamber. However, the flow rate of the high-pressure gas supplied to the static pressure bearing of the XY stage, the volume of the vacuum chamber In some cases, these may be evacuated by a dry vacuum pump of another system, depending on the internal surface area, the inner diameter and length of the vacuum pipe.)
The high-purity inert gas (N) is passed through the flexible piping 21-2 and 22-2 to the static pressure bearing of the XY stage.2Gas, Ar gas, etc.). These gas molecules ejected from the static pressure bearing diffuse into the working chamber 31 and are exhausted by the dry vacuum pump 53-2 through the exhaust ports 19-2, 20a-2, and 20b-2. In addition, these gas molecules that have entered the differential exhaust mechanism and the charged beam irradiation space are sucked from the annular groove 27-2 or the tip of the lens barrel 71, and pass through the exhaust ports 28-2 and 18-2 to be turbocharged. It is evacuated by the molecular pumps 51-2, 52-2, and thereafter by the dry vacuum pump 53-2. Thus, the high-purity inert gas supplied to the hydrostatic bearing is collected by the dry vacuum pump and discharged.
On the other hand, the exhaust port of the dry vacuum pump 53-2 is connected to the compressor 54-2 via the pipe 76-2, and the exhaust port of the compressor 54-2 is connected to the pipes 77-2, 78-2, 79-. 2 and the flexible pipes 21-2 and 22-2 via the regulators 61-2 and 62-2. Therefore, the high-purity inert gas discharged from the dry vacuum pump 53-2 is pressurized again by the compressor 54-2, adjusted to an appropriate pressure by the regulators 61-2, 62-2, and then again. It is supplied to the static pressure bearing of the XY table.
As described above, the gas supplied to the hydrostatic bearing must be as pure as possible and contain as little moisture and oil as possible. It is required that the channel has a structure in which moisture and oil do not enter. Also, a cold trap, a filter, etc. (60-2) are provided in the middle of the discharge side pipe 77-2 of the compressor to trap impurities such as water and oil mixed in the circulating gas, and to the static pressure bearing. It is also effective not to be supplied.
By doing so, the high-purity inert gas can be circulated and reused, so that the high-purity inert gas can be saved.In addition, since the inert gas does not flow into the room where the device is installed, the inert gas can be reused. The risk of accidents such as suffocation due to suffocation can be eliminated.
A high-purity inert gas supply system 63-2 is connected to the circulation piping system, and the inert gas supply system starts the working chamber 31 and the vacuum piping 70-2 to 75-2 when starting to circulate the gas. And the role of supplying high-purity inert gas to all the circulation systems including the pressurized-side pipes 76-2 to 80-2, and the role of supplying a shortage when the flow rate of the circulating gas is reduced for some reason. ing.
Further, by providing the dry vacuum pump 53-2 with a function of compressing the pressure to the atmospheric pressure or more, it is possible to use the dry vacuum pump 53-2 and the compressor 54-2 by one pump. Further, as the ultra-high vacuum pump used for exhausting the lens barrel 72, a pump such as an ion pump or a getter pump can be used instead of the turbo molecular pump. However, when these storage pumps are used, a circulation piping system cannot be constructed in this portion. In addition, it is of course possible to use other types of dry pumps such as a diaphragm type dry pump in place of the dry vacuum pump.
According to the structure of the charged beam irradiation unit and the exhaust mechanism described above, the stage device can be positioned with high precision in the vacuum working chamber, and the pressure of the irradiation unit is hardly increased, so that high-precision image data can be obtained. Can be obtained. Further, these structures can be applied not only to the embodiment of the electron beam apparatus shown in FIG. 8 but also to the following embodiments and modifications thereof.
Next, the alignment of the electron optical system 70 of the electron beam apparatus according to the present invention with the wafer W at the start of the inspection will be described with reference to FIG. Usually, one or a plurality of alignment marks are formed on the wafer, and the alignment mark is detected by scanning a primary electron beam at the start of the inspection to position the wafer and the electron beam apparatus. FIG. 24 schematically shows a relationship between an alignment mark and a scanning area by a primary electron beam at the time of alignment. In FIG. 24, M1 to M3 are alignment marks on a wafer, and BS1 to BS9 are nine primary electrons. Beam spots formed on the surface of the wafer W by the lines, R1 to R9 indicate regions scanned by the primary electron beam at the start of inspection, and Z indicates the optical axis of the primary optical system of the electron beam apparatus.
In FIG. 24, when any of the alignment marks M1 to M3 is not included in the vicinity of the optical axis Z, that is, is not included in any of the regions R1 to R9, the position of the alignment mark cannot be detected. Further, when the alignment mark M3 exists in both of the two regions R7 and R8, one alignment mark is detected twice, and an erroneous mark may be detected.
On the other hand, when alignment marks M1 and M2 exist in only one area, accurate mark detection can be performed. That is, only when a single primary electron beam scans one alignment mark, a signal detected thereby is used as an alignment signal. In the example shown in the figure, the primary electron beams for scanning the regions R1 and R6 are farthest from each other, and there are few overlapping regions. Therefore, the XY stage is used so that one of these electron beams is used for mark detection. Is preferably moved. With this setting, even when the widest area is scanned at the time of positioning, the same condition as that when the alignment mark is scanned with only a single electron beam can be satisfied.
The above-described alignment can be applied to the electron beam apparatus according to the embodiment shown in FIG. 8, and also to other embodiments described below and modifications thereof.
Next, a method for improving the S / N ratio that can be employed in the electron beam apparatus of the present invention will be described. In the following description, the beam diameter D of the electron beam means the diameter (diameter or diagonal length) of the image of the electron beam on the wafer surface, and the interval between the electron beams is the wafer surface of the adjacent electron beam. It means the distance between the centers of adjacent images on the top. The modulation transfer function MTF (Modulation Transfer Function) is one of the performance evaluation methods of an optical system also called a sine wave response function or a contrast transfer function, and means a ratio of an image contrast to an object contrast when the light passes through the optical system. It shall be. When a pattern defect is detected by an electron beam device, the minimum line width corresponds to the minimum size of the defect to be detected.
Conventionally, a minimum line width d of 0.1 μm was empirically detected without clarifying an optimum value of a ratio between a minimum line width d of a pattern to be detected and a beam diameter D of an inspection electron beam. In order to evaluate a pattern having a minimum line width d of 0.05 μm, a beam diameter D sufficiently smaller than 0.05 μm was used.
However, if the beam diameter D of the electron beam is smaller than the minimum line width d of the pattern to be evaluated, the resolution increases, but the beam current I is small and the number of secondary electrons per pixel is small, so that the S / N ratio is small. (Signal / Noise ratio) becomes small, and there is a problem that the throughput of evaluation, that is, the processing amount per unit time is not improved. Conversely, when the beam diameter D is large, the pattern image is blurred, that is, the MTF is small, the contrast of the pattern is low, and high-precision inspection cannot be performed. In addition, there is a problem that the throughput cannot be improved.
The present inventors clarified the relationship between the S / N ratio and the ratio D / d of the beam diameter D of the electron beam to the minimum line width (or defect size) d of the pattern to be evaluated, and increased the S / N ratio to the maximum. By obtaining a D / d that can provide a high S / N ratio and a D / d that can provide a high S / N ratio, line width detection and defect detection can be performed with high accuracy and high throughput. Hereinafter, setting of the beam diameter will be described in detail.
FIG. 25 is a graph used to determine the value of the beam diameter D / minimum line width d at which the S / N ratio reaches the maximum value or near the maximum value, which is obtained as a result of the simulation by the present inventors. is there. In FIG. 25, a graph G11 shows a relationship (I ビ ー ム D) between the beam diameter D and the beam current I.4), Graph G12 represents the relationship between D / d and MTF, and graph G13 represents D / d and (MTF)2The graph G14 shows D / d and (MTF)2It shows the relationship with I. These graphs G11 to G14 were generated as follows.
First, the S / N ratio of a signal obtained when a secondary electron generated from a wafer is detected by scanning the wafer surface with a finely focused primary electron beam can be expressed by the following equation.
S / N
= {Signal / (offset value + signal)} (MTF) (N*/ 2)1/2(1)
Here, the MTF is a decrease in the contrast of a signal obtained when a beam having a finite size is scanned in a direction crossing a one-dimensional pattern having a finite size, and is a function of beam diameter / minimum line width = D / d. . N*Is the number of secondary electrons detected per pixel of scanning, and is proportional to the product of beam current I and secondary electron transmittance. That is,
N*∝ (beam current I) (secondary electron transmittance) (2)
To maximize the S / N ratio, (S / N)2Since it is sufficient to maximize the following expression, Expression (3) is obtained from Expressions (1) and (2).
(S / N)2∝ (MTF)2I (3)
MTF was determined by the following formula.
MTF = Max (f1, F2Convolution function) (4)
f1= 1 NP / 2 ≦ x <(N + 1) P / 2
f1= 0 (N + 1) P / 2 ≦ x <(NP / 2) (5)
f2= 1 / σ√ (2π) exp {-x2/ 2σ2} (6)
Where N is an integer
P = 2.34σ (D / d)
σ: Gaussian function constant and variance
For example, the value of MTF when the ratio D / d on the horizontal axis of the graph in FIG. 25 is 1.0 is P = 2.34σ, and the function f1And determine the function f2When the convolution function is obtained and the amplitude of the obtained function (the minimum value is 0, the maximum value is obtained), the value of the MTF with respect to 1.0 on the horizontal axis in FIG. 25 is obtained.
Similarly, when the ratio D / d is set to 0.5, 1.5, 2.0,..., And the like, the MTF value is obtained, and the value is plotted to find the relationship between MTF and D / d. The graph G12 shown is obtained.
From this graph G12 (MTF)2, A graph G13 is created, and a graph G11 representing I and (MTF)2(MTF) with graph G13 representing2By calculating as I, a graph G14 representing the right side of Expression (3) is obtained.
As is apparent from FIG. 25, the graph G14 shows that (MTF) when D / d ≒ 1.1.2I takes the maximum value and therefore (S / N)2That is, the S / N ratio becomes maximum. The MTF at this time is approximately 0.35. The graph G14 shows that (DTF) is in the range of 0.8 to 1.4 and (MTF)2This indicates that I (that is, the S / N ratio) is a value close to the maximum value. The MTF at this time is 0.2 to 0.6. Further, according to the graph G4, it is shown that when the D / d is in the range of 0.95 to 1.25, the S / N ratio is even better. The MTF at this time is 0.25 to 0.45.
Therefore, the maximum S / N ratio can be obtained by making D / d very close to 1.1, and by selecting D / d in the range of 0.95 to 1.25, the maximum value can be obtained. A close S / N ratio can be obtained, and a relatively high S / N ratio can be obtained by selecting D / d in the range of 0.8 to 1.4. Therefore, the value of D / d may be set according to how much the S / N ratio needs to be obtained. For example, the D / d ratio may be in the range of 0.66 to 1.5.
When this is converted into the range of MTF, when the MTF is 0.35, the maximum S / N ratio can be obtained. When the MTF is in the range of 0.25 to 0.45, the maximum S / N ratio is almost reached. Can be obtained, and when the MTF is in the range of 0.2 to 0.6, a relatively high S / N ratio can be obtained.
In addition, when one electron beam apparatus is used and the inspection time is T, which is the minimum line width d1 of the pattern to be evaluated, and when the inspection time is doubled (d2 = 2d1), for example, the inspection time is reduced. In some cases, it is necessary to execute two or more detection modes, such as when there is a request to shorten the time to T / 4 and when there is a request for both. As described above, when there are two or more detection modes and the time for changing the beam diameter D can be made sufficiently small, the beam diameters D1 and D2 used for the respective minimum line widths d1 and d2 are determined. The following two conditions
0.8 ≦ D1 / d1 ≦ 1.4
0.8 ≦ D2 / d2 ≦ 1.4
By simultaneously changing the beam diameters D1 and D2 so as to satisfy the above conditions, the most suitable electron beam can be used for each minimum line width. In this case, the beam diameter can be changed by providing two or more lenses and changing only the reduction ratio without changing the focal plane by the zoom action. In this case, in the electron optical system 70 of the electron beam apparatus shown in FIG. 8, by changing the reduced image position of the opening 723a of the multi-aperture plate 723 of the primary optical system in the Z-axis (optical axis) direction, The reduction ratio for the region from 723a to the wafer W is changed, and the beam diameter D is changed so that the value of D / d falls within the range of, for example, 0.8 to 1.4. As a result, the S / N ratio can be set to a value close to the maximum value.
Regarding the setting of the beam diameter D for improving the S / N ratio described above, in addition to the electron beam apparatus of the embodiment shown in FIG. Is also applicable.
Next, a method for detecting a short circuit of a wiring pattern on the wafer W using the electron beam apparatus shown in FIG. 8 will be described. As described above, in order to perform the defect inspection of the wafer surface and the evaluation of the pattern formed on the sample surface, the wafer is set on the stage device 50 and applied by charge injection by irradiating the wafer surface with a plurality of electron beams. The emission amount of secondary electrons that fluctuates according to the applied potential is observed by a plurality of detectors 761. Therefore, for example, when charge is injected by scanning an LSI with an electron beam, the short-circuit wiring portion of the LSI differs from the normal wiring portion in which the initial value of the potential is normal. Can be.
When a voltage lower than that of the wafer W is applied to the axisymmetric electrode 730, the axisymmetric electrode 730 forms a constant potential barrier. The secondary electrons exceed or are blocked by the potential barrier depending on the potential of the pattern of the wafer W. Therefore, only the secondary electrons that have exceeded a certain potential barrier are detected by the corresponding detectors 761, and the detected amount of secondary electrons increases or decreases depending on the potential of the pattern. On the other hand, charges are injected into the pattern of the wafer by the irradiation of the electron beam, and a potential determined depending on the capacitance of the charges is generated. Therefore, for example, it can be assumed that different chips have the same capacitance if the patterns are the same, and have the same potential if the charge injection amount is the same. Secondary electrons are observed based on such logic, and if a potential lower than the expected value is observed for a pattern expected to have the same potential, it is determined that a short circuit exists in the pattern. can do.
The above-described detection of a short circuit is not limited to the electron beam apparatus of the embodiment shown in FIG. 8, but can be applied to other embodiments described below and modifications thereof.
Next, a method for reducing the influence of the charge-up performed in the electron beam apparatus according to the present invention will be described. 2. Description of the Related Art Conventionally, in an electron beam apparatus that irradiates a wafer with a multi-beam, that is, a plurality of primary electron beams, various technical problems have been left unsolved. There is a problem of charge-up that occurs. Charge-up, or charging, occurs when the number of incident electrons and the number of electrons emitted as secondary electrons or reflected electrons are not the same in an object to be observed, that is, a sample in which an insulator, a floating conductor, or the like exists, and the irradiated portion is positive. Or, it is a phenomenon of being negatively charged. Charge-up is an unavoidable phenomenon in a semiconductor wafer having insulators, floating conductors, and the like. When this occurs, not only the wafer surface cannot be made equipotential, but also the potential is greatly different in the visual field due to local charging. The phenomenon occurs.
On the other hand, when accelerating low-energy electrons such as secondary electrons and enlarging and projecting them with a high magnification using an electrostatic lens, the multibeam has a narrow energy width that can be imaged due to axial chromatic aberration, and the energy is uniform over the entire visual field. Sensitive to sex. Therefore, if the potential distribution on the wafer surface is significantly different, there is a problem that an image is distorted or cannot be formed in the vicinity thereof, and proper observation cannot be performed. In addition, if the wafer is excessively charged, discharge or dielectric breakdown may occur, damaging the sample itself.
The occurrence of charge-up is determined by the secondary electron generation efficiency. The secondary electron generation efficiency is a value obtained by dividing the number of generated secondary electrons and reflected electrons by the number of electrons irradiated on the wafer. When the secondary electron generation efficiency is greater than 1, the wafer is positively charged. However, when the secondary electron generation efficiency is smaller than 1, the wafer is negatively charged. Therefore, it should be understood that the above-mentioned problems can be reduced by irradiating the primary electron beam to the insulator and the floating conductor so that the secondary electron generation efficiency is as close to 1 as possible. In fact it is not that simple.
As a result, a plurality of types of insulators and floating conductors having different secondary electron generation efficiencies are often mixed on a semiconductor wafer, and it is very difficult to obtain an image without charging up these. . Further, there are images such as a potential contrast image which cannot be observed unless a certain amount of charge-up is performed intentionally. In such a case, it is difficult to control the degree of charge-up.
For example, as an actual example of a semiconductor wafer including an insulator, a semiconductor wafer having a cross-sectional structure as shown in FIG. In FIG. 26, Su is a silicon substrate and a semiconductor, m1 and m2 are different kinds of insulators, and the surface of the semiconductor wafer is flattened by a process such as CMP. In addition, even in ordinary edge-enhanced SEM observation, the image contrast is low and a good observation image cannot be obtained.
When the wafer is irradiated with electrons Eb having a landing energy (incident energy) of V1, charge-up occurs and the landing energy shifts. As long as there is no leakage current, the amount of the shift reaches “a” and “b” in the graphs “A” and “b” of FIG. As a result, the charge-up potentials become Us / A(= A−V1) and Us / B(= B−V1).
in this case,
Umin<Us / A<Umax(7)
Umin<Us / B<Umax(8)
It is sufficient that the two inequalities are simultaneously satisfied, but in many cases, even if the position of the landing energy V1 is changed in the graph of FIG.
Therefore, in the electron beam device 70 according to the present invention, as shown in FIG. 28, in addition to the electrons having the landing energy V1, the electrons Eb 'having the landing energy V2 are irradiated. Here, the landing energies V1 and V2 are set so as to be located on both sides of the equilibrium points a and b of the insulators m1 and m2 as shown in FIG.
The charge-up potential of each of the insulators m1 and m2 illuminated with electrons having two different energies is detected as follows. The secondary electron efficiency curves of the insulators m1 and m2 with respect to the energy V of the irradiation electrons are represented by FA (V) and FB (V), respectively. The irradiation electron densities of the landing energies V1 and V2 on the wafer are defined as I1 and I2, respectively. The secondary electron mass densities Q1 and Q2 emitted from the surfaces of the insulators m1 and m2 by these two energy irradiations can be expressed as follows, respectively.
Q1 = I1 · FA (V1) + I2 · FA (V2)
Q2 = I1 · FB (V1) + I2 · FB (V2)
Generally, the values of Q1 and Q2 are not the same as the irradiation electron densities I1 and I2. As a result, charge-up occurs, and each insulator Us / AAnd Us / BOnly after a change in the surface potential occurs does the equilibrium state be reached. The equilibrium state can be expressed as follows.
I1 + I2 = I1*FA (V1 + Us / A) + I2*FA (V2 + Us / A)
(9)
I1 + I2 = I1*FB (V1 + Us / B) + I2*FB (V2 + Us / B)
(10)
These two equations (9) and (10) can be rewritten as follows, if I / (I1 + I2) = α is modified.
1 = α*FA (V1 + Us / A) + (1-α)*FA (V2 + Us / A)
(11)
1 = α*FB (V1 + Us / B) + (1-α)*FB (V2 + Us / B)
(12)
Us / AAnd Us / BIs determined to be a specific value that satisfies the inequalities (7) and (8), and assuming that one of V1, V2 and the ratio α of I1 to the total irradiation current density is a specified value, the expressions (11) and (12) are If the remaining two are calculated and set so as to be established at the same time, the wafer including the insulator can be observed in a good imaging state. Then, by adjusting the total irradiation current density, illumination can be performed under the most preferable irradiation conditions.
Incidentally, if all of V1 and V2 in the formulas (11) and (12) and the ratio α to the total irradiation current density are obtained as variables, it is possible to handle up to three types of insulators. Further, each time the irradiation electron energy is increased by one type, two new variables, V and I, are increased, so that the number of insulators that can be handled increases by two.
As described above, the wafer can be simultaneously irradiated with a plurality of electron beams, and the amount of current and the incident energy from each electron source can be controlled independently, so that a change in surface potential due to charge-up of each insulator or floating conductor can be reduced. The current amount and the incident energy can be set so as to be the respective target values. Therefore, a change in surface potential (Us) Is the minimum amount required for image observation (Umin) And the maximum amount (U) at which an observed image with little distortion can be obtained without damaging the wafer itself.max), And a clear and distortion-free image can be obtained. In addition, it is preferable to illuminate the field of view under uniform irradiation conditions. This eliminates partial charge-up and brightness of an image due to uneven irradiation in the field of view, and a clearer image can be obtained.
The above-described method for controlling the change in surface potential due to charge-up to a target value is not only applicable to the electron beam apparatus of the embodiment shown in FIG. 8, but also to other embodiments described below and their embodiments. Applicable to deformation.
The current amount of at least one electron gun and the incident energy to the wafer can be controlled in a time-sharing manner, so that an effect as if a plurality of electron guns having different current amounts and different incident energies are provided is provided. It is preferable to have In charge-up, temporal and spatial superposition is established, so that even in this case, the problem of charge-up can be reduced.
In this case, a detector that receives secondary electrons and converts them into an electric signal uses a combination of a secondary electron-optical converter and a photoelectric converter such as a PMT, and switches the illumination in a time-division manner. By storing the charge of the cycle in the CCD and extracting the same, it is possible to output the sum of outputs for all different illumination lights. Even in this case, it is preferable to illuminate the field of view under uniform illumination conditions.
Next, a method of scanning the wafer W using the electron beam apparatus shown in FIG. 8 will be described with reference to FIGS.
In one scanning method, as shown in FIG. 30, a wafer W is scanned with a primary electron beam in divided small regions 200. In the electron beam apparatus, the small region 200 is set so that the field of view of the primary electron beam is a region 300 slightly larger than the small region 200. The small region 200 corresponds to a region where the primary electron beam can be electrically deflected. After detecting secondary electrons generated from the wafer, the wafer is moved to irradiate the next small area 200. The next area is an unirradiated small area that skips at least one adjacent small area. . Since the charged charges decrease with time, the influence of the charging of the irradiated small area is sufficiently small, and the skipped small area is irradiated after a lapse of time. As an example of a method of selecting the irradiation order, as shown in FIG. 30, when a small region divided into 64 is irradiated in the order of (1), (2), (3),. Sufficient time can be allowed for irradiation of a small region adjacent to the small region after irradiation. It is preferable to execute an inspection based on secondary electrons detected from the irradiated small area while moving the wafer W.
The selection of the irradiation order of such a small region can be applied to an electron beam apparatus using one primary electron beam.
FIG. 31 shows another scanning method. In this example, the wafer W is divided into small stripe-shaped regions R1, R2, R3,. Then, the primary electron beam is moved in the long axis direction (Y-axis direction) of the small area while scanning in the short axis direction (X-axis direction) of the small area. When skipping one small region, the wafer is moved in the + Y-axis direction while scanning, irradiation of the small region R1 is performed, and then the wafer is moved in the X-axis direction, and then the wafer is moved in the -Y-axis direction. Irradiation of the small region R3 is performed. Irradiation is performed sequentially for every other region, and after irradiation of the small region Ri, irradiation of the small region R (i + 1) (i = 1, 2,..., N−1) is performed.
FIG. 32 shows still another scanning method. In this method, when irradiating a small area by scanning, scanning is started from a side close to the small area to be scanned and is advanced to a far side. That is, when scanning is performed for each row, after scanning the small region R11, the small region R12 is skipped and the small region R13 is scanned. In this case, the scanning of the small region R1 is performed at a point P11 close to the small region R13. And ends at the farthest point P12. When the scanning of the small area R11 is completed, the wafer W is moved stepwise, and the scanning of the small area R13 is started from the point P13 and is performed up to the point P14. Thereafter, R14 adjacent to the small region R13 is skipped, and a small region R15 is performed. When the line is completed, the process moves to the next line and the same scanning is performed for each small area. According to such a scanning method, the influence of charging can be reduced. Note that on the premise that scanning is started from a point in a small area that is distant from the scanning end point of the immediately preceding small area Rij, the adjacent small area Ri (j + 1) is not skipped after the end of the small area Rij. , The small area Ri (j + 1) may be scanned.
In the scanning of each small area in FIG. 32, for example, as shown in the small area R22, the scanning starts from the point P15, returns to the point P17 when reaching the point P16, and scans to the point P18. It may be. Note that the broken line in the small region R22 indicates a return line. In this way, the effect of the immediately preceding scan can be reduced by performing every other raster scan in each small area. The number of lines to be skipped is not limited to one, but may be arbitrary plural lines.
In the scanning method shown in FIGS. 30 to 32, skipping of a small area can be controlled electrically, so that there is almost no time loss and the influence of charging can be reduced.
Hereinafter, various embodiments of the electron beam apparatus according to the present invention other than the embodiment shown in FIG. 8 will be described.
FIG. 33 shows an embodiment of an electron optical system 70 applicable to the electron beam apparatus according to the present invention. This embodiment has a function of adjusting a plurality of apertures of a multi-aperture plate for generating emitted electrons into a multi-beam by rotating the apertures around an optical axis.
As shown in FIG. 33, the electron optical system 70 of this embodiment includes an electron gun 1-3 for generating an electron beam 17-3, and a secondary aperture from a surface of a wafer W irradiated with the electron beam. A secondary optical system (map projection unit) 25-3 for forming an image on the aperture 14-3 is provided. The electron gun 1-3 is a ZrO thermal field emission electron gun, in which Zr is welded to a <001> tungsten needle-like cathode, Zr is diffused at the tip of the needle, and activated in an oxygen atmosphere. Things. The electron gun 1-3 formed in this manner has an optical axis (that is, a vertical direction on the paper in FIG. 33 and a direction perpendicular to the paper in FIG. 34) as shown as a beam cross section on the XY plane in FIG. It is known that a strong electron beam 20-3 is emitted in the direction of the direction (Z axis), but an even stronger electron beam 17-3 is emitted in four <001> directions on the side. The strong electron beam 17-3 is emitted in four directions around the optical axis as shown in FIG.
The five strong electron beams 17-3 and 20-3 shown in FIG. 34 are converged by the condenser lens 2-3 to form a crossover image 5-3. A multi-aperture plate 4-3 having an aperture 4-3a between the condenser lens 2-3 and the crossover image 5-3 is arranged perpendicular to the optical axis. The multi-aperture plate 4-3 has four small openings 4-3a for discarding the electron beam 20-3 in the optical axis direction and passing the strong electron beam 17-3 in four directions around the optical axis. The electron beams passing through the four apertures 4-3a are reduced by the reduction lenses 6-3 and 8-3, and form four 100-nm diameter multi-beams on the wafer W on the stage device 50.
Since the center of the location where the intensity of the electron beam 17-3 is the highest and the position of the opening 4-3a are generally shifted by a predetermined angle about the optical axis (Z axis), a rotating lens 3-3 is provided. The electron beam 17-3 is rotated clockwise in FIG. 33 so that the center of the location where the intensity of the electron beam 17-3 is the maximum coincides with the position of the opening 4-3a. Further, while continuously moving the wafer W in the Y-axis direction by the stage device 50, the four strong electron beams 17-3 passing through the openings 4-3a are scanned in the X-axis direction. In order to evaluate the wafer, it is preferable that the intervals of the electron beams 17-3 projected in the X-axis direction are equal between any two beams. This is the same as the case of the electron optical system 70 of the electron beam device described with reference to FIG.
The rotating lens 3-3 is arranged at the same position in the Z-axis direction as the condenser lens 2-3. The rotating lens 3-3 includes a core of a ferromagnetic material whose axis is symmetrical with a U-shaped cross section and a coil wound around the optical axis inside the core. You can control the rotation fee. In addition, the condenser lens 2-3 is configured as a unipotential lens whose upper and lower poles are grounded and which applies a negative high voltage to the center electrode. Therefore, each electron beam has low energy at the position of the center electrode and can rotate with a small magnetic field of the rotating lens.
FIG. 34 shows four strong electron beams 17 ′-3 on the XY plane passing through the optical axis and parallel to the scanning direction (X-axis direction) at positions displaced by an angle φ from the Y-axis. As shown in FIG. 35, in order for the intervals e, f, and g in the X-axis direction to be equal to each other,
Since e = cosφ−sinφ, f = 2 sinφ, and g = cosφ−sinφ,
2 sinφ = cosφ−sinφ
If the angle φ is set so as to satisfy the above, the intervals e, f, and g in the X-axis direction of the four electron beams 17 ′-3 can be equalized.
The step of adjusting the angle [phi] of the four electron beams 17'-3 is performed by the rotating lens 18-3. The rotating lens 18-3 is disposed so as to coincide with the crossover position of the electron beam 17'-3 so that the magnification of the crossover image does not change even when the intensity of the rotating lens 18-3 is changed.
The secondary electrons emitted from the wafer W are enlarged by the objective lens 40-3 to form an enlarged image of about 4 times before the Wien filter (E × B filter) 23-3. And the image is formed on the multi-aperture plate 14-3 on the secondary optical system side by the magnifying lenses 12-3 and 13-3. The multi-aperture plate 14-3 has four openings 14-3a (larger than the openings 4-3a), and all the electrons coming near these pass through the openings and are detected by the detector 15-3. . However, the rotation of each opening 14-3a is performed so that electrons generated from the wafer surface by each of the four primary electron beams 17'-3 do not enter the corresponding opening 14-3a and do not enter the adjacent opening. The angle must match the rotation angle of each electron beam 17'-3. The step of adjusting the rotation angle is performed by the rotation lens 19-3 arranged between the magnifying lenses 12-3 and 13-3 and the multi-aperture plate 14-3.
The resolution of the electron optical system 70 shown in FIG. 33 is determined by the aberration of the objective lens 40-3. To reduce this aberration, a magnetic lens 21-3 is arranged near the objective lens 40-3. The magnetic lens 21-3 reduces the aberration by superimposing the lens electric field and the lens magnetic field. In consideration of the voltage applied to each electrode of the electrostatic lens 2-3, the maximum value of the magnetic field coincides with the position of the electrode to which the lowest voltage is applied, in the Z-axis (optical axis) direction position of the rotating lens 3-3. Is set as a position where In FIG. 33, the electron beam 20-3 emitted from the electron gun 1-3 in the optical axis direction has no corresponding opening provided in the multi-aperture plate 4-3, and is therefore not used.
In the electron optical system 70 shown in FIG. 33, the defect detection on the wafer surface is performed by comparing the image generated by the obtained image signal with standard pattern data or by comparing the detected images of the dies. The defect review on the wafer surface is performed by observing an image obtained by scanning a beam on a monitor synchronized with the scanning of the primary electron beam on the wafer surface. Further, the pattern line width measurement is performed based on an image obtained at that time by scanning the primary electron beam on the wafer surface in the short side direction of the pattern, and the pattern potential measurement is performed on the electrode closest to the wafer surface. Is performed by selectively feeding back secondary electrons emitted from a pattern having a high potential on the wafer surface to the wafer side.
As described above, the electron optical system 70 shown in FIG. 33 is provided with a rotating lens near the electron gun, rotates the electron beam around the optical axis, and adjusts the center and the hole of the place where the electron beam intensity is maximum. Eliminate the displacement of the position of the opening. As a result, the center of the place where the electron beam intensity is maximum and the position of the hole are accurately matched. Further, in the step of scanning four strong electron beams on the wafer in the X-axis direction, by providing a rotating lens and rotating the four electron beams, the interval of the projection of the four electron beams in the X-axis direction can be set to any value. Adjustments can be made to be equal between the beams. By arranging the rotating lens so as to coincide with the crossover position of the electron beam, it is possible to prevent the magnification of the crossover image and the imaging conditions from being affected even when the intensity of the rotating lens is changed. It is.
Furthermore, by providing a magnetic lens near the objective lens and adjusting this lens, the aberration of the objective lens that determines the resolution of the optical system can be reduced. Since this magnetic lens is disposed near the aperture image on the wafer, the rotation of the electron beam can be controlled without affecting the imaging conditions of the crossover image or the aperture image. Then, by superimposing the lens electric field and the lens magnetic field, the aberration of the objective lens can be reduced. Furthermore, the rotation angle of the detection aperture of the multi-aperture plate of the secondary optical system and the rotation angle of the secondary electron beam are set between the magnifying lenses 12-3 and 13-3 and the detection aperture. According to 19-3, it is possible to adjust and match, so that the image by the secondary electron beam and the rotation direction of the detection aperture can be matched, and crosstalk can be reduced.
Needless to say, the number of multi-beams is not limited to four.
FIG. 36 shows another embodiment of the electron beam apparatus according to the present invention. This embodiment particularly has a configuration of a multi-aperture plate for generating a multi-beam in the primary optical system, a point that a time variation of the intensity of an electron beam can be corrected in real time, It is characterized in that the transmittance of the secondary electrons can be corrected by adjusting the gain of the amplifier.
The electron beam device shown in FIG. 36 includes a primary optical system 10-4, a secondary optical system 20-4, and an inspection unit 30-4. The primary optical system 10-4 has an electron gun 11-4 that emits an electron beam, an electrostatic lens 12-4 that focuses the electron beam emitted from the electron gun 11-4, and a plurality of small openings. A multi-aperture plate (in this embodiment, referred to as an aperture plate electrode because it functions as an electrode) 13-4, an electrostatic intermediate lens 14-4 for focusing an electron beam, an electrostatic deflector 15-4, and E It comprises a × B separator / deflector 16-4, an electrostatic deflector 17-4, and an electrostatic objective lens 18-4, which, as shown in FIG. The electron beams emitted from the electron gun are arranged such that the optical axis O of the electron beam emitted from the electron gun is perpendicular to the surface (sample surface) of the wafer W.
In this embodiment, the electron gun 11-4 is a thermal field emission electron gun having a single cathode coated with Zr on a sharpened tungsten needle so that thermal field emission is possible. The coating of the cathode with Zr is thereafter treated in an oxygen atmosphere to change to ZrO, and the work function is lowered. As shown by the graph CL in FIG. 37, the intensity distribution of the electron beam emitted from the electron gun 11-4 has a maximum at the center (optical axis position) and decreases axially symmetrically as the distance from the optical axis increases. have.
LaB is an electron gun whose intensity does not decrease much even if it is far away from the optical axis.6In some cases, it is better to use an electron gun having a cathode, but in this case, since the emittance of the electron gun can be increased, many beams can be produced. The use of this electron gun in the space charge limited region is advantageous because shot noise is small.
In order to correct the curvature of field of the primary optical system 10-4, the aperture plate electrode 13-4 is formed such that the central portion 131-4 is located at the other peripheral portion as shown in FIG. It has a three-step structure that protrudes more toward the electron gun 11-1 than 132-4, and four corner portions 134-4 protrude toward the anti-electron gun side. The aperture plate electrode 13 is made of, for example, a high melting point metal such as Ta or Pt. In this example, as shown in FIG. 38, a total of nine openings or small holes 133-4a are provided in three rows and three columns. To 133-4i. A hole 133-4a is formed in the central portion 131-4, and holes 133-4 (133-4b, 133-4c, 133-4d, and 133-4g) are formed in the peripheral portion 132-4, and four corner portions are further formed. Holes 133-4 (133-4e, 133-4f, 133-4h, and 133-4i) are formed in 134-4, and are arranged as shown in [A] of FIG. The number of these holes is not limited to nine. These holes have, for example, a circular shape of 2 μmφ, and the pitch between adjacent holes is 1000 μm, but the size and pitch can be arbitrarily selected. However, the holes 133-4b, 133-4c, 133-4d, and 133-4g are arranged on the same circumference around the optical axis, and the holes 133-4e, 133-4f, 133-4h, and 133-h. 4i are on the same circumference. The shift amount λ of the stepped structure is a value corresponding to the curvature of field of the primary optical system, and the hole 133-4a on the optical axis O is replaced with the other holes 133-4b, 133-4c, and 133. -4d and 133-4g are closer to the electron gun side at a value λ corresponding to the curvature of field, and holes 133-4b, 133-4c, 133-4d and 133-4g are holes 133-4e and 133-4g. 4f, 133-4h and 133-4i are closer to the electron gun at a value λ corresponding to the curvature of field. In the aperture plate electrode 13-4 shown in FIG. 38A, the central portion 131-4 protrudes in a circular shape, but may protrude in a rectangular shape. 4 may project circularly with respect to the part 134-4. Further, the opening plate electrode may have a curved shape in which the central portion has a middle height, as indicated by 13'-4 in [B] of FIG. In this case, the holes 133-4b, 133-4c, 133-4d, and 133-4g are arranged on the same circumference centered on the optical axis, similarly to the aperture plate electrode of [A] in FIG. 133-4e, 133-4f, 133-4h and 133-4i are on the same circumference. The hole 133-4a in the optical axis O is closer to the electron gun side at a value λ corresponding to the field curvature than the other holes 133-4b, 133-4c, 133-4d, and 133-4g, and The holes 133-4b, 133-4c, 133-4d and 133-4g are closer to the electron gun side at a value λ corresponding to the field curvature than the holes 133-4e, 133-4f, 133-4h and 133-4i. I have.
The electrostatic deflectors 15-4 and 17-4 are 8-pole electrostatic deflectors in this embodiment. Since the octupole electrostatic deflectors 15-4 and 17-4 and the electrostatic lenses 12-4, 14-4 and 18-4 have known structures, their detailed description will be omitted. The E × B separator, that is, the E × B deflector 16-4 is shown in FIG. Also, the small holes formed in the aperture plate electrode are not limited to three rows and three columns, and as shown in FIG. 39 as aperture plate electrodes 13 ″ -4, holes 135-4a to 135-4d are formed. If four circular small holes or two circular small holes 136-4a and 136-4b are used, the beam intensity of the electron beam passing through each small hole can be made substantially the same. Since the distances from the optical axis are the same, there is no need to correct the field curvature.
Returning to FIG. 36, the secondary optical system 20-4 is a light beam inclined at a predetermined angle with respect to the optical axis O near the focal plane FP near the E × B deflector 16-4 of the primary optical system. It has deflection lenses 21-4 and 22-4 arranged along the axis O ', and a multi-aperture plate 23-4. In the multi-aperture plate 23-4, nine openings (only three openings are shown in FIG. 36) are formed in three rows and three columns corresponding to the holes of the multi-aperture plate 13-4 of the primary optical system. ing. The electron optical system 70 has a detector 31-4 (only 31-4a, 31-4b and 31-4c are shown in FIG. 36) for each opening of the multi-aperture plate 23-4. A signal processing unit 33-4 (in FIG. 36, 33-4a) is connected to each detector 31-4 via an amplifier 32-4 (only 32-4a, 32-4b, and 32-4c are shown in FIG. 36). , 33-4b, and 33-4c are shown). Each amplifier is provided with a gain adjuster 34-4 (only 34-4a, 34-4b, and 34-4c are shown in FIG. 36), and adjusts the gain or offset value of the amplifier. The gain adjuster 34-4 is electrically connected to the aperture plate electrode 13-4 via the common amplifier 35-4, and sends a signal indicating a change in current flowing through the aperture plate electrode to the gain adjuster 34-4. send. Since the beam intensity of the electron beam emitted from the thermal field emission electron gun 11-4 fluctuates with time, the aperture plate electrode 13-4 is insulated from the ground, the beam current is measured, and the beam current is measured. This is because the measured value of the fluctuation is fed back in real time to the amplification factor of the secondary electron signal, that is, the gain or offset value, so that the fluctuation of the beam current does not affect the signal. As described above, the number of holes formed in the aperture plate electrode is not limited to nine. In this case, the number of apertures, detectors, amplifiers, and the like formed in the multi-aperture plate 23-4 naturally becomes the number and arrangement corresponding to the number. The size of the opening is a circle of 2 μmφ, and the pitch between adjacent openings is 1200 μm. The aperture of the aperture plate electrode and the aperture of the aperture plate may be formed not only in a circle but also in a square.
Next, the operation of the electron beam apparatus shown in FIG. 36 will be described. An electron beam emitted from an electron gun 11-4 having a single cathode is focused by a condenser lens, that is, an electrostatic lens 12-4, and irradiates an aperture plate electrode 13-4. The electron beam passes through a plurality of small holes 133-4 formed in the aperture plate electrode 13-4, travels toward the sample, and has an electrostatic intermediate lens 14-4 and an electrostatic objective lens 18-4 provided on the way. To form an image on the surface (sample surface) of the wafer W. Secondary electrons are emitted from the wafer surface by irradiation of the primary electrons, and the secondary electrons are accelerated and converged by an accelerating electric field for the secondary electrons applied between the electrostatic objective lens 18-4 and the wafer W. Then, the beam becomes a beam having a relatively small diameter, passes through the electrostatic objective lens 18-4, and is substantially focused before the focal plane FP of the primary beam. At the position of the focal plane FP, the secondary electrons are deflected by the E × B deflector 16-4 so as to move along the optical axis O ′. The deflected secondary electrons enter the electrostatic lens 21-4. The electrostatic lens 21-4 is excited so that an electron of 2 eV on the wafer surface forms an image before the lens 21-4. The secondary electrons are further enlarged by the electrostatic lens 22-4 and form an image on the multi-aperture plate 23-4 for detection. The secondary electrons emitted from the wafer surface by the beam passing through each hole 133-4 of the aperture plate electrode 13-4 are guided to the corresponding detector through the corresponding aperture of the aperture plate 23-4.
The image formed by the multi-aperture plate 23-4 as described above is detected through the respective openings of the aperture plate by the detectors 31-4 arranged for the respective openings on the back surface of the aperture plate. Is converted into an electric signal by the detector 31-4. Signals from the detectors are amplified by amplifiers 32-4 and sent to corresponding signal processing circuits 33-4, respectively, which inspect the wafer surface for defects, measure the line width of the formed pattern, Defects are reviewed. Then, a predetermined area on the wafer surface is scanned with a plurality of electron beam beams traveling through the primary optical system 10-4 by the 8-pole electrostatic deflectors 15-4 and 17-4, and the area is inspected. And so on. In this case, by optimizing the deflection sensitivity ratio of the 8-pole electrostatic deflector by a known method, the deflection trajectory can be set to any position near the main surface of the electrostatic objective lens 18-4 in the Z-axis direction on the optical axis. , The beam blur at the time of large deflection can be minimized. In order to scan the entire wafer surface with the beam, scanning of the beam in the above area and movement of the wafer surface in the X-Y directions are performed in combination.
When the signal is amplified by the amplifier 34-4, the gain or the offset value is adjusted for each amplifier by the gain adjuster in order to correct the unevenness of the electron dose passing through the small hole of the aperture plate electrode 13-4. I do. In this case, it is possible to measure the time variation of the current flowing through the aperture plate electrode due to the irradiation of the electron beam, input the measurement result to a gain adjuster, and use it for adjusting the gain or offset value. Although the example in which the stepped aperture plate electrode 13-4 is used in combination with the amplifier whose gain or offset value can be adjusted has been described, the combination of the flat aperture plate electrode and the amplifier whose gain can be adjusted is combined. May be used.
In order to inspect the wafer surface for defects, measure the line width of a pattern, and review defects using the electron beam apparatus shown in FIG. 36, first, the size of small holes for forming a beam in the aperture plate, and In order to correct the magnitude of the next electron transmittance in advance, the wafer on which the pattern is not formed is set at a predetermined position and the electron beam apparatus is operated. Then, the gain adjusters 34-4 correct the gains and offset values of the respective amplifiers so that the outputs of the amplifiers 32-4 become the same. Next, the wafer to be inspected is set, the electron beam apparatus is operated as described above, the secondary electrons emitted from the wafer surface are detected by the detector, and the electric signal amplified by the amplifier is processed by the signal processing circuit. Process in 33-4. The signal processing circuit compares the processed signal with reference data relating to a designed pattern stored in a storage unit by, for example, a comparison circuit (not shown) to determine whether or not there is a defect in the pattern formed on the wafer and the position of the defect. Defect inspection can be performed by substituting the defect detection circuit for detection. Further, if the signal processing circuit is replaced with a line width measuring device, the line width of the pattern formed on the wafer surface can be measured. Further, if a monitor such as a CRT is further connected to the signal processing circuit, the defect can be reviewed. Furthermore, if a function of blanking a beam is provided somewhere in the primary optical system, it can be used as an EB tester.
According to the electron beam apparatus shown in FIG. 36, the time variation of the intensity of the electron beam from the electron gun can be corrected in real time, so that the inspection can be performed accurately. In addition, since variations in the multi-aperture plate and transmittance of secondary electrons can be corrected by adjusting the gain of the amplifier, there is no variation in the output from each detector.
FIG. 40 shows an electron optical system 70 applicable to the electron beam apparatus according to the present invention. In this embodiment, as shown in FIG. 40, the electron beam emitted from the electron gun 1-5 is enlarged by a three-stage condenser lens 3-5, 5-5, 6-5 to enlarge the light source image, and the final lens An image is formed on the entrance pupil 8-5 (shown by a solid line 16-5 in the drawing). On the wafer W side of the condenser lens 3-5, a multi-aperture plate 4-5 provided with four holes at equal intervals on the same circumference centered on the optical axis is provided, and an electron beam passing through those holes Is reduced by the two condenser lenses 5-5 and 6-5 and the objective lens 8-5 and is imaged on the wafer W (indicated by a broken line 14-5). An E × B separator 7-5 is provided on the electron gun side of the objective lens 8-5, and deflects the primary electron beam by 10 ° to the right in the traveling direction and the secondary electron beam by 30 ° to the right in the traveling direction. Let it. That is, the amount of deflection of the primary electron beam by the electric field of the E × B separator 7-5 is set to half of the amount of deflection by the magnetic field. Since the deflection chromatic aberration due to the electric field is half of the deflection chromatic aberration due to the magnetic field, the deflection chromatic aberration due to the electric field and the deflection chromatic aberration due to the magnetic field cancel each other, and the deflection chromatic aberration can be reduced to almost zero. The secondary electrons emitted from the four primary electron beam irradiation points on the wafer W form four enlarged images before the magnifying lens 10-5, and are further magnified by the magnifying lens 10-5. An image is formed on a multi-aperture plate 11-5 having two holes (indicated by a dashed line 12-5). A detector 13-5 is arranged behind each hole of the multi-aperture plate, detects the formed secondary electron image, and outputs it as an electronic signal.
Since the center of deflection of the E × B separator 7-5 is not at the image forming point of the primary electron beam, there is a possibility that the primary electron beam may have large deflection chromatic aberration. The chromatic aberration of deflection is reduced by making the value twice. More specifically, the light is deflected to the left by 10 ° by an electric field, deflected to the right by 20 ° by a magnetic field, and deflected to the right by 10 ° after subtraction. Correspondingly, the wafer W is arranged at an angle of 10 ° in order to receive the incident primary electron beam vertically. Of course, the primary optical system may be inclined by 10 ° with the wafer horizontal.
A cathode 2-5 inside the electron gun is a cathode of the thermal field emission electron gun, and has an optical axis direction of <100> direction, and a beam stronger than the optical axis direction in four directions of <310> or <100> direction of the side surface. Because of the emission, the <100> orientation emission is discarded and only the <310> or side <100> orientation emission is passed down. Since radiation in the <310> or side <100> directions is emitted in a sufficiently wide direction, even if the excitation of the condenser lens 3-5 is changed and the crossover dimension on the objective lens 8-5 is largely changed, the multi-aperture is not changed. The beam current that irradiates each hole of the plate 4-5 hardly changes, and the beam current can be kept unchanged.
The condenser lenses 5-5 and 6-5 are operated as zoom lenses, that is, the beam size and beam current are adjusted by changing the crossover magnification without changing the crossover imaging condition and the aperture image imaging condition. Is also good. The beam interval may be adjusted by using two lenses as zoom lenses.
According to the electron optical system 70 shown in FIG. 40, since the primary optical system is constituted by four lenses and the secondary optical system is constituted by one lens, the structure is simple and its control, that is, Control of beam spacing, beam size (diameter), and beam current becomes easy. The crossover is formed by enlargement by all lenses, and the aperture image is formed by reduction by all lenses, so that the optical system is simplified. In the secondary optical system, a sufficient magnification can be obtained with the objective lens and one lens after the E × B separator.
The openings of the multi-aperture plates 4-5 and 11-5 need to be arranged correspondingly, but needless to say, the number is not limited to four and can be set to any number.
FIG. 41 shows still another embodiment of the electron optical system 70 applicable to the electron beam apparatus according to the present invention. In this embodiment, the number of lens stages is reduced as much as possible to simplify the present invention. Since the number of lens stages is small, focusing and axis alignment of the primary electron beam and the secondary electron beam can be easily performed, and crosstalk between the electron beams can be reduced.
In the electron optical system 70 shown in FIG. 41, a single crystal LaB6The cathode is processed and arranged in a shape in which protrusions are arranged on the circumference. The electron beam emitted from the electron gun is focused by the condenser lens 3-6 and is applied to the multi-aperture plate 4-6. The multi-aperture plate 4-6 has nine openings provided on the same circle, and is set such that the intervals between the openings when projected on the X axis are equal. This is the same as the case shown in [A] of FIG. 9 in relation to the electron optical system 70 of the electron beam device shown in FIG. Further, the arrangement relationship of the apertures of the multi-aperture plate 14-6 and the plurality of detectors 15-6 of the secondary optical system, which will be described later, is the same as that shown in FIG. 9A.
The electron beam emitted from the electron gun 1-6 passes through the aperture of the multi-aperture plate 4-6 to be converted into a multi-beam, and is imaged at a point 7-6 by a reduction lens 5-6. An image is formed on the wafer W through -6. The objective lens 10-6 is a unipotential in which a positive high voltage is applied to the center electrode, whereby a plurality of primary electron beams, that is, multiple beams, are decelerated when irradiating the wafer W.
On the other hand, the secondary electrons emitted from the wafer by the multi-beam irradiation are accelerated by the electric field created by the objective lens 10-6, and are accelerated by the E × B filter including the electrostatic deflector 8-6 and the electromagnetic deflector 9-9. The light is deflected toward the secondary optical system, and focused on the multi-aperture plate 14-6 for the secondary optical system via the magnifying lens 13-6. Dotted line 18-6 is the trajectory of the secondary electrons emitted perpendicularly from the wafer among the secondary electrons emitted by the multi-beam irradiation, and the secondary electrons make a crossover at the position where the secondary electrons make a crossover. An aperture plate 20-6 for determining an opening is provided. Thereby, a beam having a large aberration can be removed.
In the electron optical system 70 of FIG. 41, the optical path common to both the primary electron beam and the secondary electron beam is between the E × B filter and the wafer W, and only the objective lens 10-6 exists as a lens. Therefore, focusing of the lens and alignment of the lens with respect to the primary electron beam and the secondary electron beam are easy. This applies to the electron optical system shown in FIG. That is, regarding the electron optical system 70 of FIG. 41, the objective lens 10-6 only needs to satisfy the focusing condition of the primary electron beam, and the focusing of the secondary electron beam is performed by, for example, the multi-aperture plate 14-6, This can be performed by mechanically moving the position of the aperture aperture plate 20-6.
The axis of the secondary lens can be aligned with the objective lens 10-6 without disturbing the axis of the secondary electron by using the axis aligning device 19-6. By adjusting the deflection amount of the × B separator while satisfying the Wien condition of the primary electron beam, it is possible to align the axis with the lens 13-6 without affecting the axis of the primary electron beam.
The blur in the multi-aperture plate 14-6 at which the secondary electrons emitted from the multi-beam irradiation point on the wafer W are focused can be easily calculated by performing simulation using commercially available software. When the beam interval of the multi-beam on the wafer is determined, the amount of blur in the multi-aperture plate 14-6 is divided by the magnification from the wafer W to the aperture plate 14-6. And blur on the wafer can be calculated. The aperture of the aperture plate 20-6 may be determined so that the blur amount is smaller than the beam interval. As another method, the aperture of the aperture aperture plate 20-6 may be set to a constant value, and the multi-beam interval may be larger than the blur of the secondary electron image converted to a value on the wafer.
In the electron optical system 70 shown in FIG. 41, as in the electron optical systems of the other embodiments, the primary electron beam is decelerated, so that the aberration is reduced and the aperture can be narrowed down. Also, since the secondary electrons are accelerated by the objective lens, the secondary electrons emitted at a large angle with respect to the optical axis are also narrowed by the objective lens to a narrow beam bundle, so that the aperture of the secondary optical system is reduced. Can be.
FIG. 42 shows another embodiment of the electron optical system 70 applicable to the electron beam apparatus according to the present invention. In this embodiment, a primary optical system 10-7 for irradiating the surface of the wafer W with an electron beam and a secondary optical system as an electron beam imaging optical system for imaging secondary electrons emitted from the wafer W on a detection surface are provided. An optical system 20-7 and a detection system 30-7 for detecting secondary electrons are provided. In the figure, an electron beam (primary electron beam) emitted from an electron gun 11-7 is focused by a condenser lens 12-7 composed of an electrostatic lens, and forms a crossover at a point CO. At the crossover point CO, a stop 14-7 having an aperture 141-7 for determining the NA is arranged.
Below the condenser lens 12-7, a multi-aperture plate 13-7 having a plurality of openings is arranged, thereby forming a plurality of primary electron beams. Each of the primary electron beams formed by the multi-aperture plate 13-7 is reduced by a reduction lens 15 composed of an electrostatic lens, and is combined with a deflection main surface DS of an E × B filter, that is, an E × B separator 16-7. Be scorched. After focusing at the point DS, the wafer W is focused by the objective lens 17-7 composed of an electrostatic lens. A plurality of primary electron beams emitted from the multi-aperture plate 13-7 are deflected by a deflector disposed between the reduction lens 15-7 and the objective lens 17-7 so as to simultaneously scan the surface of the wafer W. You.
In order to correct the field curvature aberration of the reduction lens 15-7 and the objective lens 17-7, as shown in FIG. 42, the multi-aperture plate 13-7 moves from the condenser lens 12 toward the periphery from the center. Has a stepped structure so as to increase the distance.
A plurality of focused primary electron beams irradiate a plurality of points on the wafer W, and secondary electrons are emitted from the plurality of irradiated points. The emitted secondary electrons are attracted by the electric field of the objective lens 17-7, are finely focused, and are focused at a point FP before the E × B separator 16-7. This is because each primary electron beam has energy of 500 eV on the surface of the wafer W, while the secondary electron beam has energy of only several eV. The plurality of secondary electron beams emitted from the wafer W are deflected by the E × B separator 14-7 to the outside of the optical axis of the primary optical system 10-7, separated from the primary electron beam, and It is incident on system 20-7.
The secondary optical system 20-7 includes magnifying lenses 21-7 and 22-7 formed of electrostatic lenses, and the secondary electron beam passing through these magnifying lenses 21-7 and 22-7 is a secondary electron beam. An image is formed on a plurality of detectors 31-7 through a plurality of openings of the multi-aperture plate 23-7 of the optical system. Note that a plurality of openings formed in the multi-aperture plate 23-7 disposed in front of the detector 31-7 and a plurality of openings formed in the multi-aperture plate 13-7 of the primary optical system have a one-to-one correspondence. , And the plurality of detectors 31-7 also correspond to these one-to-one.
Each detector 31-7 converts the detected secondary electron beam into an electric signal indicating its intensity. The electric signals output from the respective detectors are amplified by the amplifiers 32-7, respectively, and then received by the image processing unit 33-7 and converted into image data. A scanning signal for deflecting the primary electron beam is supplied to the image processing unit 33-7, and the image processing unit 33-7 processes the electric signal based on the scanning signal. Image data to be represented. By comparing the image of the wafer thus obtained with the standard pattern, a defect of the wafer can be detected.
In addition, the wafer is moved close to the optical axis of the primary optical system by registration, and a line width evaluation signal is taken out by line scanning, and the line width of the pattern on the wafer is corrected by appropriately correcting the line width evaluation signal. Can be measured.
When the primary electron beam that has passed through the aperture of the multi-aperture plate 13-7 of the primary optical system is focused on the surface of the wafer and the secondary electrons emitted from the wafer are imaged on the detector 31-7, the primary Special care must be taken to minimize the effects of three aberrations, coma, field curvature, and field astigmatism, which occur in the optical system and the secondary optical system. In addition, regarding the relationship between the interval between the irradiation points of the plurality of primary electron beams and the secondary optical system, if the interval between the primary electron beams is separated by a distance larger than the aberration of the secondary optical system, Cross stroke can be eliminated.
FIG. 43 shows still another embodiment of the electron optical system 70 applicable to the electron beam apparatus according to the present invention. In this embodiment, the deflection chromatic aberration caused by the E × B separator can be eliminated.
That is, in the electron optical device using the E × B separator, it is inevitable that the E × B separator has an aberration with respect to the primary optical system, and there is a problem that the deflection chromatic aberration is particularly large. Due to this deflection chromatic aberration, it is not possible to narrow the primary electron beam so as to have a predetermined beam diameter on the wafer surface.
The electron optical system 70 shown in FIG. 43 includes a primary optical system 20-8, a secondary optical system 30-8, and a detector 15-8. The primary optical system 20-8 is an irradiation optical system that irradiates the surface (sample surface) of the wafer W with a plurality of primary electron beams, and has an electron gun 1-8 that emits primary electron beams, and is two-dimensionally arranged. A multi-aperture plate 4-8 having a plurality of small holes 4a, electrostatic lenses 3-8, 5-8, 7-8 for focusing a primary electron beam emitted from an electron gun 1-8, and an electrostatic deflector 16- 8, an E × B separator 9-8, an aperture stop 17-8, and an objective lens 10-8 which is an electrostatic lens.
The E × B separator is designed so that the deflection angle by the electromagnetic deflector is twice that of the electrostatic deflector. Therefore, the primary electrons are deflected by α to the left of the figure and the secondary electrons are deflected by 3α to the right by the E × B separator 9-8. Although there is a problem that the primary optical system is installed at an inclination of α (for example, 5 °), the separation between the primary electron beam and the secondary electron beam is 4α (for example, 20 °), and can be easily separated. There is an advantage that deflection chromatic aberration due to the E × B separator does not occur in the primary electron beam.
As shown in FIG. 43, the primary optical system 20-8 has the electron gun 1-8 at the top, and the optical axis P of the primary electron beam emitted from the electron gun is perpendicular to the surface of the wafer W. Placed in Since the chromatic aberration of polarization is not generated in the primary electron beam by the E × B separator 9-8, the primary electron beam can be narrowed down.
The secondary optical system 30-8 includes a magnifying lens 12 including an electrostatic lens disposed along an optical axis Q inclined with respect to the optical axis P in the vicinity of the E × B separator of the primary optical system 20-8, and A multi-aperture plate 14-8 having a plurality of openings or small holes 14-8a arranged two-dimensionally is provided. The detector 15-8 includes a detection element 15-8a for each small hole 14-8a. The number and arrangement of the small holes 14-8a of the multi-aperture plate 14-8 correspond to the number and arrangement of the small holes 4-8a of the multi-aperture plate 4-8 of the primary optical system. In order to eliminate crosstalk between the plurality of primary electron beams, the distance between the irradiation positions of the plurality of primary electron beams on the wafer surface should be set to a distance larger than the aberration of the secondary optical system (the aberration of the objective lens with respect to the secondary electrons). I do.
44 to 46 are perspective views for explaining the operation principle of the E × B separator in the electron optical system 70 in FIG. 43. FIG. 44 is an overall schematic diagram, and FIG. 45 shows the force acting on the primary electron beam. FIG. 46 is a schematic view showing a force acting on a secondary electron beam. As shown in FIG. 44, when the magnetic pole 31B for applying a magnetic field and the electrode 31E for applying an electric field are arranged with a shift of 90 °, as shown in FIG. The beam trajectory is bent by the difference between the two. That is, if the deflection angle by the electrostatic deflector is α and the deflection angle by the electromagnetic deflector is 2α, the light is deflected by α. On the other hand, with respect to the secondary electron beam 30-8a, as shown in FIG. 46, the force FB due to the magnetic field and the force FE due to the electric field act in the same direction to emphasize each other. 8a is greatly bent and is deflected by 3α in the above case. This configuration is the same as a Wien filter that deflects a charged particle beam by an acceleration voltage, but in this embodiment, functions as an electromagnetic prism (beam splitter).
Referring back to FIG. 43, the primary electron beam that has passed through the E × B separator 9-8 reaches the aperture stop 17-8, and forms a crossover image at the position of the aperture stop 17-8. The primary electron beam that has passed through the aperture stop 17-8 is subjected to a lens action by the objective lens 10-8, reaches the wafer W, and irradiates the wafer surface in a narrowly focused state.
From the wafer irradiated with the primary electron beam, as a secondary electron beam 30-8, secondary charged particles having a distribution according to the surface shape, material distribution, change in potential, and the like of the wafer, that is, secondary electrons, confusion electrons, and Reflected charged particles (reflected electrons) are emitted, and any of them can be used depending on the specification. Here, the case where secondary electrons are selected will be described.
The emitted secondary electrons are affected by the objective lens 10-8, pass through the aperture stop 17-8 arranged at the focal position of the objective lens 10-8, and reach the E × B separator 9-8. The perpendicular magnetic field B and electric field E formed by the E × B separator 9-8 are not set so that the secondary electrons from the wafer W satisfy the Vienna condition. As a result, the secondary electrons that have passed through the aperture stop 17-8 are deflected by the E × B separator 9-8 and directed to the lenses 12-8 and 13-8 of a plurality of stages.
In the electron optical system 70 shown in FIG. 43, an E × B separator that bends both the trajectories of the primary electron beam and the secondary electron beam is used. However, the present invention is not limited thereto. Then, an electromagnetic prism that bends the trajectory of the secondary electron beam may be used. Many openings 14-8a are provided in the multi-aperture plate 14-8 of the secondary optical system. The opening 14-8a is conjugate with the wafer W with respect to the objective lens 10-8 and the lenses 12-8 and 13-8. The secondary electrons deflected by the E × B separator further reach the detector 15-8 via the plurality of lenses 12-8 and 13-8 and the opening 14-8a, and the secondary electrons of the reached secondary electrons It is converted into an electric signal corresponding to the intensity.
FIG. 47 shows an electron beam device according to the present invention. In this embodiment, the electron beam emitted from the cathode 2-9 of the electron gun 1-9 is focused by the condenser lens 3-9 to form a crossover at the point 5-9. Below the condenser lens 3-9, a multi-aperture plate 4-9 having a plurality of openings 4-9a is arranged, thereby forming a plurality of primary electron beams. Each of the primary electron beams formed by the multi-aperture plate 4-9 is reduced by the reduction lens 6-9 and focused on the wafer W by the objective lens 8-9. The plurality of primary electron beams emitted from the multi-aperture plate 4-9 are simultaneously deflected by deflectors 19-9 and 20-9 disposed between the reduction lens 6-9 and the objective lens 8-9. Deflected to scan over.
In order to eliminate the influence of the field curvature aberration of the reduction lens 6-9 and the objective lens 8-9, the small apertures 4-9a of the multi-aperture plate 4-9 are arranged on the circumference, and the X-axis direction of these apertures Are projected at equal intervals. This is the same as the case where the electron beam device 70 of the first embodiment has been described with reference to “A” in FIG. The plurality of focused primary electron beams irradiate a plurality of points on the wafer, and the secondary electron beams emitted from the plurality of illuminated points are attracted by the electric field of the objective lens 8-9 and become thin. The light is focused, deflected by the E × B separator 7-9, and detected by the plurality of detectors 13-9 via the secondary optical system.
The secondary optical system has magnifying lenses 10-9 and 11-9. The secondary electron beam that has passed through these magnifying lenses 10-9 and 11-9 forms an image on a plurality of openings 12-9a of the multi-aperture plate 12-9. The plurality of openings 12a of the multi-aperture plate 12-9 of the secondary optical system and the plurality of openings 4-9a of the multi-aperture plate 4-9 of the primary optical system correspond one-to-one. Each detector 13-9 converts the detected secondary electron beam into an electric signal representing its intensity, and the electric signal is amplified and A / D-converted by the amplifier 14-9, and then the image processing unit 15-9. -9 to be converted into image data. The image processing unit 15-9 is further supplied with a scanning signal for deflecting the primary electron beam, and forms an image representing the surface of the wafer.
By comparing the image representing the sample surface formed in the image processing unit 15-9 with a standard pattern, a defect of the wafer can be detected. In addition, the pattern to be evaluated on the wafer is moved to a position near the optical axis of the primary optical system by registration, a line width evaluation signal is obtained by line scanning, and the line width of the pattern is measured by appropriately correcting the signal. Can be.
When the primary electron beam is focused on the surface of the wafer W and the secondary electron beam emitted from the wafer is imaged on the detection systems 12-9 and 13-9, distortion and axial chromatic aberration generated in the primary optical system , And field astigmatism should be minimized. In the relationship between the interval between a plurality of electron beams and the secondary optical system, by making the minimum value of the interval between the primary electron beams larger than the aberration of the secondary optical system, crosstalk between the detected plurality of electron beams is reduced. Can be reduced.
Further, in the electron beam apparatus of FIG. 47, a switch (single-pole double-throw switch) 16-9 is provided for each signal path after the signal path including the secondary electron detector 13-9 and the amplifier 14-9. Two memories (memory 0 and memory 1) 17-9 and a switch (double pole single throw switch) 18-9 are connected, and a digital signal is supplied to the CPU 15-9 via these. The plurality of switches 16-9 are simultaneously switched, and the plurality of switches 18-9 are simultaneously switched. Further, these two sets of switches are simultaneously switched from the illustrated state. Therefore, in the state shown in the figure, while the digital signal corresponding to the i-th raster scan is stored in the memory 0, the digital signal obtained in the (i-1) raster scan and stored in the memory 1 is stored. Is transferred from the memory 1 to the CPU 15-9. When the i number of raster scans are completed, two sets of switches are switched, and the signals obtained in the i number of raster scans and stored in the memory 0 are transferred to the CPU 15-9. , And at the same time, a signal obtained by i + 1 raster scanning is stored in the memory 1. When the (i + 1) -th raster scanning is completed, the two switches are inverted. As a result, a signal corresponding to the intensity of the secondary electron beam can be faithfully transferred even when high-speed scanning with a clock frequency of 500 MHz to 1 GHz is performed.
FIG. 48 shows another embodiment of the electron optical system 70 applicable to the electron beam apparatus according to the present invention. In this embodiment, an electron gun 30-9 including electrodes of a cathode 31-9, a Wehnelt 32-9, and an anode 33-9, and a primary optics for imaging a primary electron beam emitted from the electron gun 30-9 on a wafer W. And secondary optics to guide secondary electrons generated from the wafer to the detector 38-9. In the primary optical system, the primary electron beam emitted from the electron gun 30-9 is aligned with the condenser lens 36-9 by the alignment deflectors 34-9 and 35-9, and is focused by the condenser lens 36-9. The wafer is focused by the objective lens 41-9, deflected by two steps by the electrostatic deflector 37-9 and the electromagnetic deflector 29-9, and scans the wafer.
Secondary electrons generated from the scanning point of the primary electron beam on the wafer are accelerated by a high positive voltage of the center electrode 49-9 of the objective lens 41-9, are focused finely, and pass through the objective lens. The secondary electrons that have passed through the objective lens 41-9 are deflected rightward in FIG. 51 by the E × B separators 29-9 and 40-9, and detected by the detector 38-9. In this case, the condenser lens 36-9 and the objective lens 41-9 are components that determine the outer diameter of the optical system. By reducing the outer diameter of these lenses 36-9 and 41-9, the electron diameter is reduced. The lens barrel of the electron optical system 70 of the beam apparatus can have a small outer diameter.
When the outer diameter of the lens barrel is small, a plurality of such lens barrels can be arranged on one wafer, so that a plurality of electron beams can be simultaneously formed on a single wafer by a plurality of lens barrels and evaluated. By doing so, wafer evaluation can be performed with high throughput.
FIGS. 49 to 51 are explanatory diagrams for describing an embodiment in which a plurality of electron optical systems are arranged in the electron beam apparatus according to the present invention.
In the embodiment shown in FIG. 49, the lens barrels 71 of a single electron optical system are arranged in 4 rows × 2 rows. This can be realized by reducing the size of the condenser lens, the objective lens, and the like to reduce the outer size of the lens barrel. This will be described using the electron optical system shown in FIG. 48 as an example.
In the electron optical system 70 shown in FIG. 48, the condenser lens 36-9 and the objective lens 41-9 are configured as axially symmetric lenses. In order to reduce the outer diameter of these lenses, the condenser lens 36-9 is The upper electrode 44-9, the center electrode 45-9, and the lower electrode 46-9 are cut out from the integral ceramic cylinder 43-9, and the cut ceramic surface is coated with metal. Similarly, for the objective lens 41-9, the upper electrode 48-9, the center electrode 49-9, and the lower electrode 50-9 are cut from the integral ceramic cylinder 47-9, and the cut ceramic surface is coated with metal. Manufacturing.
According to the above manufacturing method, the outer diameter of each lens can be reduced to 40 mmφ or less, and the lens barrels 71 can be arranged in 4 rows × 2 rows on the 8-inch wafer surface as shown in FIG. . It was found that a high voltage can be applied to a small gap between the electrodes by using platinum having a large work function as the metal material coated on the ceramic surface. As a result, axial chromatic aberration could be reduced, and a large current could be obtained with a small-sized beam. In FIG. 48, a portion indicated by 26-9 is a voltage introduction terminal for applying a voltage to the center electrode 45-9 of the condenser lens 36-9. In FIG. 49, reference numeral 38-9 denotes the detector shown in FIG.
In the electron optical system shown in FIG. 48 as well as in the electron optical system according to any of the above-described embodiments, the condenser lens and the objective lens have the structure shown in FIG. It can be placed on a wafer and inspected.
The embodiment shown in FIG. 50 is an example in which four single electron optical system lens barrels 71 are arranged in one row. In this example, in the electron optical system of each lens barrel 71, seven multi-rows in one row are used. A mode in which a wafer W is irradiated with a beam is shown. Therefore, the wafer can be scanned by 28 electron beams. In order to scan the entire wafer, the wafer is continuously moved in the X-axis direction and stepwise moved in the Y-axis direction by a stage device (not shown).
The embodiment shown in FIG. 51 is an example in which six single electron optical system barrels 71 are arranged in two rows and three columns. In this example, an example is shown in which the electron optical system of each lens barrel 71 irradiates the wafer W with a multi-beam of 3 rows and 3 columns. Therefore, the wafer can be scanned by 54 electron beams simultaneously.
As described above, by arranging a plurality of electron optical systems and providing a plurality of detectors corresponding to the multi-beam irradiating the wafer surface in each optical system, the throughput (inspection amount per unit time) of the inspection process can be reduced. It can be greatly increased.
As described with reference to FIG. 1, the wafer to be inspected is positioned on an ultra-precise XY stage through an atmospheric transfer system and a vacuum transfer system, and then fixed by an electrostatic chuck mechanism or the like. Defect inspection and the like are performed according to the procedure of 52. As shown in FIG. 52, first, the position of each die and the height of each location are detected by an optical microscope as necessary, and data is stored. The optical microscope is also used for acquiring an optical microscope image at a place where a defect or the like is to be monitored, and comparing it with an electron beam image. Next, the information of the recipe corresponding to the type of the wafer (after which process, the size of the wafer is 20 cm or 30 cm, etc.) is input to the apparatus, and thereafter, the inspection place is specified, the electron optical system is set, and the inspection condition is set. After that, a defect inspection is performed in real time while acquiring an image. Inspection is performed by a high-speed information processing system equipped with an algorithm for cell-to-cell comparison, die comparison, and the like, and the inspection result is output to a CRT or the like, or stored in a storage device, as necessary. Defects include particle defects, shape abnormalities (pattern defects), and electrical (disconnections and conduction failures such as wiring or vias) defects. These defects can be distinguished, the size of the defects, and killer defects (chip use). Classification of critical defects that would otherwise be impossible) can be automatically performed in real time. The detection of an electrical defect is achieved by detecting a contrast abnormality. For example, when an electron beam is irradiated (approximately 500 eV) to a place where conduction is poor, the place is usually positively charged and the contrast is lowered, so that it can be distinguished from a normal place. In this case, the electron irradiator usually includes an electron beam irradiator for inspection, and an electron beam generator (thermal electron generator, UV / photoelectron generator) having a low potential energy, which is separately provided to enhance contrast due to a potential difference. ). Before irradiating the inspection target area with the electron beam for inspection, the electron beam with low potential energy is generated and irradiated. In the case of an image projection system that can be positively charged by irradiating an electron beam for inspection itself, it is not necessary to separately provide a low-potential electron beam generator depending on use. Further, a defect can be detected from a difference in contrast caused by, for example, applying a positive or negative potential to the wafer with respect to the reference potential (caused by a difference in ease of flow depending on the forward or reverse direction of the element). It can also be used for line width measurement devices and alignment systems.
When the electron beam device is operated, an organic substance is deposited on various electrodes used for forming and deflecting an electron beam. Since the insulator gradually deposited on the surface has an adverse effect on the formation and deflection mechanism of the electron beam, the deposited insulator must be periodically removed. Periodic removal of the insulator is performed by using an electrode in the vicinity of a region where the insulator is to be deposited, in a vacuum, with hydrogen, oxygen, fluorine, or a compound HF or O containing them.2, H2O, CMFNSuch a plasma is generated, and only organic substances can be removed by oxidation, hydrogenation, and fluorination.
Next, a method for manufacturing a semiconductor device including a step of evaluating a semiconductor wafer during or after a process using the electron beam apparatus of the present invention will be described.
As shown in FIG. 56, the semiconductor device manufacturing method is roughly divided into a wafer manufacturing step S501 for manufacturing a wafer, a wafer processing step S502 for performing a necessary processing on the wafer, and a mask required for exposure. It comprises a mask manufacturing step S503, a chip assembling step S504 in which chips formed on the wafer are cut out one by one to make them operable, and a chip inspection step S505 for inspecting the completed chips. Each step includes several sub-steps.
Among the above steps, the step that has a decisive effect on the manufacture of the semiconductor device is the wafer processing step S502. This is because, in this step, a designed circuit pattern is formed on the wafer, and a large number of chips that operate as memories and MPUs are formed.
As described above, it is important to evaluate the processing state of the wafer performed in the sub-process of the wafer processing process that affects the manufacture of the semiconductor device. The sub-process will be described below.
First, a dielectric thin film serving as an insulating layer is formed, and a metal thin film forming a wiring portion and an electrode portion is formed. The thin film is formed by CVD, sputtering, or the like. Next, the formed dielectric thin film and metal thin film and the wafer substrate are oxidized, and a resist pattern is formed in a lithography process using the mask or reticle created in the mask manufacturing process S503. Then, the substrate is processed according to a resist pattern by a dry etching technique or the like, and ions and impurities are implanted. Thereafter, the resist layer is peeled off, and the wafer is inspected.
Such a wafer processing step is repeatedly performed for a required number of layers, and a wafer before being separated for each chip in the chip assembling step S504 is formed.
FIG. 57 is a flowchart showing a lithography step which is a sub-step of the wafer processing step of FIG. As shown in FIG. 57, the lithography process includes a resist coating process S521, an exposure process S522, a developing process S523, and an annealing process S524.
In the resist application step S521, a resist is applied on the wafer on which the circuit pattern has been formed using CVD or sputtering, and in the exposure step S522, the applied resist is exposed. Then, in a developing step S523, the exposed resist is developed to obtain a resist pattern, and in an annealing step S524, the developed resist pattern is annealed and stabilized. These steps S521 to S524 are repeatedly executed by the required number of layers.
In the process of manufacturing such a semiconductor device, inspection for defects and the like is performed after processing steps that require inspection. In general, a defect inspection apparatus using an electron beam is expensive, and the throughput is higher than other process apparatuses. Because of its low quality, it is preferable to use it after important steps that are considered to require the most inspection (for example, etching, film formation, or CMP (chemical mechanical polishing) planarization).
As described above, the semiconductor device is manufactured using the multi-beam electron beam apparatus in which the inspection processing according to the present invention has a high throughput, while inspecting for defects and the like after the completion of each step requiring inspection. Can also be manufactured with high throughput. Therefore, it is possible to improve the yield of products and prevent shipment of defective products.
[Brief description of the drawings]
FIG. 1 is an elevation view showing main components of the evaluation system according to the present invention.
FIG. 2 is a plan view of the main components of the evaluation system shown in FIG. 1 and is a view taken along line BB in FIG.
FIG. 3 is a diagram illustrating a relationship between the wafer transfer box and the loader.
FIG. 4 is a cross-sectional view illustrating the mini-environment device of FIG. 1, as viewed along line CC of FIG. 1.
FIG. 5 is a view showing the loader housing of FIG. 1 and is a view taken along line DD of FIG.
FIG. 6 is an enlarged view of the wafer rack, in which [A] is a side view and [B] is a cross-sectional view taken along line EE of [A].
FIGS. 7A and 7B are views showing a modification of the method of supporting the main housing.
FIG. 8 is a schematic diagram showing an embodiment of the electron beam apparatus according to the present invention applicable to the evaluation system shown in FIG.
FIGS. 9A and 9B respectively show the positional relationship of the openings of the multi-aperture plate used in the primary optical system and the secondary optical system of the electron beam apparatus shown in FIG. 8, and the scanning of the primary electron beam. It is a figure showing a system.
[A] and [B] of FIG. 10 are diagrams each showing an embodiment of an E × B separator applicable to the electron beam apparatus according to the present invention.
FIG. 11 is a diagram showing a potential application mechanism applicable to the electron beam device according to the present invention.
FIG. 12 is a diagram for explaining an electron beam calibration mechanism applicable to the electron beam apparatus according to the present invention, where [A] is a side view and [B] is a plan view.
FIG. 13 is a schematic explanatory diagram of a wafer alignment control device applicable to the electron beam apparatus according to the present invention.
FIG. 14 is a diagram illustrating a relationship between an XY stage and a charged beam irradiation unit of an electron optical system in a conventional electron beam apparatus.
FIG. 15 is a diagram showing a state of the bottom of the XY stage shown in FIG.
FIG. 16 is a diagram illustrating a relationship between an XY stage according to an embodiment applicable to the electron beam apparatus according to the present invention and a charged beam irradiation unit of the electron optical system.
FIG. 17 is a diagram illustrating a relationship between an XY stage according to another embodiment applicable to the electron beam apparatus according to the present invention and a charged beam irradiation unit of the electron optical system.
FIG. 18 is a diagram illustrating a relationship between an XY stage according to still another embodiment applicable to the electron beam apparatus according to the present invention and a charged beam irradiation unit of the electron optical system.
FIG. 19 is a diagram illustrating a relationship between an XY stage according to another embodiment applicable to the electron beam apparatus according to the present invention and a charged beam irradiation unit of the electron optical system.
FIG. 20 is a diagram illustrating a relationship between an XY stage according to still another embodiment applicable to the electron beam apparatus according to the present invention and a charged beam irradiation unit of the electron optical system.
FIG. 21 is a diagram illustrating a relationship between an XY stage according to another embodiment applicable to the electron beam apparatus according to the present invention and a charged beam irradiation unit of the electron optical system.
FIG. 22 is a diagram showing an operation discharge mechanism provided in the embodiment shown in FIG.
FIG. 23 is a diagram showing a gas circulation piping mechanism provided in the embodiment shown in FIG.
FIG. 24 is an explanatory diagram showing the relationship between the alignment mark on the wafer and the scanning area of the multi-beam.
FIG. 25 shows beam currents I, MTF, and MTF for optimal beam diameter setting.2, MTF26 is a graph showing a relationship between I and a beam diameter D / minimum line width d.
FIG. 26 is a diagram illustrating a cross-sectional structure of a wafer as a sample.
[A] and [B] in FIG. 27 are graphs respectively showing the relationship between the landing energy of electrons and the secondary quantum generation efficiency.
FIG. 28 is a diagram for explaining electron beam adjustment for a wafer according to the present invention.
[A] and [B] of FIG. 29 are graphs respectively showing the relationship between the landing energy and the secondary electron generation efficiency for each of the two insulators.
FIG. 30 is a view for explaining a method of scanning an electron beam on a wafer according to the present invention.
FIG. 31 is a view for explaining another method of scanning an electron beam on a wafer according to the present invention.
FIG. 32 is a view for explaining still another method of scanning an electron beam on a wafer according to the present invention.
FIG. 33 is a schematic view showing another embodiment of the electron beam apparatus according to the present invention.
FIG. 34 is a cross-sectional view showing an electron beam emitted from the electron gun in the electron beam device shown in FIG. 33 on an XY plane orthogonal to the optical axis.
FIG. 35 is an explanatory diagram for designing positions of four electron beams parallel to the scanning direction.
FIG. 36 is a schematic view showing still another embodiment of the electron beam apparatus according to the present invention.
FIG. 37 is a graph showing the intensity distribution of electrons emitted from the electron gun.
FIGS. 38A and 38B are perspective views showing two examples of aperture plate electrodes in the electron beam apparatus shown in FIG.
FIG. 39 is a plan view showing another example of the aperture electrode in the electron beam device shown in FIG.
FIG. 40 is a schematic view showing another embodiment of the electron beam apparatus according to the present invention.
FIG. 41 is a schematic view showing still another embodiment of the electron beam apparatus according to the present invention.
FIG. 42 is a schematic view showing another embodiment of the electron beam apparatus according to the present invention.
FIG. 43 is a schematic view showing still another embodiment of the electron beam apparatus according to the present invention.
FIG. 44 is an explanatory diagram for explaining the operation of the E × B separator.
FIG. 45 is an explanatory diagram showing the force acting on the primary electron beam of the E × B separator.
FIG. 46 is an explanatory diagram showing the force of the E × B separator on the secondary electron beam.
FIG. 47 is a schematic view showing another embodiment of the electron beam apparatus according to the present invention.
FIG. 48 is a schematic view showing still another embodiment of the electron beam apparatus according to the present invention.
FIG. 49 to FIG. 51 are diagrams for explaining embodiments in which a plurality of electron beam devices according to the present invention are arranged.
FIG. 52 is a flowchart showing the evaluation method according to the present invention.
FIG. 53 is a flowchart showing a method for manufacturing a semiconductor device according to the present invention.
FIG. 54 is a flowchart showing details of the lithography step of the steps shown in FIG.

Claims (60)

一次電子線を試料に照射する一次電子光学系と、検出系と、一次電子線の照射によって試料面から発生する二次電子線を検出系に指向させる二次電子光学系とを備えた、試料面の評価を行うための電子線装置において、
一次電子光学系に含まれ、電子銃から放出された電子を複数の一次電子線として生成するマルチビーム生成装置と、
一次電子光学系に含まれ、複数の一次電子線を試料上に同時に走査させる走査用偏向器と、
一次電子光学系及び二次電子光学系に共通に含まれ、複数の一次電子線を減速して試料に照射するとともに、試料の一次電子線の照射点から放出された複数の二次電子線を加速する対物レンズと、
一次電子光学系及び二次電子光学系に含まれ、対物レンズを通過した複数の二次電子線を一次電子光学系から二次電子光学系に偏向させる二次電子線分離装置と、
二次電子光学系に含まれ、偏向された複数の二次電子線を拡大する少なくとも1段の拡大レンズと、
検出系に含まれ、二次電子光学系からの複数の二次電子線に対応して設けられ、二次電子線を検出する複数の検出器と
からなることを特徴とする電子線装置。
A sample comprising: a primary electron optical system for irradiating the sample with a primary electron beam; a detection system; and a secondary electron optical system for directing a secondary electron beam generated from the sample surface by irradiation of the primary electron beam to the detection system. In an electron beam apparatus for performing surface evaluation,
A multi-beam generation device that is included in the primary electron optical system and generates electrons emitted from the electron gun as a plurality of primary electron beams;
A scanning deflector included in the primary electron optical system and configured to simultaneously scan a plurality of primary electron beams on the sample,
Included in the primary electron optics and secondary electron optics commonly, while decelerating multiple primary electron beams and irradiating the sample, the multiple secondary electron beams emitted from the sample primary electron beam irradiation point An accelerating objective lens,
A secondary electron beam separation device that is included in the primary electron optical system and the secondary electron optical system and deflects a plurality of secondary electron beams that have passed through the objective lens from the primary electron optical system to the secondary electron optical system,
At least one magnifying lens included in the secondary electron optical system and configured to expand the plurality of deflected secondary electron beams;
An electron beam apparatus, comprising: a plurality of detectors included in a detection system, provided corresponding to a plurality of secondary electron beams from a secondary electron optical system, and detecting the secondary electron beams.
請求項1記載の電子線装置において、
電子銃は、それぞれが電子線を放出する複数の電子源を含み、
マルチビーム生成装置は、電子銃と二次電子線分離装置との間に配置され、複数の電子源からの複数の電子線を通過させて複数の一次電子線を生成する複数の開口を有する第1のマルチ開口板を備え、
二次光学系は、複数の検出器の直前に配置され、複数の二次電子ビームを検出器に導く複数の開口であって、第1のマルチ開口板の複数の開口に1対1に対応している複数の開口を有する第2のマルチ開口板を備えている
ことを特徴とする電子線装置。
The electron beam device according to claim 1,
An electron gun includes a plurality of electron sources, each emitting an electron beam,
The multi-beam generation device is disposed between the electron gun and the secondary electron beam separation device, and has a plurality of openings that pass a plurality of electron beams from a plurality of electron sources and generate a plurality of primary electron beams. Equipped with one multi-aperture plate,
The secondary optical system is disposed immediately before the plurality of detectors, and is a plurality of openings for guiding a plurality of secondary electron beams to the detectors, and corresponds one-to-one with the plurality of openings of the first multi-aperture plate. An electron beam apparatus comprising a second multi-aperture plate having a plurality of openings.
請求項1記載の電子線装置において、
電子銃は、1つの電子源を含み、
マルチビーム生成装置は、電子銃と二次電子線分離装置との間に配置され、1つの電子源からの電子を複数の一次電子線としてマルチビーム化する複数の開口を有する第1のマルチ開口板を備え、
二次光学系は、複数の検出器の直前に配置され、複数の二次電子ビームを検出器に導く複数の開口であって、第1のマルチ開口板の複数の開口に1対1に対応している複数の開口を有する第2のマルチ開口板を備えている
ことを特徴とする電子線装置。
The electron beam device according to claim 1,
The electron gun includes one electron source,
The multi-beam generating device is disposed between the electron gun and the secondary electron beam separating device, and has a plurality of apertures having a plurality of apertures for converting electrons from one electron source into a plurality of primary electron beams. Equipped with a board,
The secondary optical system is disposed immediately before the plurality of detectors, and is a plurality of openings for guiding a plurality of secondary electron beams to the detectors, and corresponds one-to-one with the plurality of openings of the first multi-aperture plate. An electron beam apparatus comprising a second multi-aperture plate having a plurality of openings.
請求項2又は3記載の電子線装置において、第1のマルチ開口板は、一次電子光学系の像面湾曲を補正するように曲面形状又は段付き形状に構成されていることを特徴とする電子線装置。4. The electron beam apparatus according to claim 2, wherein the first multi-aperture plate is formed in a curved shape or a stepped shape so as to correct the field curvature of the primary electron optical system. Line equipment. 請求項2〜4のいずれかに記載の電子線装置において、第1及び第2のマルチ開口板の複数の開口は、直線的に配置されているか、又はm行n列のマトリックス状に形成されていることを特徴とする電子線装置。5. The electron beam apparatus according to claim 2, wherein the plurality of openings of the first and second multi-aperture plates are arranged linearly or formed in a matrix of m rows and n columns. An electron beam apparatus, comprising: 請求項2〜4のいずれかに記載の電子線装置において、第1のマルチ開口板の複数の開口は、一次電子光学系の光軸を中心としてほぼ同一円上に間隔をあけて配置されており、かつ、一次電子線の走査方向に平行な直線上に投影した点がほぼ等間隔となるよう配置されていることを特徴とする電子線装置。In the electron beam apparatus according to any one of claims 2 to 4, the plurality of openings of the first multi-aperture plate are arranged at intervals substantially on the same circle around the optical axis of the primary electron optical system. And an electron beam apparatus wherein points projected on a straight line parallel to the scanning direction of the primary electron beam are arranged at substantially equal intervals. 請求項6記載の電子線装置において、一次光学系はさらに、電子銃と試料との間に配置され、複数の電子線を光軸の周りに回転させるための磁気レンズを備えていることを特徴とする電子線装置。7. The electron beam apparatus according to claim 6, wherein the primary optical system further comprises a magnetic lens disposed between the electron gun and the sample, for rotating the plurality of electron beams around the optical axis. Electron beam device. 請求項1〜7のいずれかに記載の電子線装置において、該装置はさらに、
複数の検出器それぞれの後段に対応して設けられた複数の増幅器と、
該増幅器の利得又はオフセットを個別に調整する装置と
を備え、複数の検出器で検出される電子線量の不均一性を補正可能にしたことを特徴とする電子線装置。
The electron beam device according to any one of claims 1 to 7, wherein the device further comprises:
A plurality of amplifiers provided corresponding to the subsequent stages of each of the plurality of detectors,
An electron beam apparatus comprising: a device for individually adjusting a gain or an offset of the amplifier so as to correct non-uniformity of an electron dose detected by a plurality of detectors.
請求項2〜7のいずれかに記載の電子線装置において、該装置はさらに、
複数の検出器それぞれの後段に対応して設けられた複数の増幅器と、
第1のマルチ開口板に流れる電流値を測定監視する装置と、
測定された電流値に基づいて、複数の増幅器の利得又はオフセットを同時に調整する装置と
を備え、電子銃からの一次電子線の強度の時間的変動を補正可能にしたことを特徴とする電子線装置。
The electron beam apparatus according to any one of claims 2 to 7, wherein the apparatus further comprises:
A plurality of amplifiers provided corresponding to the subsequent stages of each of the plurality of detectors,
A device for measuring and monitoring a current value flowing through the first multi-aperture plate;
A device for simultaneously adjusting the gains or offsets of a plurality of amplifiers based on the measured current value, wherein an electron beam characterized by being able to correct temporal fluctuations in the intensity of the primary electron beam from the electron gun. apparatus.
請求項9記載の電子線装置において、該装置はさらに、複数の増幅器の利得又はオフセットを個別に調整する装置を備え、複数の検出器で検出される電子線量の不均一性を補正可能にしたことを特徴とする電子線装置。10. The electron beam apparatus according to claim 9, further comprising a device for individually adjusting gains or offsets of a plurality of amplifiers, so as to be able to correct non-uniformity of an electron dose detected by a plurality of detectors. An electron beam apparatus characterized by the above-mentioned. 請求項1〜10のいずれかに記載の電子線装置において、一次電子光学系はさらに、電子銃と対物レンズとの間に配置され、対物レンズの入射瞳に形成される拡大像の拡大率を調整するための少なくとも2段のレンズを含み、これらレンズを調整することにより、複数の一次電子線の間隔及びビーム径を調整可能にしたことを特徴とする電子線装置。The electron beam apparatus according to claim 1, wherein the primary electron optical system is further disposed between the electron gun and the objective lens, and adjusts a magnification of an enlarged image formed on an entrance pupil of the objective lens. An electron beam apparatus comprising at least two stages of lenses for adjustment, and adjusting the distance between the plurality of primary electron beams and the beam diameter by adjusting these lenses. 請求項1〜11のいずれかに記載の電子線装置において、一次電子光学系の電子銃は、熱電界放出電子銃であり、その光軸方向の面方位が、<100>方位であり、<310>又は側面の<100>方位からの電子線を利用するよう構成されていることを特徴とする電子線装置。12. The electron beam apparatus according to claim 1, wherein the electron gun of the primary electron optical system is a thermal field emission electron gun, and its plane direction in the optical axis direction is a <100> direction; 310> or an <100> side electron beam. 請求項1〜12のいずれかに記載の電子線装置において、複数の一次電子線の試料上の照射点の間隔は、検出器上での二次電子線の拡大像のボケを二次光学系の拡大率で除算した値よりも大きく設定されていることを特徴とする電子線装置。The electron beam apparatus according to claim 1, wherein the distance between the irradiation points of the plurality of primary electron beams on the sample is such that the blur of the enlarged image of the secondary electron beam on the detector is reduced by the secondary optical system. An electron beam apparatus characterized in that the value is set to be larger than a value obtained by dividing by an enlargement factor. 請求項1〜13のいずれかに記載の電子線装置において、
一次電子光学系はさらに、一次電子光学系の収差を補正する収差補正装置を備え、
該収差補正装置は、電磁レンズと静電レンズとの組合せ、電磁レンズと静電偏向器との組合せ、又は、電磁レンズと非点補正用レンズの組合せを含んでいる
ことを特徴とする電子線装置。
The electron beam device according to any one of claims 1 to 13,
The primary electron optical system further includes an aberration corrector that corrects aberration of the primary electron optical system,
The aberration correction device includes a combination of an electromagnetic lens and an electrostatic lens, a combination of an electromagnetic lens and an electrostatic deflector, or a combination of an electromagnetic lens and a lens for astigmatism correction. apparatus.
請求項1〜14のいずれかに記載の電子線装置において、
二次電子線分離装置は、E×B分離器であり、
一次電子光学系はさらに、一次電子光学系に対してE×B分離器が発生する偏向色収差を打ち消すために、電磁的に偏向される量が電界により偏向される量の2倍になるように設定されている
ことを特徴とする電子線装置。
The electron beam device according to any one of claims 1 to 14,
The secondary electron beam separator is an ExB separator,
The primary electron optical system is further configured so that the amount deflected electromagnetically is twice the amount deflected by the electric field in order to cancel the chromatic chromatic aberration generated by the E × B separator with respect to the primary electron optical system. An electron beam apparatus characterized by being set.
請求項1〜15のいずれかに記載の電子線装置において、
該装置はさらに、検査開始時に試料上の位置と一次電子線の照射点とを整合させる整合装置を含み、
整合装置は、複数の一次電子線の内の1つのみが試料上のアライメントマークを走査した場合にのみ、該アライメントマークからの信号をアライメント信号として利用するよう構成されている
ことを特徴とする電子線装置。
The electron beam device according to any one of claims 1 to 15,
The apparatus further includes a matching device that matches the position on the sample with the irradiation point of the primary electron beam at the start of the inspection,
The alignment device is characterized in that a signal from the alignment mark is used as an alignment signal only when only one of the plurality of primary electron beams scans the alignment mark on the sample. Electron beam device.
請求項1〜16のいずれかに記載の電子線装置において、該装置はさらに、チャージアップによる位置的に変動する試料表面電位を、像観察に必要な最低量と、試料を損傷させることなく歪みのない画像が得られる最大量との間の範囲に調整するために、複数の一次電子線の照射エネルギを調整する照射エネルギ調整装置を備えていることを特徴とする電子線装置。17. The electron beam apparatus according to any one of claims 1 to 16, wherein the apparatus further reduces the positional fluctuation of the sample surface potential due to charge-up with the minimum amount required for image observation and without damaging the sample. An electron beam apparatus comprising: an irradiation energy adjusting device that adjusts the irradiation energy of a plurality of primary electron beams in order to adjust the irradiation energy to a range between a maximum amount at which a defect-free image can be obtained. 請求項2に従属する請求項17記載の電子線装置において、照射エネルギ調整装置は、電子源に印加する電圧を制御して電子量を調整するよう構成されていることを特徴とする電子線装置。18. The electron beam apparatus according to claim 17, wherein the irradiation energy adjusting device is configured to control the voltage applied to the electron source to adjust the amount of electrons. . 請求項3に従属する請求項17記載の電子線装置において、照射エネルギ調整装置は、1つの電子源に印加する電圧を時分割で制御するよう構成されていることを特徴とする電子線装置。18. The electron beam apparatus according to claim 17, wherein the irradiation energy adjusting device is configured to control a voltage applied to one electron source in a time-division manner. 請求項1〜19のいずれかに記載の電子線装置において、該装置はさらに、複数の一次電子線の試料上の照射点のビーム径を最適化してS/N比を向上させたビーム径で照射するよう構成されていることを特徴とする電子線装置。20. The electron beam apparatus according to any one of claims 1 to 19, wherein the apparatus further comprises a beam diameter obtained by optimizing a beam diameter of a plurality of primary electron beams at an irradiation point on a sample and improving an S / N ratio. An electron beam device characterized by being configured to irradiate. 請求項20記載の電子線装置において、ビーム径は、試料の検査すべきパターンの最小線幅dと一次電子線のビーム径Dとの比D/dが0.95〜1.25の範囲にあるように、設定されることを特徴とする電子線装置。21. The electron beam apparatus according to claim 20, wherein the beam diameter is such that a ratio D / d of a minimum line width d of the pattern to be inspected on the sample to a beam diameter D of the primary electron beam is in a range of 0.95 to 1.25. An electron beam apparatus characterized by being set as described. 請求項20記載の電子線装置において、ビーム径は、試料の検査すべきパターンの最小線幅dと一次電子線のビーム径Dとの比D/dが0.8〜1.4の範囲にあるように、設定されることを特徴とする電子線装置。21. The electron beam apparatus according to claim 20, wherein a ratio D / d of the minimum line width d of the pattern to be inspected on the sample to the beam diameter D of the primary electron beam is in a range of 0.8 to 1.4. An electron beam apparatus characterized by being set as described. 請求項20記載の電子線装置において、ビーム径は、試料の検査すべきパターンの最小線幅dと一次電子線のビーム径Dとの比D/dが0.66〜1.5の範囲にあるように、設定されることを特徴とする電子線装置。21. The electron beam apparatus according to claim 20, wherein the beam diameter is such that a ratio D / d of a minimum line width d of the pattern to be inspected on the sample to a beam diameter D of the primary electron beam is in a range of 0.66 to 1.5. An electron beam apparatus characterized by being set as described. 請求項20記載の電子線装置において、ビーム径は、試料の検査すべきパターンの最小線幅の2倍のピッチの周期的パターンを走査した場合に、変調伝達関数MTFが0.2〜0.6の範囲にあるように、設定されることを特徴とする電子線装置。21. The electron beam apparatus according to claim 20, wherein the beam transfer means has a modulation transfer function MTF of 0.2 to 0.2 when a periodic pattern having a pitch twice the minimum line width of the pattern to be inspected on the sample is scanned. 6. An electron beam apparatus, wherein the electron beam apparatus is set to be in the range of 6. 請求項20記載の電子線装置において、ビーム径は、試料の検査すべきパターンの最小線幅の2倍のピッチの周期的パターンを走査した場合に、変調伝達関数MTFが0.25〜0.45の範囲にあるように、設定されることを特徴とする電子線装置。21. The electron beam apparatus according to claim 20, wherein the beam diameter is such that the modulation transfer function MTF is 0.25 to 0.2 when a periodic pattern having a pitch twice the minimum line width of the pattern to be inspected on the sample is scanned. An electron beam apparatus characterized by being set so as to be in a range of 45. 請求項20記載の電子線装置において、ビーム径は、試料の検査すべきパターンの最小線幅がdi(i=1,2,…)である2以上の評価モードを実行する場合に、ビーム径Diを最小線幅diに対応して切換設定可能であり、かつ、Di/diが0.8〜1.4の範囲にあるように、設定されることを特徴とする電子線装置。21. The electron beam apparatus according to claim 20, wherein the beam diameter is determined when two or more evaluation modes in which the minimum line width of the pattern to be inspected on the sample is di (i = 1, 2,...) Are executed. An electron beam apparatus characterized in that Di can be switched and set in accordance with the minimum line width di, and that Di / di is set in a range of 0.8 to 1.4. 請求項1〜26のいずれかに記載の電子線装置において、該装置は、試料である半導体ウエハのパターンの欠陥検査、線幅測定、合わせ精度測定、又は、高時間分解能電位コントラスト測定に使用される装置であることを特徴とする電子線装置。The electron beam apparatus according to any one of claims 1 to 26, wherein the apparatus is used for defect inspection, pattern width measurement, alignment accuracy measurement, or high time resolution potential contrast measurement of a pattern of a semiconductor wafer as a sample. An electron beam apparatus characterized in that the apparatus is an apparatus. 請求項27記載の電子線装置において、欠陥検査は、配線相互間の短絡及び導通不良を検出する検査を含んでいることを特徴とする電子線装置。28. The electron beam apparatus according to claim 27, wherein the defect inspection includes an inspection for detecting a short circuit and a conduction failure between wirings. 請求項1〜28のいずれかに記載の電子線装置において、
該装置はさらに、試料と対物レンズとの間に配置される軸対称レンズを備え、
該軸対称レンズの軸対称電極により所定の電位障壁を形成し、試料のパターンが有する電位に依存して電位障壁を超えた二次電子のみを二次電子線分離装置に導くようにした
ことを特徴とする電子線装置。
The electron beam device according to any one of claims 1 to 28,
The apparatus further comprises an axisymmetric lens disposed between the sample and the objective lens,
A predetermined potential barrier is formed by the axially symmetric electrode of the axially symmetric lens, and only secondary electrons exceeding the potential barrier are guided to the secondary electron beam separation device depending on the potential of the sample pattern. Characteristic electron beam device.
請求項1〜29のいずれかに記載の電子線装置において、一次電子光学系に含まれるレンズは、セラミックス材料の表面に金属をコーティングした軸対称レンズで構成されていることを特徴とする電子線装置。30. The electron beam apparatus according to claim 1, wherein a lens included in the primary electron optical system is an axially symmetric lens in which a metal is coated on a surface of a ceramic material. apparatus. 請求項1〜30のいずれかに記載の電子線装置において、該装置は、1以上の列に複数台ずつ配置され、1つの試料を複数の電子線装置で検査可能に構成されていることを特徴とする電子線装置。The electron beam apparatus according to any one of claims 1 to 30, wherein a plurality of the apparatuses are arranged in one or more rows so that one sample can be inspected by a plurality of electron beam apparatuses. Characteristic electron beam device. 請求項1〜31のいずれかに記載の電子線装置において、
該装置は、電子線走査制御部を備え、
電子線走査制御部は、試料の被測定領域を分割した小領域単位で一次電子線を照射し、かつ、1つの小領域の照射を完了後、隣接する小領域を少なくとも1以上スキップして、未照射の小領域の照射を実行するよう制御する
ことを特徴とする電子線装置。
The electron beam device according to any one of claims 1 to 31,
The apparatus includes an electron beam scanning control unit,
The electron beam scanning control unit irradiates a primary electron beam in small area units obtained by dividing the measured area of the sample, and after completing the irradiation of one small area, skips at least one or more adjacent small areas, An electron beam apparatus characterized in that control is performed so as to irradiate an unirradiated small area.
請求項1〜32のいずれかに記載の電子線装置において、
該装置は、電子線走査制御部を備え、
電子線走査制御部は、試料の被測定領域を分割した小領域単位で一次電子線を照射し、かつ、1つの小領域の照射を実行する際、その照射の開始時に、次に照射を行う小領域に近い側から照射を開始し、遠い側で照射を完了するよう制御する
ことを特徴とする電子線装置。
The electron beam device according to any one of claims 1 to 32,
The apparatus includes an electron beam scanning control unit,
The electron beam scanning control unit irradiates the primary electron beam in small area units obtained by dividing the measured area of the sample, and when irradiating one small area, performs the next irradiation at the start of the irradiation. An electron beam apparatus which starts irradiation from a side closer to a small area and controls to complete irradiation on a far side.
請求項1〜33のいずれかに記載の電子線装置において、
該装置は電子線走査制御部を備え、
電子線走査制御部は、試料の被測定領域を分割した小領域単位で一次電子線を照射し、かつ、1つの小領域の照射を実行する際、1以上の走査線をスキップして走査し、その後、スキップした走査線を照射するよう制御する
ことを特徴とする電子線装置。
The electron beam apparatus according to any one of claims 1 to 33,
The device includes an electron beam scanning control unit,
The electron beam scanning control unit irradiates the primary electron beam in small area units obtained by dividing the measured area of the sample, and scans by skipping one or more scanning lines when performing irradiation of one small area. An electron beam apparatus that controls to irradiate the skipped scanning lines thereafter.
請求項1〜34のいずれかに記載の電子線装置において、
該装置はさらに、試料を載置するステージ装置を備え、
該ステージ装置は、静圧軸受けによる非接触支持機構と差動排気による真空シール機構とを備え、
該試料面上の一次電子線が照射される箇所と、ステージ装置の静圧軸受け支持部との間にコンダクタンスが小さくなる仕切りを設け、
電子線照射領域と静圧軸受け支持部との間に圧力差が生じるようにした
ことを特徴とする電子線装置。
The electron beam device according to any one of claims 1 to 34,
The apparatus further includes a stage device on which the sample is placed,
The stage device includes a non-contact support mechanism using a static pressure bearing and a vacuum sealing mechanism using differential evacuation,
A partition having a small conductance is provided between a position on the sample surface where the primary electron beam is irradiated and a hydrostatic bearing support of the stage device,
An electron beam apparatus, wherein a pressure difference is generated between an electron beam irradiation area and a static pressure bearing support.
請求項35記載の電子線装置において、仕切りが差動排気構造を内蔵していることを特徴とする電子線装置。36. The electron beam apparatus according to claim 35, wherein the partition has a built-in differential exhaust structure. 請求項35又は36記載の電子線装置において、仕切りがコールドトラップ機能を有していることを特徴とする電子線装置。37. The electron beam apparatus according to claim 35, wherein the partition has a cold trap function. 請求項35〜37のいずれかに記載の電子線装置において、仕切りが、電子線照射位置の近傍と、静圧軸受け近傍の2カ所に設けられていることを特徴とする電子線装置。The electron beam apparatus according to any one of claims 35 to 37, wherein partitions are provided in two places near an electron beam irradiation position and near a hydrostatic bearing. 請求項35〜38のいずれかに記載の電子線装置において、ステージ装置の静圧軸受けに供給されるガスが、ドライ窒素もしくは高純度の不活性ガスであることを特徴とする電子線装置。39. The electron beam apparatus according to claim 35, wherein the gas supplied to the hydrostatic bearing of the stage device is dry nitrogen or a high-purity inert gas. 請求項35〜39のいずれかに記載の電子線装置において、ステージ装置の、少なくとも静圧軸受けに面する部品表面に放出ガスを低減するための表面処理を施したことを特徴とする電子線装置。40. The electron beam apparatus according to claim 35, wherein at least a surface of a part of the stage device facing the hydrostatic bearing is subjected to a surface treatment for reducing gas emission. . 請求項1〜40のいずれかに記載の電子線装置において、
試料を載置するステージ装置は、ハウジング内に収容されかつ静圧軸受けによりハウジングに対して非接触で支持されており、
ステージ装置が収容されたハウジングは、真空排気され、
電子線装置の試料面上に一次電子線を照射する部分の周囲には、試料面上の該照射領域を排気する差動排気機構が設けられている
ことを特徴とする電子線装置。
The electron beam device according to any one of claims 1 to 40,
The stage device on which the sample is placed is housed in the housing and supported by the static pressure bearing in a non-contact manner with respect to the housing,
The housing housing the stage device is evacuated,
An electron beam apparatus, comprising: a differential exhaust mechanism that exhausts an irradiation area on a sample surface around a portion of the electron beam apparatus that irradiates a primary electron beam onto a sample surface.
請求項41記載の電子線装置において、XYステージの静圧軸受けに供給されるガスはドライ窒素もしくは高純度の不活性ガスであり、該ドライ窒素もしくは高純度不活性ガスは、該ステージを収納するハウジングから排気された後加圧され、再び静圧軸受けに供給されることを特徴とする電子線装置。42. The electron beam apparatus according to claim 41, wherein the gas supplied to the static pressure bearing of the XY stage is dry nitrogen or a high-purity inert gas, and the dry nitrogen or the high-purity inert gas accommodates the stage. An electron beam apparatus characterized in that after being evacuated from a housing, it is pressurized and supplied to a static pressure bearing again. 試料を検査するための評価システムにおいて、
請求項1〜42のいずれかに記載の電子線装置と、
電子線装置のステージ装置と一次電子線の照射部とを収容しておりかつ真空雰囲気に制御可能になっているワーキングチャンバと、
ワーキングチャンバ内のステージ装置上に試料を供給するローダーと、
ワーキングチャンバ内に配置され、試料に電位を印加する電位印加機構と、
電子線装置の電子光学系に対する試料の位置決めのために、試料の表面を観察してアライメントを制御するアライメント制御装置と
を備え、
真空のワーキングチャンバは、床からの振動を遮断する振動遮断装置を介して支持されている
ことを特徴とする評価システム。
In an evaluation system for inspecting a sample,
An electron beam device according to any one of claims 1 to 42,
A working chamber that houses the stage device of the electron beam device and the irradiation unit of the primary electron beam, and that can be controlled to a vacuum atmosphere,
A loader that supplies a sample onto a stage device in a working chamber;
A potential applying mechanism disposed in the working chamber and applying a potential to the sample;
For positioning the sample with respect to the electron optical system of the electron beam device, an alignment control device that controls the alignment by observing the surface of the sample,
An evaluation system, wherein the vacuum working chamber is supported via a vibration isolation device that isolates vibration from the floor.
請求項43に記載の評価システムにおいて、
ローダーが、それぞれが独立して雰囲気制御可能になっている第1のローディングチャンバ及び第2のローディングチャンバと、試料を第1のローディングチャンバ内とその外部との間で搬送する第1の搬送ユニットと、第2のローディングチャンバに設けられていて試料を第1のローディングチャンバ内とステージ装置上との間で搬送する第2の搬送ユニットとを備え、
評価システムはさらに、ローダーに試料を供給するための仕切られたミニエンバイロメント空間を備えている
ことを特徴とする評価システム。
The evaluation system according to claim 43,
A first loading unit for transporting a sample between the first loading chamber and the second loading chamber, and a first loading chamber and a second loading chamber, each of which is independently controllable in atmosphere; And a second transport unit provided in the second loading chamber and transporting the sample between the inside of the first loading chamber and on the stage device,
The evaluation system further comprising a partitioned mini-environment space for supplying a sample to the loader.
請求項43又は44記載の評価システムにおいて、該システムはさらに、ステージ装置上の検査対象の座標を検出するレーザ干渉測距装置を備え、アライメント制御装置により、試料に存在するパターンを利用して検査対象の座標を決めることを特徴とする評価システム。45. The evaluation system according to claim 43, further comprising a laser interferometer for detecting coordinates of an inspection target on the stage device, wherein the alignment control device inspects using a pattern present on the sample. An evaluation system characterized by determining coordinates of an object. 請求項44記載の評価システムにおいて、試料の位置合わせは、ミニエンバイロメント空間内で行われる粗位置合わせと、ステージ装置上で行われるX−Y軸方向の位置合わせ及び回転方向の位置合わせとを含むことを特徴とする評価システム。In the evaluation system according to claim 44, the positioning of the sample includes coarse positioning performed in the mini-environment space, positioning in the XY axis direction, and positioning in the rotation direction performed on the stage device. An evaluation system characterized by including. 半導体デバイスの製造方法において、製造プロセス途中又は終了後の半導体デバイスの欠陥検査等の評価のために請求項1〜42ののいずれかに記載の電子線装置を用いたことを特徴とする半導体デバイスの製造方法。43. A method of manufacturing a semiconductor device, comprising using the electron beam apparatus according to claim 1 for evaluating a defect inspection of the semiconductor device during or after the manufacturing process. Manufacturing method. 半導体デバイスの製造方法において、製造プロセス途中又は終了後の半導体デバイスの欠陥検査等の評価のために請求項43〜47ののいずれかに記載の評価システムを用いたことを特徴とする半導体デバイスの製造方法。A method for manufacturing a semiconductor device, comprising using the evaluation system according to any one of claims 43 to 47 for evaluation such as a defect inspection of the semiconductor device during or after the manufacturing process. Production method. 一次電子線を試料に照射する一次電子光学系と、検出系と、一次電子線の照射によって試料面から発生する二次電子線を検出系に指向させる二次電子光学系とを備えた電子線装置を用いて、試料面の評価を行う方法において、
電子銃から放出された電子を複数の一次電子線として生成するマルチビーム生成ステップと、
複数の一次電子線を試料上に同時に走査させる走査ステップと、
一次電子光学系及び二次電子光学系に共通に含まれる対物レンズにより、複数の一次電子線を減速して試料に照射するステップと、
該対物レンズにより、試料上の一次電子線の照射点から放出された複数の二次電子線を加速するステップと、
対物レンズを通過した複数の二次電子線を一次電子光学系から二次電子光学系に偏向させる二次電子線偏向ステップと、
偏向された複数の二次電子線を少なくとも1段の拡大レンズにより拡大し、かつ、対応して設けられた複数の検出器に導く二次電子線導出ステップと、
二次電子光学系からの複数の二次電子線を、対応して設けられた複数の検出器により検出するステップと
からなることを特徴とする試料評価方法。
An electron beam including a primary electron optical system that irradiates a primary electron beam to a sample, a detection system, and a secondary electron optical system that directs a secondary electron beam generated from the sample surface by irradiation of the primary electron beam to the detection system. In a method for evaluating a sample surface using an apparatus,
A multi-beam generation step of generating electrons emitted from the electron gun as a plurality of primary electron beams,
A scanning step of simultaneously scanning a plurality of primary electron beams on the sample,
By an objective lens commonly included in the primary electron optical system and the secondary electron optical system, a step of irradiating the sample with a plurality of primary electron beams decelerated,
Accelerating the plurality of secondary electron beams emitted from the primary electron beam irradiation point on the sample by the objective lens;
A secondary electron beam deflection step of deflecting a plurality of secondary electron beams passing through the objective lens from the primary electron optical system to the secondary electron optical system,
A secondary electron beam deriving step of enlarging the deflected plurality of secondary electron beams by at least one stage of magnifying lens, and guiding the deflected secondary electron beams to a plurality of corresponding detectors;
Detecting a plurality of secondary electron beams from the secondary electron optical system with a plurality of detectors provided correspondingly.
請求項49記載の試料評価方法において、
マルチビーム生成ステップは、一次電子線生成電子銃と試料との間に配置された磁気レンズにより、複数の一次電子線を光軸の周りに回転させるステップと、一次電子線を第1のマルチ開口板に設けられた複数の開口を介してマルチビーム化するステップとを含み、
二次電子線導出ステップは、拡大レンズにより拡大された複数の二次電子線を第2のマルチ開口板の複数の開口を介して複数の検出器に導くステップを含んでいる
ことを特徴とする試料評価方法。
The sample evaluation method according to claim 49,
The multi-beam generating step includes: rotating a plurality of primary electron beams around an optical axis by a magnetic lens disposed between the primary electron beam generating electron gun and the sample; Multi-beam through a plurality of apertures provided in the plate,
The step of deriving the secondary electron beam includes guiding the plurality of secondary electron beams enlarged by the magnifying lens to the plurality of detectors through the plurality of openings of the second multi-aperture plate. Sample evaluation method.
請求項49又は50記載の試料評価方法において、該方法はさらに、
複数の検出器それぞれの後段に対応して設けられた複数の増幅器により増幅するステップと、
これら増幅器の利得又はオフセットを個別に調整するステップと
を備え、複数の検出器で検出される電子線量の不均一性を補正可能にしたことを特徴とする試料評価方法。
The method of claim 49 or claim 50, wherein the method further comprises:
Amplifying by a plurality of amplifiers provided corresponding to the subsequent stage of each of the plurality of detectors,
A step of individually adjusting the gain or offset of these amplifiers, whereby the non-uniformity of the electron dose detected by the plurality of detectors can be corrected.
請求項49〜51のいずれかに記載の試料評価方法において、該方法はさらに、対物レンズの入射瞳に形成される拡大像の拡大率を調整するステップを含み、該調整により、複数の一次電子線の間隔及びビーム径を調整可能にしたことを特徴とする試料評価方法。52. The sample evaluation method according to any one of claims 49 to 51, further comprising a step of adjusting a magnification of a magnified image formed on an entrance pupil of the objective lens, wherein the plurality of primary electrons are adjusted. A sample evaluation method characterized in that a line interval and a beam diameter can be adjusted. 請求項49〜52のいずれかに記載の試料評価方法において、
二次電子線偏向ステップは、E×B分離器によって実行され、
試料評価方法はさらに、E×B分離器を、一次電子光学系に対して該E×B分離器が発生する偏向色収差を打ち消すために、電磁的に偏向される量が電界により偏向される量の2倍になるように設定するステップを備えている
ことを特徴とする試料評価方法。
The sample evaluation method according to any one of claims 49 to 52,
The secondary electron beam deflection step is performed by an ExB separator,
In the sample evaluation method, the amount of electromagnetically deflected by the electric field is used to cancel the deflection chromatic aberration generated by the E × B separator with respect to the primary electron optical system. A method for evaluating a sample, comprising a step of setting the value to be twice as large as:
請求項49〜53のいずれかに記載の試料評価方法において、該方法はさらに、複数の一次電子線の試料上の照射点のビーム径を、S/N比を向上させるよう最適化するステップを備えていることを特徴とする試料評価方法。54. The sample evaluation method according to any one of claims 49 to 53, further comprising the step of optimizing a beam diameter of a plurality of primary electron beams at an irradiation point on the sample so as to improve an S / N ratio. A sample evaluation method, comprising: 請求項54記載の試料評価方法において、ビーム径は、試料の検査すべきパターンの最小線幅の2倍のピッチの周期的パターンを検査する場合に、変調伝達関数MTFが0.2〜0.6の範囲にあるように、設定されることを特徴とする試料評価方法。55. The sample evaluation method according to claim 54, wherein the beam diameter is such that a modulation transfer function MTF is 0.2 to 0.2 when a periodic pattern having a pitch twice the minimum line width of the pattern to be inspected on the sample is inspected. A sample evaluation method characterized by being set so as to fall within the range of 6. 請求項49〜55のいずれかに記載の試料評価方法において、一次電子光学系に含まれるレンズは、セラミックス材料の表面に金属をコーティングした軸対称レンズで構成されていることを特徴とする試料評価方法。The sample evaluation method according to any one of claims 49 to 55, wherein the lens included in the primary electron optical system is an axially symmetric lens in which a surface of a ceramic material is coated with a metal. Method. 請求項49〜56のいずれかに記載の試料評価方法において、走査ステップは、
試料の被測定領域を分割した小領域単位で一次電子線を照射し、かつ、1つの小領域の照射を完了後、隣接する小領域を少なくとも1以上スキップして、未照射の小領域の照射を実行する
ことを特徴とする試料評価方法。
In the sample evaluation method according to any one of claims 49 to 56, the scanning step includes:
Irradiate the primary electron beam in small area units obtained by dividing the measured area of the sample, and after irradiating one small area, skip at least one or more adjacent small areas and irradiate unirradiated small areas. A sample evaluation method.
請求項49〜57のいずれかに記載の試料評価方法において、走査ステップは、
試料の被測定領域を分割した小領域単位で一次電子線を照射し、かつ、1つの小領域の照射を実行する際、その照射の開始時に、次に照射を行う小領域に近い側から照射を開始し、遠い側で照射を完了する
ことを特徴とする試料評価方法。
In the sample evaluation method according to any one of claims 49 to 57, the scanning step includes:
When irradiating the primary electron beam in small area units obtained by dividing the area to be measured of the sample and irradiating one small area, at the start of the irradiation, irradiate from the side near the next small area to be irradiated next , And the irradiation is completed on the far side.
請求項49〜58のいずれかに記載の試料評価方法において、該方法はさらに、
試料を載置するステージ装置を、静圧軸受けにより非接触でハウジング内に支持する支持ステップと、
ステージ装置が収容されたハウジングを、真空排気するステップと、
電子線装置の試料面上に一次電子線を照射する部分の周囲に設けられた差動排気機構により、試料面上の該照射領域を排気するステップと
を含んでいることを特徴とする試料評価方法。
The sample evaluation method according to any one of claims 49 to 58, wherein the method further comprises:
A supporting step of supporting the stage device on which the sample is mounted in the housing in a non-contact manner by a static pressure bearing,
Evacuating the housing containing the stage device;
Exhausting the irradiation area on the sample surface by a differential evacuation mechanism provided around a portion of the electron beam apparatus that irradiates the primary electron beam onto the sample surface. Method.
請求項59記載の試料評価方法において、支持ステップは、ステージ装置の静圧軸受けに、ドライ窒素もしくは高純度の不活性ガスを供給するステップであり、該ドライ窒素もしくは高純度不活性ガスを、該ステージ装置を収納するハウジングを排気した後に加圧して、再び静圧軸受けに供給することを特徴とする試料評価方法。60. The sample evaluation method according to claim 59, wherein the supporting step is a step of supplying dry nitrogen or high-purity inert gas to the static pressure bearing of the stage device, wherein the dry nitrogen or high-purity inert gas is supplied to the static pressure bearing. A method for evaluating a sample, characterized in that a housing accommodating a stage device is evacuated and then pressurized and supplied to a static pressure bearing again.
JP2002540180A 2000-11-02 2001-11-02 Electron beam apparatus and evaluation system using the apparatus Expired - Fee Related JP3993098B2 (en)

Applications Claiming Priority (29)

Application Number Priority Date Filing Date Title
JP2000335833 2000-11-02
JP2000335833 2000-11-02
JP2000336305 2000-11-02
JP2000336305 2000-11-02
JP2000337370 2000-11-06
JP2000337370 2000-11-06
JP2000337491 2000-11-06
JP2000337491 2000-11-06
JP2000350935 2000-11-17
JP2000350935 2000-11-17
JP2000352720 2000-11-20
JP2000352720 2000-11-20
JP2000353831 2000-11-21
JP2000353831 2000-11-21
JP2000355294 2000-11-22
JP2000355294 2000-11-22
JP2000362752 2000-11-29
JP2000362752 2000-11-29
JP2000364556 2000-11-30
JP2000364556 2000-11-30
JP2001005140 2001-01-12
JP2001005140 2001-01-12
JP2001106656 2001-04-05
JP2001106656 2001-04-05
JP2001134981 2001-05-02
JP2001134981 2001-05-02
JP2001158571 2001-05-28
JP2001158571 2001-05-28
PCT/JP2001/009629 WO2002037526A1 (en) 2000-11-02 2001-11-02 Electron beam apparatus and method for manufacturing semiconductor device comprising the apparatus

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2007000925A Division JP2007184283A (en) 2000-11-02 2007-01-09 Charged particle beam device and method

Publications (2)

Publication Number Publication Date
JPWO2002037526A1 true JPWO2002037526A1 (en) 2004-03-11
JP3993098B2 JP3993098B2 (en) 2007-10-17

Family

ID=38683471

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002540180A Expired - Fee Related JP3993098B2 (en) 2000-11-02 2001-11-02 Electron beam apparatus and evaluation system using the apparatus

Country Status (1)

Country Link
JP (1) JP3993098B2 (en)

Also Published As

Publication number Publication date
JP3993098B2 (en) 2007-10-17

Similar Documents

Publication Publication Date Title
US6593152B2 (en) Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
US7439502B2 (en) Electron beam apparatus and device production method using the electron beam apparatus
JP5129865B2 (en) Electron beam inspection apparatus and wafer defect inspection apparatus using the electron beam inspection apparatus
JP5302423B2 (en) Sheet beam inspection system
US8803103B2 (en) Inspection system by charged particle beam and method of manufacturing devices using the system
WO2002001597A1 (en) Charged particle beam inspection apparatus and method for fabricating device using that inspection apparatus
JP2003173756A (en) Electron beam device and manufacturing method of device using the same
JP4939235B2 (en) Sheet beam inspection system
JP2007184283A (en) Charged particle beam device and method
JP4221428B2 (en) Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus
JP2007019033A (en) Inspection device and method by electron beam, and device manufacturing method using above inspection device
JP2008193119A (en) Inspection system by charged particle beam and method of manufacturing devices using system
JP3993098B2 (en) Electron beam apparatus and evaluation system using the apparatus
JP3890015B2 (en) Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus
JP3896080B2 (en) Electron beam apparatus and semiconductor device manufacturing method using the apparatus
JPWO2002040980A1 (en) Substrate inspection method, substrate inspection device, and electron beam device

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20040106

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040121

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061116

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070109

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070413

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070530

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070626

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070725

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100803

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees