JPWO2002001597A1 - Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus - Google Patents

Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus Download PDF

Info

Publication number
JPWO2002001597A1
JPWO2002001597A1 JP2002505648A JP2002505648A JPWO2002001597A1 JP WO2002001597 A1 JPWO2002001597 A1 JP WO2002001597A1 JP 2002505648 A JP2002505648 A JP 2002505648A JP 2002505648 A JP2002505648 A JP 2002505648A JP WO2002001597 A1 JPWO2002001597 A1 JP WO2002001597A1
Authority
JP
Japan
Prior art keywords
sample
optical system
inspection
primary
charged particles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002505648A
Other languages
Japanese (ja)
Inventor
中筋 護
野路 伸治
佐竹 徹
金馬 利文
曽布川 拓司
吉川 省二
狩俣 努
大和田 伸
西藤 睦
浜島 宗樹
高木 徹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ebara Corp
Nikon Corp
Original Assignee
Ebara Corp
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ebara Corp, Nikon Corp filed Critical Ebara Corp
Priority claimed from PCT/JP2001/005496 external-priority patent/WO2002001597A1/en
Publication of JPWO2002001597A1 publication Critical patent/JPWO2002001597A1/en
Pending legal-status Critical Current

Links

Images

Abstract

検査装置及びその検査装置を用いた半導体デバイスの製造方法。検査装置は、ウエハ等の試料の欠陥検査、線幅測定、表面電位測定等を行うため使用される。検査装置において、一次光学系から複数の荷電粒子が試料に入射され、試料から放出される二次荷電粒子が一次光学系から分離され、二次光学系を介し検出器へ導かれる。荷電粒子の照射は、試料を移動しながら行われる。荷電粒子照射点は、試料の移動方向にN行、これと直角方向にM列に配置される。荷電粒子照射点の各行が、順次、試料移動方向と直角方向に一定量ずつずらされる。An inspection apparatus and a method for manufacturing a semiconductor device using the inspection apparatus. The inspection apparatus is used for performing defect inspection, line width measurement, surface potential measurement, and the like of a sample such as a wafer. In the inspection device, a plurality of charged particles are incident on a sample from a primary optical system, and secondary charged particles emitted from the sample are separated from the primary optical system and guided to a detector via the secondary optical system. Irradiation with charged particles is performed while moving the sample. The charged particle irradiation points are arranged in N rows in the moving direction of the sample and in M columns in a direction perpendicular thereto. Each row of charged particle irradiation points is sequentially shifted by a fixed amount in a direction perpendicular to the sample moving direction.

Description

技術分野
本発明は、複数の電子ビームを用いて検査対象の表面に形成されたパターンの欠陥等を検査する検査装置に関し、詳しくは、半導体製造工程におけるウエハの欠陥を検出する場合のように、電子ビームを検査対象に照射してその表面の性状に応じて変化する二次電子を捕捉して画像データを形成し、その画像データに基づいて検査対象の表面に形成されたパターン等を高いスループットで検査する検査装置、並びにそのような検査装置を用いて歩留まり良くデバイスを製造するデバイス製造方法に関する。
本発明は、荷電粒子線を試料に照射し、試料の照射点から発生する2次荷電粒子を検出する荷電粒子線装置及びそれを用いてデバイスの欠陥検査を行うデバイス製造方法に関する。
本発明は、XYステージ上に載置された試料に荷電ビームを照射する装置及びその装置を利用した欠陥検査装置又は露光装置に関し、更にはそれらの装置を使用した半導体の製造方法に関する。
本発明は、半導体ウェーハ等の試料の画像を予め用意された基準画像と比較することにより該試料の欠陥を検査するための欠陥検査装置及び方法、並びに、このような欠陥検査装置を用いて半導体デバイスを製造する方法に関する。
本発明は、電子線を試料に照射して、その照射点からの2次電子線を測定することにより当該試料の種々の検査を行うための電子線装置に関し、特に、半導体ウエハに形成される、最小線幅0.1μm以下の集積回路のパターンを高スループットで欠陥検査、CD(クリティカルディメンジョン)測定、合せ精度測定、電位測定等を行うための電子線装置に関する。
本発明は、電子銃から放出された電子線を複数の開口を有する開口板に照射して得られる複数の開口像を試料に入射させ、該試料から放出される二次電子を一次光学系から分離して二次光学系に入射させ、二次光学系で拡大して検出器面に写像投影する電子線装置、および該電子線装置を用いて製造プロセス途中のウェハーの評価を行うことを特徴とするデバイス製造方法に関する。
本発明は、最小線幅が0.1ミクロン以下のパターンの欠陥検査、線幅測定、合わせ精度測定、電位測定、デバイス動作時の高速動作解析等を高スループットで行う電子線装置及びこうした装置を用いてプロセス途中のウェーハの評価を行うことにより歩留まりを改善するデバイス製造方法に関する。
本発明は電子線装置及びその電子線装置を用いたデバイスの製造方法に関し、詳しくは、最小線幅が0.1μm以下のデバイスパターンを有する試料の欠陥検査、線幅測定、合わせ精度測定、表面電位測定又は高精度時間分解能測定を高いスループットでかつ高い信頼性で行える電子線装置並びにその電子線装置を用いてプロセス途中のウエハーを評価することにより歩留まり率を向上させることができるデバイスの製造方法に関する。
本発明の目的は、電子光学的かつ短時間で電子光学系の焦点合わせを行うことができる電子線装置、及び該装置を用いた半導体デバイス製造方法を提供することである。
本発明は電子線装置及びその電子線装置を用いたデバイスの製造方法に関し、詳しくは、最小線幅が0.1μm以下のデバイスパターンを有する試料の欠陥検査を高いスループットでかつ高い信頼性で行える電子線装置、並びにその電子線装置を用いてプロセス途中のウエハーを評価することにより歩留りを向上させることができるデバイスの製造方法に関する。
本発明は、試料の表面に形成されたパターン等を評価する電子線装置及びその電子線装置を用いてプロセス途中又は終了後の試料の評価を行うデバイス製造方法に関し、詳しくは、最小線幅0.1μm以下のパターンを有する、試料上のデバイス等の欠陥検査、CD測定、電位コントラストの測定、高時間分解電位測定等の評価を高いスループットでかつ高い信頼性のもとで行える電子線装置及びそのような電子線装置を用いてプロセス途中又は終了後の試料の評価を行うデバイス製造方法に関する。
本発明は、E×B分離器及び該E×B分離器を用いた半導体ウエハの検査装置に関する。より詳細には、一様な磁界強度及び一様な電界強度が得られる光軸の周りの領域が大きくできるようにしたE×B分離器、及び、該E×B分離器を用いて、半導体ウエハの欠陥検査、パターン線幅測定、パターン重ね合わせ精度測定、あるいは、高時間分解能の電位測定等を、高スループットでかつ高信頼性で行うことができるようにした検査装置に関する。
本発明は、XYステージ上に載置された試料に荷電ビームを照射する装置に関し、更に詳しくは、XYステージに差動排気機構を設けずに鏡筒周りに差動排気機構を設けた荷電ビーム装置及びその装置を利用した欠陥検査装置又は露光装置に関し、更にはそれらの装置を使用した半導体の製造方法に関する。
本発明は最小線幅0.1μm以下のパターンが形成されたウェーハ等の評価を高スループット・高信頼性で行う装置に関し、また、そのような装置を用いて歩留り良くデバイスを製造する方法に関する。
半導体プロセスにおいて、デザインルールは100nmの時代を迎えようとしており、また生産形態はDRAMに代表される少品種大量生産からSOC(Silicon on chip)のように多品種少量生産へ移行しつつある。それに伴い、製造工程数が増加し、各工程毎の歩留まり向上は必須となり、プロセス起因の欠陥検査が重要になる。本発明は半導体プロセスにおける各工程後のウェーハの検査に用いられる装置に関し、電子ビームを用いた検査方法及び装置またはそれを用いたデバイス製造方法に関する。
背景技術
本発明に関連する検査装置の従来技術については、走査電子顕微鏡(SEM)を用いた装置が既に市販されている。この装置は細く絞った電子線を非常に間隔の小さいラスタ幅でラスタ走査を行い、走査に伴って検査対象から放出される二次電子を二次電子検出器で検出してSEM画像を形成し、そのSEM画像を異なるダイの同じ場所同志を比較して欠陥を抽出するものである。
また、複数の電子線すなわちマルチビームを用いてスループットを向上するという提案は多く成されているが、開示されているものは、如何にしてマルチビームをつくるかと言うことと、如何にしてマルチビームを検出するかと言うことについてであり、欠陥検査装置全体をシステムとして完成させた装置は未だ存在しなかった。
半導体デバイス製造用のマスクパターン、あるいは半導体ウエハに形成されたパターンの欠陥検出には、走査型電子顕微鏡が使用されている。走査型電子顕微鏡は、細く絞った1本の電子線で試料表面を走査し、その試料から放出する2次電子を検出するため、試料全体の検査には長い時間を必要とした。このような問題点を解決するため、複数の電子源からの電子を減速電界レンズを通して試料面上に結像させ、かつ走査し、試料面から放出される2次電子をウィーンフィルタで偏向させ、複数の検出器に導くようにしたアイディアが提案されている(Japanese Journal of Applied Physics,Vol.28,No.10,October,1989,pp.2058−2064参照)。
半導体ウエハ等の試料表面等に電子ビーム等の荷電ビームを照射することによって、その試料表面上を半導体回路等のパターンで露光し若しくは試料表面上に形成されたパターンを検査する装置、或いは荷電ビームを照射することによって試料に対して超精密加工を施す装置においては、試料を真空中で精度良く位置決めするステージが使用される。
かかるステージに対して非常に高精度な位置決めが要求される場合には、ステージを静圧軸受けによって非接触支持する構造が採用される。この場合、静圧軸受けから供給される高圧ガスが直接真空チャンバに排気されないように、高圧ガスを排気する差動排気機構を静圧軸受けの範囲に形成することによって、真空チャンバの真空度が維持される。
従来技術のステージの一例を図18ABに示す。図18ABにおいて、真空チャンバCを構成するハウジング2008に、荷電ビームを発生し試料に照射する荷電ビーム装置の鏡筒2001の先端部、即ち荷電ビーム照射部2002が取り付けられる。鏡筒内部は真空配管2010によって真空排気され、チャンバCは真空配管2011によって真空排気される。荷電ビームは鏡筒2001の先端部2002から、その下に置かれたウエハ等の試料Sに対して照射される。
試料Sは試料台2004に取り外し可能に保持される。試料台2004はXYステージ(以下単にステージ)2003のY方向可動部2005の上面に取り付けられる。Y方向可動部2005はX方向可動部2006上に摺動可能に配置され、X方向可動部2006はステージ台2007上に摺動可能に配置される。
Y方向可動部2005には、X方向可動部2006のガイド面6aと向かい合う面(図18Aにおいて左右両面及び下面)に静圧軸受け2009aが複数取り付けられ、静圧軸受け2009aの作用によりガイド面2006aとの間に微小隙間を維持しながらY方向(図18Bで左右方向)に移動できる。同様にX方向可動部2006に静圧軸受け2009bが複数取り付けられ、静圧軸受け2009bとガイド面2007aとの間に微小隙間を維持しながらX方向(図18Aで左右方向)に移動できる。
更に静圧軸受けの周りには、静圧軸受けに供給される高圧ガスが真空チャンバCの内部にリークしないように差動排気機構が設けられている。この様子を図19に示す。静圧軸受け2009の周囲に二重に溝2018と2017が構成されており、これらの溝は図示されていない真空配管と真空ポンプにより常時真空排気される。このような構造により、Y方向可動部2005は真空中を非接触状態で支持されY方向に自在に移動することができる。これらの二重の溝2018と2017は可動部2005の静圧軸受け2009が設けられる面にその静圧軸受けを囲むようにして形成される。なお、静圧軸受けの構造は公知のもので良いので、その詳細な説明は省略する。
Y方向可動部2005を搭載するX方向可動部2006は、図18ABから明らかなように、上方に開口している凹形の形状を有し、Y方向可動部2005と同様の静圧軸受け及び溝を備え、ステージ台2007に対して非接触で支持され、X方向に自在に移動することができる。Y方向可動部2005とX方向可動部2006の移動を組み合わせによって、試料Sを鏡筒の先端部すなわち荷電ビーム照射部2002に関して水平方向任意の位置に移動させ、試料の所望の位置に荷電ビームを照射することができる。
従来、半導体ウェーハ等の試料に一次電子を照射することにより発生した二次電子を検出することによって当該試料の欠陥を検査するための欠陥検査装置が、半導体製造プロセス等で利用されている。このような欠陥検査装置には、画像認識技術を応用して欠陥検査の自動化及び効率化を図った技術がある。この技術では、二次電子を検出することによって取得した試料表面の被検査領域のパターン画像データと、予め記憶した試料表面の基準画像データとをコンピュータによってマッチング演算し、その演算結果に基づいて、試料の欠陥の有無を自動的に判定する。
昨今では、特に半導体製造分野においては、パターンの高精細化が進み、微細な欠陥を検出する必要が高まってきている。このような状況下では、上記のような画像認識技術を応用した欠陥検査装置においても、認識精度の更なる向上が求められている。
従来、試料台を連続移動させ、この移動方向と直角方向に電子線を走査する方法は公知である(特開平10−134757)。また1次電子線を試料面に対して斜め方向から、試料面上に2次元ではあるが、一軸方向への投影は、等間隔であるような配置で照射し、走査する方法は、公知である。また複数の電子銃の各電子銃からの電子を複数に分け、各ビームを一方向に走査させ、それと直角方向に試料台を連続移動させて検査等を行うことが公知である。
半導体デバイス製造用のマスクパターン、あるいは半導体ウェーハに形成されたパターンの欠陥検査に使用する電子線装置として、単一電子銃より放出された電子線を複数の開口を有する開口板に照射して得られる複数の開口像を試料に入射させ、該試料から放出される二次電子を二次光学系を用いて検出器面に写像投影して試料上のパターンの欠陥を検査する電子線装置は公知である。
しかしながら、上記従来のものは電子銃から放出される電子線の角度依存性を考慮しておらず、電子線の強度を照射角度に拘わらず一様であるものとして取り扱っている。すなわち、電子銃から放出される電子線は光軸方向には高輝度の電子線が放出されるが、光軸から離れるに従って電子線の輝度(強度)が次第に減少する問題を考慮していない。
また、試料から放出される二次電子の検出率は、光軸付近から放出された二次電子の検出率は高いが、光軸から離れた位置から放出された二次電子の検出率が低いといった問題点があったが、上記従来の電子線装置は、かかる問題点をも考慮していなかった。
超LSI回路のような微細な回路パターンを有する回路における欠陥検査や線幅測定を行うために、複数の電子ビームを用いる電子線装置は公知である。こうしたマルチビームを利用する電子線装置は、微細回路パターンの作成や検査に1本の電子ビームを使用すると、多大な時間を必要とし、満足なスループットを与えなかった従来の欠点を解決するために提案された。
こうしたマルチビームの電子線装置に関連して、例えば、多数の電子エミッタをマトリクス状に配列した電子線装置においては、反射電子又は二次電子の検出器の間隔が極めて狭いため、隣接する照射領域から反射電子又は二次電子が飛び込みやすく、検出精度を高めることができないという欠点を解決するため、試料面と検知面との間に穴開きマスクを配置することが知られている。
また、1本の電子線で試料上のパターンを走査してパターンの0.1ミクロン程度の欠陥を検査する場合、走査に長時間を要するのでスループットが低下するという欠点を解決するため、単一の電子銃から放出された電子線で複数の開口を持つマスクを照射することにより、複数の電子線を形成するようにした電子線装置も知られている。
最小線幅が0.1μm以下のデバイスパターンを有する試料の欠陥検査等を行う場合、光方式では光の回折により解像度から見て限界にきており、そのため、電子線を利用した検査・評価装置が提案されている。電子線を用いると解像度は向上するがスループットが極端に小さくなるため生産性の観点から問題がある。生産性を向上させるべくマルチビームを用いた電子線装置、即ち、単一の電子銃から放出した電子線を複数の開口に照射し、それらの開口を通過した電子ビームで試料の表面(以下試料面と呼ぶ)を走査し、各像からでた二次電子を複数の検出器に導いて試料を検査する電子線装置は既に公知である。
半導体ウエハ等の試料の表面に形成されたパターンの評価を、電子線による走査の結果を用いて高精度で行う場合、試料の高さの変化を考慮することが必要である。これは、試料の高さにより、該試料の表面上のパターンと該パターンに電子線を集束させる対物レンズとの間の距離が変化して、合焦条件はずれにより解像度が低下してしまい、正確な評価ができないためである。
これを解消するため、試料面に対して斜めに光を入射させ、その反射光を利用して試料の高さを測定し、その測定結果を、電子線を試料に集束させるための電子光学系に帰還させて、電子光学系の構成要素に供給する電流や電圧を制御することにより、電子光学系の焦点合わせを行う電子線装置はすでに提案されている。
しかしながら、試料に対して斜めに光を入射させる方式においては、試料面と電子光学系の下面との間のスペースに、入射光を反射させるための、絶縁物を主体とする光学部品を配置しなければならない。このためには、試料面と電子光学系の下面との間の間隔を必要以上に大きく取る必要があり、一方、間隔を大きくすると、電子光学系の収差等の問題が無視し得なくなる。したがって、電子光学系の焦点合わせと電子光学系の収差等の問題の解消とを同時に行うことが必要であるが、このような手法が未だ提案されていない。
また、電子光学系の焦点合わせは、試料面と電子光学系の下面との間の距離ばかりでなく、試料面上の帯電状態や、電子線の空間電荷効果をも考慮して行う必要があるので、電子光学系の焦点合わせに関係するパラメータを電子光学的に測定しないならば、誤差が発生する可能性がある。
更に、電子光学系に含まれる磁気レンズの励磁電流を調整して焦点合わせを行う場合、この励磁電流を所定値に設定してから電子光学系の焦点距離が安定的に定まるまでの時間、即ち整定時間を長く取ることが必要であるため、高速で焦点合わせを行うことが困難であるという問題もあった。また、静電レンズの励起電圧を変えて電子光学系の焦点合わせを行う場合、静電レンズに印加された高電圧を変化させなければならないので、同様に、整定時間が長くかかるという問題があった。さらにまた、電子線による評価は、スループットが低いという問題点もあった。
本発明は、上記した種々の問題点を解決するために提案されたものであり、その目的は、電子光学的かつ短時間で電子光学系の焦点合わせを行うことができる電子線装置、及び該装置を用いた半導体デバイス製造方法を提供することである。
最小線幅が0.1μm以下のデバイスパターンを有する試料の欠陥検査等を行う場合、光方式では光の回折により解像度から見て限界にきており、そのため、電子線を利用した検査・評価装置が提案されている。電子線を用いると解像度は向上するがスループットが極端に小さくなるため生産性の観点から問題がある。生産性を向上させるべくマルチビームを用いた電子線装置、即ち、単一の電子銃から放出した電子線を複数の開口に照射し、それらの開口を通過した電子ビームで試料を走査し、各像からでた二次電子を相互にクロストークなしに複数の検出器に導いて試料を検査する電子線装置については出願されている。
絶縁材料を含む試料を観察、評価する装置には種々の技術が報告されている。このような技術の中で、走査電子顕微鏡について言えば、一次ビームのビーム電流、試料への吸収電流、照射装置からの反射電子量、二次電子放出量等を測定してチャージアップ状態を評価するチャージアップ検知機能を有する装置が公知である。
従来、電場と磁場とを直交させた直交フィールドにおいて、電場及び磁場それぞれに直交する方向に荷電粒子を直進させることによって、エネルギ分析を行うE×B型エネルギ・フィルタが知られている。このフィルタは、電子線の電場による偏向作用を磁場による電子線の偏向作用によって打ち消すことによって、電子線中の特定のエネルギを持った荷電電子のみを直進させるようにしている。
このようなE×B型エネルギ・フィルタとして、図4に示した構成が提案されている。図4において、1及び1’はアース電位に保持されている磁極片、2及び2’は電極である。電極2には電圧+Vが印加され、電極2’には電圧−Vが印加され、これら電圧は絶対値が等しくかつ可変である。荷電電子は、電場及び磁場の双方に直交する方向、すなわち図表面に垂直方向に直進する。
半導体ウエハ等の試料表面等に電子ビーム等の荷電ビームを照射することによって、その試料表面上を半導体回路等のパターンで露光し若しくは試料表面上に形成されたパターンを検査する装置、或いは荷電ビームを照射することによって試料に対して超精密加工を施す装置においては、試料を真空中で精度良く位置決めするステージが使用されている。
かかるステージに対して非常に高精度な位置決めが要求される場合には、ステージを静圧軸受けによって非接触支持する構造が採用されている。この場合、静圧軸受けから供給される高圧ガスが直接真空チャンバに排気されないように、高圧ガスを排気する差動排気機構を静圧軸受けの範囲に形成することによって、真空チャンバの真空度を維持している。
かかる従来技術によるステージの一例が図18ABに示される。同図のステージにおいて、真空チャンバCを構成するハウジング2008に、荷電ビームを発生し試料に照射する荷電ビーム装置の鏡筒2001の先端部、即ち荷電ビーム照射部2002が取り付けられる。試料Sは試料台2004に取り外し可能に保持される。図18ABのステージのその他の構造は、後述される。
静圧軸受け2009bの周りには、静圧軸受けに供給される高圧ガスが真空チャンバCの内部にリークしないように差動排気機構が設けられる。この様子を図19に示す。静圧軸受け2009bの周囲に二重に溝2017と2018が構成されており、これらの溝は図示されていない真空配管と真空ポンプにより常時真空排気される。このような構造により、Y方向可動部2005は真空中を非接触状態で支持されY方向に自在に移動することができる。これらの二重の溝2017と2018は可動部2005の静圧軸受け2009bが設けられる面にその静圧軸受けを囲むようにして形成される。これらのY方向可動部2005とX方向可動部2006の移動を組み合わせることによって、試料Sを鏡筒の先端部すなわち荷電ビーム照射部2002に関して水平方向任意の位置に移動させ、試料の所望の位置に荷電ビームを照射することができる。
しかしながら、上記の静圧軸受けと差動排気機構を組み合わせたステージでは、差動排気機構を設けたため、大気中で使用される静圧軸受け式ステージに比べて構造が複雑で大型になり、ステージとしての信頼性が低く、高コストになるという問題があった。
電子光学系での倍率色収差と回転色収差を補正する方法は、対称磁気ダブレットレンズを用いる方法が知られている。静電レンズ系では回転色収差は発生しないので、倍率色収差を対称ダブレットレンズを用いて補正することが行われる。
半導体デバイスの高集積化、パターンの微細化に伴い、高分解能、高スループットの検査装置が要求されている。100nmデザインルールのウエハ基板の欠陥を調べるためには、100nm以下の分解能が必要であり、デバイスの高集積化による製造工程の増加により、検査量が増大するため、高スループットが要求されている。また、デバイスの多層化が進むにつれて、層間の配線をつなぐビアのコンタクト不良(電気的欠陥)を検出する機能も、検査装置に要求されている。現在は主に光方式の欠陥検査装置が使用されているが、分解能及びコンタクト不良検査の点では、光方式の欠陥検査装置に代わって電子ビームを用いる欠陥検査装置が、今後検査装置の主流になると予想される。但し電子ビーム方式欠陥検査装置にも弱点があり、それはスループットの点で光方式に劣ることである。
このため高分解能、高スループット、且つ電気的欠陥検出が可能な検査装置の開発が要求されている。光方式での分解能は使用する光の波長の1/2が限界と言われており、実用化されている可視光の例では0.2μm程度である。
一方電子ビームを使用する方式では、通常走査型電子ビーム方式(SEM方式)が実用化され、分解能は0.1μm、検査時間は8時間/枚(20cmウエハ)である。電子ビーム方式は、電気的欠陥(配線の断線、導通不良、ビアの導通不良等)も検査可能であることが大きな特徴である。しかし検査時間は非常に遅いため、検査速度の速い欠陥検査装置の開発が期待されている。
一般に検査装置は高価でありまたスループットも他のプロセス装置に比べて低いために、現状では重要な工程の後、例えばエッチング、成膜、又はCMP(化学機械研磨)平坦化処理後等に使用されている。
電子ビームを用いた走査(SEM)方式の検査装置について説明する。SEM方式の検査装置は電子ビームを細く絞って(このビーム径が分解能に相当する)これを走査してライン状に試料を照射する。一方、ステージを電子ビームの走査方向に直角の方向に移動させることにより、平面状に観察領域を電子ビームで照射する。電子ビームの走査幅は一般に数100μmである。前記細く絞られた電子ビーム(一次電子線と呼ぶ)照射により発生した試料からの二次電子を検出器(シンチレータ+フォトマルチプライヤー(光電子増倍管)又は半導体方式の検出器(PINダイオード型)等)で検出する。
照射位置の座標と二次電子の量(信号強度)を合成して画像化し、記憶装置に記憶し、あるいはCRT(ブラウン管)上に画像を出力する。以上はSEM(走査型電子顕微鏡)の原理であり、この方式で得られた画像から工程途中の半導体(通常はSi)ウエハの欠陥を検出する。検査速度(スループットに相当する)は一次電子線の量(電流値)、ビーム径、検出器の応答速度で決まる。ビーム径0.1μm(分解能と同じと考えてよい)電流値100nA、検出器の応答速度100MHzが現在の最高値で、この場合で検査速度は20cm径のウエハ一枚あたり約8時間と言われている。この場合で検査速度は20cm径のエウハ1枚当り約8時間と言われている。この検査速度が光に比べて極めて遅い(1/20以下)ことが大きな問題(欠点)となっている。
一方、SEM方式の欠点である検査速度を向上する方法として、複数の電子線を用いたSEM(マルチビームSEM)が知られる。この方法は、複数の電子線の本数分だけ検査速度を向上できるが、複数の電子線を斜め入射し、試料からの複数の二次電子線を斜め方向へ取出すため、試料からの二次電子も斜め方向へ放出されたもののみ検出器が拾うことになり、また画像に影ができること、更に複数の電子線からのそれぞれの二次電子を分離することが困難であり、二次電子信号が互いに混入する問題が生じている。
発明の概要
SEMを応用した欠陥検査装置では、ビーム寸法が小さく、当然画素寸法が小さく、ラスタ幅も小さいため、欠陥検査に多くの時間を必要としていた。また、高スループットにするため、ビーム電流を大きくすると絶縁物が表面にあるウエハでは帯電して良好なSEM像が得られない問題があった。
また、マルチビームを用いた装置では電子光学系のみでなく、装置の全体構成が不明であり、電子光学系と他のサブシステムとの間の相互作用等については今までほとんど明らかにされていなかった。更に、検査対象となるウエハの大径化が進められ、サブシステムもそれに対応可能にする要請もでてきた。
本発明は上記の問題点に鑑みなされたものであって、発明が解決しようとする一つの課題は、マルチビームを用いた電子光学系を使用すると共に、その電子光学系と、検査装置を構成するその他の構成機器との調和を図ってスループットを向上した検査装置を提供することである。
本発明が解決しようとする他の課題は、SEMで問題のあった帯電の問題を解決して検査対象を精度良く検査可能な検査装置を提供することである。
本発明が解決しようとする更に別の課題は、上記のような検査装置を用いてウエハ等の検査対象の検査を行うことにより歩留まりの良いデバイス製造方法を提供することである。
本発明は、電子線でパターンが形成された検査対象を照射し、前記検査対象のパターンを検査する装置を提供する。この検査装置は、電子源、対物レンズ、E×B分離器、及び少なくとも1段の拡大レンズを含み、複数の一次電子線を成形して前記検査対象に照射し、前記一次電子線の照射により放出された二次電子を前記対物レンズで加速させて前記E×B分離器で分離し、前記少なくとも1段の拡大レンズで二次電子像を投影する。検査装置は、更に前記電子光学系により投影された二次電子像を検出する複数の検出器と、前記検査対象を保持して前記電子光学系に関して相対的に移動させるステージ装置と、前記ステージ装置を収容しておりかつ真空雰囲気に制御可能になっているワーキングチャンバと、前記ワーキングチャンバ内の前記ステージ装置上に検査対象を供給するローダーと、前記ワーキングチャンバ内に配置された、前記検査対象に電位を印加する電位印加機構と、前記電子光学系に対する前記検査対象の位置決めのために前記検査対象の表面を観察してアライメントを制御するアライメント制御装置とを備える。前記真空チャンバは床からの振動を遮断する振動遮断装置を介して支持される。
上記検査装置において、前記ローダーが、それぞれが独立して雰囲気制御可能になっている第1のローディングチャンバ及び第2のローディングチャンバと、前記検査対象を第1のローディングチャンバ内とその外部との間で搬送する第1の搬送ユニットと、前記第2のローディングチャンバに設けられていて前記検査対象を前記第1のローディングチャンバ内と前記ステージ装置上との間で搬送する第2の搬送ユニットとを備え、前記検査装置が、前記ローダーに検査対象を供給するための仕切られたミニエンバイロメント空間を更に備えていてもよい。
また、前記ステージ装置上の前記検査対象の座標を検出するレーザ干渉測距装置を備え、前記アライメント制御装置により検査対象に存在するパターンを利用して検査対象の座標を決めしてもよく、この場合、前記検査対象の位置合わせは、前記ミニエンバイロメント空間内で行われる粗位置合わせと、前記ステージ装置上で行われるXY方向の位置合わせ及び回転方向の位置合わせとを含んでいてもよい。本願の他の発明は、検査装置を用いてプロセス途中又はその後のウエハの欠陥を検出するデバイス製造方法である。
従来の装置は、複数の電子線間のクロストークを防止できず、試料面からの2次電子を効率良く検出することができなかった。本発明は、クロストークを防止し、放出される2次電子を効率よく検出器に導くことができる荷電粒子線装置を提供することを目的とする。
本発明の荷電粒子線装置1000は、複数の1次荷電粒子線を試料に照射する少なくとも1以上の1次光学系と、2次荷電粒子を少なくとも1以上の検出器に導く少なくとも1以上の2次光学系とを有し、前記複数の1次荷電粒子線は、互いに前記2次光学系の距離分解能より離れた位置に照射されるようにしたものである。また、前記1次光学系に、前記1次荷電粒子線の照射間隔より広い間隔で、前記1次粒子線を走査する機能を設けたものである。
上記の静圧軸受けと差動排気機構を組み合わせた図18A又はBステージでは、ステージが移動する際に、静圧軸受け2009に対向するガイド面2006aや2007aは、静圧軸受け部の高圧ガス雰囲気とチャンバ内の真空環境の間を往復運動する。この時ガイド面では、高圧ガス雰囲気に曝されている間にガスが吸着し、真空環境に露出されると吸着していたガスが放出されるという状態が繰り返される。このためステージが移動する度に、チャンバC内の真空度が悪化するという現象が起こり、上述した荷電ビームによる露光や検査や加工等の処理が安定に行えなかった、試料が汚染されてしまうという問題があった。
本発明が解決しようとする一つの課題は、真空度の低下を防止して荷電ビームによる検査や加工等の処理を安定して行える荷電ビーム装置を提供することである。本発明が解決しようとする他の課題は、静圧軸受けによる非接触支持機構と差動排気による真空シール機構を有し、荷電ビームの照射領域と静圧軸受けの支持部との間に圧力差を発生させるようにした荷電ビーム装置を提供することである。
本発明が解決しようとする別の課題は、静圧軸受けに面する部品表面から放出するガスを低減した荷電ビーム装置を提供することである。本発明が解決しようとする更に別の課題は、上記の荷電ビーム装置を用いて試料表面を検査する欠陥検査装置、或いは試料の表面にパターンを描画する露光装置を提供することである。
本発明が解決しようとする更に別の課題は、上記のような荷電ビーム装置を用いて半導体デバイスを製造する半導体製造方法を提供することである。
本発明は、XYステージに試料を載置し、該試料を真空中で任意の位置に移動して試料面に荷電ビームを照射する装置2000を提供する。この装置において、該XYステージには、静圧軸受けによる非接触支持機構と差動排気による真空シール機構とを設け、該試料面上の荷電ビームが照射される箇所と、該XYステージの静圧軸受け支持部との間にコンダクタンスが小さくなる仕切りを設け、荷電ビーム照射領域と静圧軸受け支持部との間に圧力差が生じるようにする。
この発明によれば、試料を載置するXYステージの支持機構に静圧軸受けによる非接触支持機構を適用し、かつ静圧軸受けに使用する高圧ガスが真空チャンバ内にもれないように静圧軸受けの周囲に作動排気による真空シール機構を設けることによって、ステージ装置が真空内で高精度な位置決め性能を発揮することができ、更に、荷電ビーム照射位置2100との間にコンダクタンスを小さくする仕切りを形成することによって、ステージのスライド部が高圧ガス部から真空環境へ移動する度にスライド部表面に吸着していたガスが放出されても、荷電ビーム照射位置に該放出ガスが届きにくくなっているため、荷電ビーム照射位置の圧力が上昇しにくい。すなわち、上記の構成をとることによって、試料面上の荷電ビーム照射位置の真空度を安定させ、かつステージを高精度に駆動させることができるため、試料表面を汚染することなく、試料に対する荷電ビームによる処理を高精度に行うことができる。
本発明は、上記荷電ビーム装置2200において、前記仕切りが差動排気構造を内蔵することを特徴とする。この発明によれば、静圧軸受け支持部と荷電ビーム照射領域との間に仕切りを設け、その仕切りの内部に真空排気経路を配置して差動排気機能を持たせるので、静圧軸受け支持部から放出されたガスが仕切りを通過して荷電ビーム照射領域側に通過することがほとんどできない。これによって荷電ビーム照射位置の真空度を更に安定させることができる。
本発明は、荷電ビーム装置2300において、前記仕切りがコールドトラップ機能を有することを特徴とする。一般に10−7Pa以上の圧力領域では、真空中の残留ガス及び材料表面から放出されるガスの主要成分は水分子である。したがって、水分子を効率的に排出することができれば高い真空度を安定して維持し易い。そこで、−100℃〜−200℃程度に冷却したコールドトラップを上記仕切り部に設ければ、静圧軸受け側で発生した放出ガスをコールドトラップで凍結捕集することができるので、荷電ビーム照射領域側に放出ガスが通過することが困難になり、荷電ビーム照射領域の真空度を安定に保ち易くなる。このコールドトラップは、水分子だけに有効なのではなく、清浄な真空の阻害要因である油類等の有機系ガス分子の除去にも有効であることは言うまでもない。
本発明は、荷電ビーム装置2400において、前記仕切りが、荷電ビーム照射位置の近傍と、静圧軸受け近傍の2カ所に設けられていることを特徴とする。この発明によれば、コンダクタンスを小さくする仕切りを、荷電ビーム照射位置の近傍と静圧軸受けの近傍の2箇所に形成することになるので、真空チャンバ内が、荷電ビーム照射室、静圧軸受け室及びその中間室の3室に小さいコンダクタンスを介して分割された形になる。そして、それぞれの室の圧力を、低い順に荷電ビーム照射室、中間室、静圧軸受け室となるように真空排気系を構成する。
このようにすることによって、静圧軸受け室において放出ガスによる圧力上昇が生じても、もともと圧力を高めに設定してある室なので圧力変動率としては低く抑えることができる。したがって、中間室への圧力変動は仕切りによって更に低く抑えられ、荷電ビーム照射室への圧力変動は、もう一段の仕切りによって更に低減され、圧力変動を実質的に問題ないレベルまで低減することが可能となる。
本発明は、荷電ビーム装置において、前記XYステージの静圧軸受けに供給されるガスが、ドライ窒素もしくは高純度の不活性ガスであることを特徴とする。また前記XYステージの、少なくとも静圧軸受けに面する部品表面に放出ガスを低減するための表面処理を施したことを特徴とする。上記のように、静圧軸受け部において高圧ガス雰囲気に曝されたステージのスライド部には、その表面に高圧ガスに含まれるガス分子が吸着し、スライド部が真空環境に露出されると、吸着したガス分子が表面から離脱し放出ガスとなって真空度を悪化させる。真空度の悪化を抑えるためには、吸着するガス分子の量を減らすことと、吸着したガス分子を速やかに排気することが必要である。
このためには、静圧軸受けに供給する高圧ガスを十分に水分を除去したドライ窒素もしくは高純度不活性ガス(例えば高純度の窒素ガス)にして、表面に吸着し易く脱離しにくいガス成分(有機物や水分等)を高圧ガスから除去することが有効である。窒素のような不活性ガスは、水分や有機物に比べて表面への吸着率が格段に低く、かつ表面からの脱離速度が格段に大きい。したがって、高圧ガスに、水分や有機物成分を極力除去した高純度不活性ガスを用いれば、スライド部が静圧軸受け部から真空環境に移動しても、放出ガス量が少なく、かつ放出ガス量の減衰も速いため真空度の悪化を小さくすることができる。それ故、ステージが移動した時の圧力上昇を低く抑えることが可能になる。
また、ステージの構成部品、特にその中でも高圧ガス雰囲気と真空環境を往復する部品表面に対して、ガス分子との吸着エネルギーが低くなるような表面処理を施すことも有効である。表面処理としては、母材が金属の場合は、TiC(チタンカーバイド)、TiN(チタンナイトライド)、ニッケルメッキ、不動態化処理、電解研磨、複合電解研磨、ガラスビーズショット等が考えられ、母材がSiCセラミックの場合は、CVDによる緻密なSiC層のコーティング等が考えられる。それ故、ステージが移動した時の圧力上昇を更に低く抑えることが可能である。
本発明は、前述の装置を用いて、半導体ウエハ表面の欠陥を検査するウエハ欠陥検査装置にある。この場合、ステージの位置決め性能が高精度で、かつ荷電ビームの照射領域の真空度が安定した検査装置を実現することができるので、検査性能が高く、試料を汚染する恐れのない検査装置を提供することができる。
本発明は、前述の装置を用いて、半導体ウエハ表面又はレチクルに半導体デバイスの回路パターンを描画する露光装置にある。この場合、ステージの位置決め性能が高精度で、かつ荷電ビーム照射領域の真空度が安定した露光装置を実現することができるので、露光精度が高く、試料を汚染する恐れのない露光装置を提供することができる。
本発明は、前述の装置を用いて半導体を製造する半導体製造方法にある。この場合、ステージの位置決め性能が高精度で、かつ荷電ビーム照射領域の真空度が安定した装置によって半導体を製造することにより、微細な半導体回路を形成できる。
従来技術では、一次電子線を試料表面の被検査領域に照射して取得した二次電子線の画像と、予め用意された基準画像との間に位置ずれが発生し、欠陥検出の精度を低下させるという問題があった。この位置ずれは、一次電子線の照射領域がウェーハに対してずれ、検査パターンの一部が二次電子線の検出画像内から欠落するとき、特に大きな問題となり、単にマッチング領域を検出画像内で最適化する技術だけでは対処できない。これは、特に、高精細パターンの検査では致命的欠点となり得る。
本発明は、上記事実に鑑みなされたもので、被検査画像と基準画像との位置ずれによる欠陥検査精度の低下を防止した欠陥検査装置を提供することを目的とする。更に、本発明は、半導体デバイスの製造プロセスにおいて、上記のような欠陥検査装置を用いて試料の欠陥検査を行うことにより、デバイス製品の歩留まりの向上及び欠陥製品の出荷防止を図った半導体製造方法を提供することを別の目的とする。
上記課題を解決するため、本発明の欠陥検査装置3000は、試料の欠陥を検査する欠陥検査装置であって、試料上で部分的に重なり合いながら互いから変位された複数の被検査領域の画像を各々取得する画像取得手段と、基準画像を記憶する記憶手段と、画像取得手段により取得された複数の被検査領域の画像と、記憶手段に記憶された基準画像とを比較することによって試料の欠陥を判断する欠陥判断手段と、を含んで構成される。ここで、検査対象となる試料は、欠陥を検出することができる任意のものが選択可能であるが、本発明は、半導体ウェーハを対象とするとき、優れた効果を奏することができる。
本発明では、画像取得手段が、試料上で部分的に重なり合いながら互いから変位された複数の被検査領域の画像を各々取得し、欠陥判断手段が、取得された複数の被検査領域の画像と、予め記憶された基準画像とを比較することによって試料の欠陥を判断する。このように本発明は、位置の異なる被検査領域の画像を複数取得できるようにしたので、基準画像と位置ずれの少ない被検査画像を後工程で選択的に利用することができ、位置ずれによる欠陥検出精度の低下を抑えることができる。その上、試料及び画像取得手段が、通常では検査パターンの一部が被検査画像領域から欠落するような位置関係にあったとしても、互いに位置をずらされた複数の被検査領域の画像が網羅するいずれかの領域には、全検査パターンが入る可能性はきわめて高いので、このようなパターンの一部欠落による欠陥検出の誤りを防止することができる。
比較手段は、例えば、取得された複数の被検査領域の各画像と基準画像との間でいわゆるマッチング演算を行い、複数の被検査領域のうち少なくとも1つの画像が基準画像と実質的に差が無かった場合、当該試料に欠陥無しと判断する。逆に、全ての被検査領域の画像が基準画像と実質的な差があった場合、当該試料に欠陥有りと判断することで高精度に欠陥検出を行う。
本発明の好ましい態様は、一次荷電粒子線を複数の被検査領域に各々照射し、当該試料から二次荷電粒子線を放出させる荷電粒子照射手段3100を更に含み、画像取得手段は、複数の被検査領域から放出された二次荷電粒子線を検出することによって該複数の被検査領域の画像を順次取得する。ここで、荷電粒子線は電子線が好ましい。
更に好ましくは、上記荷電粒子照射手段は、一次荷電粒子を放出する粒子源と、一次荷電粒子を偏向させる偏向手段とを備え、粒子源から放出された一次荷電粒子を偏向手段で偏向させることによって、該一次荷電粒子を複数の被検査領域に順次照射する。この態様では、偏向手段により入力画像の位置を容易に変更できるので、高速に位置の異なる被検査画像を複数取得することができる。
本発明の更なる態様では、一次荷電粒子線を試料に照射する1次光学系と、二次荷電粒子を検出器に導く2次光学系とを有することを特徴とする。本発明の別の態様に係る半導体製造方法は、上記した各態様の欠陥検査装置を用いて、加工中又は完成品のウェーハの欠陥を検査する工程を含む。
本発明の他の態様及び作用効果は、以下の説明によって更に明らかとなる。前記の如き従来の技術に於ては、1個の電子銃から3個程度の小さい数の電子しか発生させなかったので、多数の鏡筒を並べる必要があった。また前記装置では電子光学系が部分的半球状検出電極を必要としていた。また、従来の技術においては、微小な検査領域を順次検査していくという方式であったため、電子線が当てられる検査領域を頻繁に代える必要があるために、当該検査面(試料)を間欠的に移動させねばならず、移動のための時間が無駄になり、従って、全体の検査に要する時間もかなり長時間を要していた。
本発明は、上記の如き従来の技術における課題を解決した効率的検査を可能とする電子線装置を提供することを目的としている。すなわち、本発明に係る電子線装置4000は、試料面上に複数の1次電子線を照射する1次電子線照射装置と、試料面上に形成される複数の1次電子線照射点のそれぞれからの2次電子線を検出する2次電子検出器とを有し、試料を移動しながら試料面の所定領域からの2次電子線の検出を行う電子線装置であって、1次電子線照射装置が試料面上に形成する1次電子線照射点を、上記試料の移動方向にN行、これと直角方向にM列に配置し、且つ、上記1次電子線照射点の1行目からN行目までの各行が、順次、試料移動方向と直角方向に一定量ずつずれていることを特徴とする。
より具体的には、上記1次電子線照射装置が、電子銃と、電子銃から放出される電子を受けて上記N行M列の1次電子線照射点を形成する複数の電子線を形成する複数の開口を有する開口板とを有し、上記開口は、上記電子銃から放出される電子の所定電子密度の範囲内に位置するようにされる。更に具体的には、上記各1次電子線照射点が、試料の上記移動方向に対して直角方向に、(上記列間の間隔)/(上記行の数N)+αだけ走査するようにする(ここでαは、隣の列の1次電子線照射点とともに重複スキャンを行う幅であり、−1%から+20%であればよいが、通常は、スキャン幅の約10%以下とされる)。このようにすることにより、試料の移動方向に対する直角方向での電子線照射幅を広くとることができ、その広い電子線照射幅を持って連続的に試料の検査を行うことができる。ここでM及びNは、各々独立した1以上の整数である。
2次電子検出器で検出される2次電子線は、試料面の欠陥測定、試料面上に形成される集積回路の配線幅測定、電位コントラスト測定、合せ精度測定等、所要の測定に用いるようにすることができる。
また、上記の如き電子線装置において、1次電子線照射装置が、上記電子銃を複数、及び、それに対応する上記開口板を複数、備え、各電子銃及びそれに対応する開口板が、それぞれ、上記試料面に照射する上記複数の1次電子線を形成するようにしてなる、複数の1次電子線照射系を有し、各1次電子線照射系の1次電子線が、他の1次電子線照射系の1次電子線と干渉しないようになされ、また、上記2次電子検出器を、上記1次電子線照射系のそれぞれに対応して複数設けるようにすることもできる。このようにすることにより、より広い走査幅をもって試料を移動し検査することができるので、検査効率を更に上げることができる。
本発明は、マルチビームを試料に照射し、該試料からの二次電子をマルチ検出器で検出する電子線装置において、一次電子の光軸上のビームと、光軸外のビームの強度が異なる問題点を解決し、一次電子の各ビームがほぼ等しいビーム強度となるようにした電子線装置を提供することを目的とする。
また、本発明は、マルチビームを試料に照射し、該試料からの二次電子をマルチ検出器で検出する電子線装置4100において、試料上の光軸付近から放出された二次電子の検出効率が光軸から離れた位置からの二次電子の検出効率よりも高いという問題点を解決し、試料からの二次電子の検出効率をほぼ均一化できる電子線装置を提供することを目的とする。さらに、本発明は、上記装置を用いて製造プロセス途中のデバイスの評価を行う方法を提供することを目的とする。
上記問題を解決するため、電子線源から放出された電子線を複数の開口を有する開口板に照射して得られる複数の開口像を試料に入射させ、該試料から放出される二次電子を一次光学系から分離して二次光学系に入射させ、二次光学系で拡大して検出器面に投影する電子線装置において、一次光学系のレンズが作る電子線源の像の位置より電子線源側にずらした位置に単一の開口板を設け、この開口板を設ける光軸方向位置を、試料面に入射する各開口からのビーム強度の差が最小となるようにする。
このように、試料面に入射するマルチビーム各ビーム間でのビーム強度の差を最小限とすることにより、光軸付近のビームと光軸より離れた位置のビーム間のビーム強度の差を縮小して試料面に均一に入射させることができるので検査、測定精度を向上させることができる。
また、試料面に入射するビーム間の強度の差を縮小することにより、ビーム数を増大させ、マルチビームを広範囲に照射することができるので、検査、測定効率を向上させることができる。
本発明によれば、電子線源から放出された電子線を複数の開口を有する開口板に照射して得られる複数の開口像を試料に入射させ、該試料から放出される二次電子を一次光学系から分離して二次光学系に入射させ、二次光学系で拡大して検出器面に投影する電子線装置において、一次光学系のレンズが作る電子線源の像の位置から電子線源側へずらした位置に単一の開口板を設け、該ずらせる量はパターンの無い試料を試料面に置いたときに得られる二次電子の検出量が前記複数の開口間での差が最小となるようにする。
このように、二次光学系の検出器において二次電子の検出量を開口間で最小限とすることにより、二次光学系における二次電子の検出率のばらつきをも抑制できるので、さらにより高精度の検査、測定を行うことができる。
本発明は、前述の電子線装置を用いて、製造プロセス途中のウェハーの評価を行うことを特徴とする。本発明の電子線装置を製造プロセス途中のウェーハ評価に用いることにより、より高精度、高効率のウェーハ評価を行うことができる。
1個の電子銃から放出された電子線で複数の開口を有する開口板を照射して複数の電子線を作成し、これら各開口からの電子線を一次光学系で縮小して試料面に投影し走査する装置にあっては、一次光学系の歪みに起因して、各電子線が所望の位置に投影されないという課題があった。また、電子線を縮小して試料面に投影させる一次光学系に視野非点収差が存在するため、一次光学系の光軸近くと光軸外とでは電子線の寸法及び形状が異なるという問題もある。
また、試料から放出される二次電子を検出器群に投影するための二次光学系にも収差が存在することに起因して、二次電子を検出器群の所望の位置に投影させることができないという問題もある。
本発明は従来の電子線装置の持つ上記の課題を解決するために提案されたものであって、本発明の一つの目的は、一次光学系の歪み及二次光学系の収差を補正し、一次光学系の非点収差を緩和することができる電子線装置を提供することにあり、本発明の他の目的は、こうした電子線装置を用いてプロセス途中のウェーハの各種の評価を行うことによってデバイスの歩留まりを向上させるデバイス製造方法を提供することにある。
上記の目的を達成するために、本発明は、電子銃から放出された電子線で複数の開口を有する開口板を照射し、該複数の開口を通った一次電子線の縮小像を一次光学系を用いて試料上に投影して走査し、前記試料から放出された二次電子線を二次光学系で拡大して検出器に投影する装置において、前記一次光学系の歪みを補正するように前記複数の開口の位置を設定する。
本発明は、電子銃から放出された電子線で複数の開口を有する第1のマルチ開口板を照射し、該複数の開口を通った一次電子線の縮小像を一次光学系を用いて試料上に投影して走査し、前記試料から放出された二次電子線を二次光学系で拡大して複数の検出素子からなる検出器で検出する装置であって、複数の開口が形成された第2のマルチ開口板を前記検出器の前面に配置してなる電子線装置において、前記二次光学系の歪みを補正するように、前記第2のマルチ開口に形成された開口の位置を設定する。
本発明によれば、電子銃から放出された電子線で複数の開口を有する開口板を照射し、該複数の開口を通った一次電子線の縮小像を一次光学系を用いて試料上に投影して走査し、前記試料から放出された二次電子線の像を二次光学系によって検出器に投影する装置において、前記一次光学系の視野非点を補正するように前記複数の開口の形状を設定する。
本発明によれば、電子銃から放出された電子線で複数の開口を有する開口板を照射し、該開口を通過した一次電子線の縮小像を、E×B分離器を含む一次光学系を用いて試料上に投影して走査し、該試料から放出された二次電子線の像を写像光学系で検出器に投影し、マルチチャンネルで画像データを取得する装置において、前記二次電子線の像を前記E×B分離器の偏向主面に関して試料側に結像させ、前記複数の開口からの一次電子線の像を前記E×B分離器の偏向主面上に結像させる。
前述の電子線装置は、欠陥検査装置、線幅測定装置、合わせ精度測定装置、電位コントラスト測定装置、欠陥レビュー装置及びストロボSEM装置からなる群のうちの一つであり得る。
本発明の電子線装置は、前記試料を複数の前記電子銃からの電子線で照射し、前記試料から放出された二次電子線を、前記複数の電子銃に対応して設けられた複数の前記検出器で検出するようにしてもよい。また、本発明の電子線装置はプロセス途中のウェーハの評価を行うために使用することができる。
公知の技術では、二次電子を複数の検出器で検出する具体的な方法が明確でなく、高い分解能で試料を検査・評価することが可能であるか否かについても明確でない。また、一次光学系における電子ビームを試料面に対して斜め方向から照射しており、静電対物レンズと試料の間が軸対称の構造ではないため、電子ビームを細く絞れない等の問題がある。
更に、試料からの二次電子をE×B分離器により分離してそれを検出器に導く技術も既に公知であるが、この場合、E×B分離器の電界で偏向される電子ビームの偏向量及び偏向方向が低エネルギーの電子ビームと高エネルギーの電子ビームとで相違するため、色収差が生じるという問題がある。また、E×B分離器を設けた場合、検査試料の付近に偏向器を配置するためのスペースを確保することが困難である、という問題もある。
本発明が解決しようとする一つの課題は、写像投影型光学系の電子線装置にE×B分離器を備え、複数の電子ビームを用いて試料の検査を行うことにより、試料検査・評価等を高いスループットでしかも高い信頼性で行うことができる具体的な電子線装置を提供することである。本発明が解決しようとする他の課題は、電子ビームを細く絞れるようにした電子線装置を提供することである。本発明が解決しようとする別の課題は、E×B分離器を使用することにより生じる色収差を補正することができる電子線装置を提供することである。
本発明が解決しようとする更に別の課題は、電子線装置の光学系を2行複数列に配置して、試料の検査・評価等を高いスループットでしかも高い信頼性で行うことができる装置を提供することである。本発明が解決しようとする更に別の課題は、E×B分離器と偏向器とを兼用することにより、E×B分離器と偏向器の両者を共に最適の位置に配置することが可能な電子線装置を提供することである。本発明が解決しようとする更に別の課題は、上記のような電子線装置を用いてプロセス途中の試料を評価するデバイスの製造方法を提供することである。
上記課題は以下の手段により解決される。即ち、本願の発明の一つは、電子線を放出する単一の電子銃、複数の孔を設けた開口板、複数のレンズ及び相互に離隔して配置された少なくとも二つのE×B分離器を有していて前記電子銃からの電子線を検査されるべき試料面上に照射する第一次光学系と、前記試料から放出された二次電子を、前記E×B分離器の内の一つで第一次光学系から分離し、二次電子検出装置に入射させて検出する第二次光学系とを備え、前記電子銃からの電子線を前記開口板に照射して複数の孔の像を形成し、前記複数の孔の像の位置を前記E×B分離器のそれぞれの位置に一致させ、かつ前記それぞれのE×B分離器の電界で偏向される電子線の方向が試料面上で見て相互に逆方向となるようにしている。このように構成したことにより、複数の電子線を用いて試料の検査・評価等を高いスループットでしかも高い信頼性で行うことができる。また、E×B分離器により生じる色収差を補正することが可能となり、更に電子ビームを細く絞ることも可能となったため、高い検査精度を確保することができる。
また、電子線装置の発明の別の態様において、前記E×B分離器の電界で偏向される電子線の偏向量が磁界による偏向量と試料面上で見て相互に逆方向でありかつその絶対値が等しいように構成してもよい。上記のような電子線装置を、E×B分離器によって偏向された二次電子の経路が互いに干渉しないように、2行複数列に配置してもよい。それにより、試料の検査・評価等を高いスループットでしかも高い信頼性で行うことができる。
本願の別の発明では、電子線を放出する単一の電子銃、複数の孔を設けた開口板、複数のレンズ及びE×B分離器を有していて前記電子銃からの電子線を検査されるべき試料面上に照射する第一次光学系と、前記試料から放出された二次電子を、前記E×B分離器で第一次光学系から分離し、二次電子検出装置に入射させて検出する第二次光学系とを備え、前記電子銃からの電子線を前記開口板に照射して複数の孔の像を形成し、前記複数の孔の像の位置を前記E×B分離器の位置に一致させ、かつ前記E×B分離器の電界に走査電圧を重畳させて、前記電子線の偏向動作をさせるようにしている。このように構成したことにより、E×B分離器と偏向器とを兼用させて、両者を最適の位置に配置することができる。
前記一つの発明及び別の発明による電子線装置において、前記電子線装置は、欠陥検査装置、線幅測定装置、欠陥レビュウ装置、EBテスター装置及び電位コントラスト測定装置のいずれかであってもよい。本願の更に別の発明は、前記電子線装置を用いてプロセス途中のウエハーの評価を行ってデバイスの製造を行うことである。
本発明目的は、電子光学的かつ短時間で電子光学系の焦点合わせを行うことができる電子線装置、及び該装置を用いた半導体デバイス製造方法を提供することである。この目的を達成するため、本発明は、一次光学系により複数の一次電子線を試料に照射し、試料から放出される二次電子線を、対物レンズを通過後にEXB分離器で二次光学系に投入し、投入後少なくとも一段のレンズで複数の二次電子線間の間隔を拡大し、複数の検出器で検出する電子線装置であって、
対物レンズに少なくとも3つの異なる励起電圧を個別に供給して、第1の方向に平行なパターン・エッジを第2の方向に走査したときに得られる、二次電子線の強度に対応する電気信号の立ち上がり幅を表す少なくとも3つのデータを測定することを特徴とする電子線装置を提供する。これにより、電子光学系の焦点合わせを短時間で実行できる。
上記した電子線装置を鏡筒として複数試料に対向して配置し、各鏡筒の一次光学系が、試料上に複数の一次電子線を、他の鏡筒とは異なる位置に照射するよう構成してもよい。これにより、スループットを向上させることができる。
また、電子線装置は、ウエハ上のパターンが帯電している状態で、対物レンズの励起条件を求めるよう構成されていることが好ましい。
本発明はまた、一次光学系により複数の一次電子線を試料に照射し、試料から放出される二次電子線を、対物レンズを通過後にEXB分離器で二次光学系に投入し、投入後少なくとも一段のレンズで複数の二次電子線間の間隔を拡大し、複数の検出器で検出する電子線装置を提供する。
この電子線装置において、対物レンズは、アースに近い第1の電圧が印加される第1の電極と、第1の電圧より大きい第2の電圧が印加される第2の電極とを備え、第1の電極に印加される第1の電圧を変化させることによって、対物レンズの焦点距離が変化されるよう構成されており、対物レンズを励起する励起手段は、対物レンズの焦点距離を大きく変化させるために第2の電極に印加する電圧を変更する手段と、焦点距離を短時間で変化させるために第1の電極に印加する電圧を変更する手段とを備える。本発明はさらに、上記した電子線装置を用いて、プロセス途中又は終了後のウエハの評価を行うことを特徴とする半導体デバイス製造方法も提供する。
実際に二次電子を複数の検出器で検出し高い分解能で試料を検査・評価することが可能な電子線装置を実用化することができるか否かについて、必ずしも明確でない。また、このような電子線装置においては、スループットが大きいが解像度が比較的低く比較的大きい欠陥しか検出できないモード(以下、標準モードと呼ぶ)と、スループットが小さいが解像度が高く非常に小さい欠陥でも検出可能なモード(以下、高解像度モードと呼ぶ)との二つの異なったモードを一つの装置で使用できることが必要である。しかし、そのような機能を有する実用的な装置はまだ開発されていない。
更に、これら二つのモードを一つの装置で使用する場合、マルチビームの走査幅を変更すること、及び第二次光学系の静電レンズの拡大率を変更すること等が必要であるが、走査幅を標準モードから狭くするとマルチビーム間に走査の隙間が生じたり、また、第二次光学系でのビーム寸法が検出器の画素寸法と一致しなくなるという問題が生じる。本発明はこのような課題を解決することを目的とする。
上記課題を解決するため、本願の発明の一つは、単一の電子銃から放出された電子線を複数の孔を設けた開口板でマルチビームにし、前記マルチビームを少なくとも2段の静電レンズで縮小して検査されるべき試料を走査する第一次光学系と、前記試料から放出された二次電子を静電対物レンズ通過後E×B分離器で第一次光学系から分離し、その後少なくとも1段の静電レンズで拡大して複数の検出装置に入射させる第二次光学系とを備え、スループットが大きいが解像度が比較的低いモード及びスループットが小さいが解像度が高いモードで試料を評価するように、少なくとも二種類の画素寸法で試料の評価を行うようにしている。このように構成したことにより、複数の電子線を用いて試料の検査・評価等を高いスループットでしかも高い信頼性で行うことができる。また、標準モードと高解像度モードとの二つのモードを一つの装置で使用することが可能となる。
電子線装置の発明の別の態様において、第一次光学系でのマルチビームの縮小率と、第二次光学系の静電レンズでの拡大率とを関係付けるようにしている。
また、電子線装置の発明の別の態様において、第一次光学系でのクロスオーバ像を、前記スループットが大きいが解像度が比較的低いモードにおいて、静電対物レンズの主面に形成するようにしている。
電子線装置の発明の更に別の態様において、第二次光学系の拡大率は、第二次光学系に配置された開口アパーチャよりも検出器側に設けられた静電レンズで調整されるようにしている。本発明によると、上記のような電子線装置を用いてプロセス途中のウエハーの評価を行ってデバイスの製造を行う。
従来の走査電子顕微鏡では細い電子線すなわちビームで試料表面を走査するため、大面積を有する試料を評価するとスループットが大幅に低下する問題があった。また、前記公知のチャージアップ検知機能では、各種電流を高い時間分解能で測定する必要があり、チャージアップの状態をかならずしも正しく検出できなかった。
本発明は上記の問題点に鑑みなされたものであって、発明が解決しようとする一つの課題は、スループットを向上させかつより高い信頼性の下で試料の評価を行える電子線装置を提供することである。本発明が解決しようとする他の課題は、複数の電子線を試料に同時に照射させることによりスループットを向上させると共に、チャージアップ検知機能を向上させて評価の信頼性を向上した電子線装置を提供することである。本発明が解決しようとする更に別の課題は、上記のような電子線装置を用いてプロセス途中又は後の試料の評価を、高い製造歩留まりで行えるデバイスの製造方法を提供することである。
本願の一つの発明は、一次電子線を発生し、集束して試料上に走査させて照射する一次光学系と、前記試料の電子線照射部分から放出された二次電子が投入される、少なくとも1段のレンズを有する二次光学系と、前記二次電子を検出する検出器とを備え、前記電子線照射部から放出された二次電子を加速し、E×B分離器で一次光学系から分離して前記二次光学系に投入し、前記二次電子の像を前記レンズで拡大して検出器で検出する電子線装置において、前記一次光学系が複数の一次電子線を発生して試料に同時に照射し、前記検出器が前記一次電子線の数に対応して複数設けられていることと、前記試料にリターディング電圧を印加するためのリターディング電圧印加装置と、前記試料のチャージアップ状態を調査するチャージアップ調査機能と、を備えて構成される。
上記発明による電子線装置が、前記チャージアップ調査機能からのチャージアップ状態に関する情報に基づいて最適なリターディング電圧を決定し、それを前記試料に印加する機能、或いは一次電子線の照射量を変化させる機能を更に備えていてもよい。
本願の他の発明による電子線装置は、複数の電子線を試料に照射する光学系と、チャージアップ調査機能とを有し、前記チャージアップ調査機能は、前記試料に一次電子線が照射されて発生した二次電子を複数の検出器で検出して画像を形成したとき、前記試料の特定部分のパターン歪み或いはパターンボケを評価し、その結果パターン歪み或いはパターンボケが大きい場合をチャージアップが大きいと評価するように構成されている。
前記各発明による電子線装置において、前記チャージアップ調査機能は、試料に値が可変のリターディング電圧を印加可能であり、少なくとも二つのリターディング電圧を印加した状態で、試料のパターン密度が大きく変化している境界付近の画像形成を行い、上記画像をオペレータがパターン歪み或いはパターンボケを評価可能なように表示する装置を有していてもよい。
本願の更に別の発明は、上記の電子線装置を用いてプロセス途中或いは終了後のウエハの欠陥を検出する事を特徴とするデバイスの製造方法を提供することである。
図54に示した構成を有する従来例のE×B型エネルギ・フィルタを、半導体ウエハを電子ビームを用いて画像データを得ることにより評価する検査装置のE×B分離器として用いても、1次電子ビームが実質的に収差を生じないで直進する光軸の周りの領域は、あまり広くない。
この理由の1つは、従来のE×Bエネルギ・フィルタの構造が複雑であり、対称性が十分に良好ではないことである。すなわち、対称性が良くないため、収差を計算しようとすると、3次元の電場解析や3次元の磁場解析を必要として、計算が複雑になる。よって、収差が最適になるように設計しようとしても、長時間を要する。別の理由は、従来のE×Bエネルギ・フィルタにおいて、電界及び磁界が光軸に直交しておりかつこれらの強度分布がほぼ一様である領域が、狭いことである。
本発明は、このような従来例の問題点に鑑みてなされたものであり、その第1の目的は、構造が簡単で収差計算が容易にでき、しかも、磁界及び電界の強度が一様な光軸周囲の領域が大きいE×B分離器を提供することである。本発明の第2の目的は、第1の目的を達成するE×B分離器を用いた電子線装置、及び該電子線装置を用いて半導体ウエハの評価を行うことを含んだ半導体デバイス製造方法を提供することである。
上記した第1の目的を達成するために、本発明に係る、光軸に直交する電界及び磁界を生成し、進行方向の異なる少なくとも2つの電子ビームを分離するためのE×B分離器は、電界を生成するための一対の平行な平板状の電極であって、電極相互の間隔が電界と直交する電極の長さよりも短く設定されている電極を備えた静電偏向器と、該静電偏向器と反対方向に電子ビームを偏向させるトロイダル型又はサドル型の電磁偏向器とを備える。また、上記したE×B分離器において、静電偏向器を、電界を生成するための少なくとも6極の電極を有し、回転可能な電界を生成するように構成しても良い。
さらに、上記したE×B分離器において、トロイダル型又はサドル型の電磁偏向器は、電界及び磁界の両方向の磁界を発生させる2組の電磁コイルを有し、これら2組のコイルに流す電流比を調整することにより、電磁偏向器による偏向方向が、静電偏向器による偏向方向と反対となるように調整可能に構成することが好ましい。
さらにまた、上記したE×B分離器において、サドル型又はトロイダル型の電磁偏向器の内部に、静電偏向器を配置することが好ましく、これにより、電磁偏向器を2分割状態に形成し、これらを静電偏向器の外周に装着し一体化することができ、よって、E×B分離器の製造が容易になる。
本発明はまた、複数の1次電子ビームを半導体ウエハに照射して該ウエハからの2次電子ビームを複数の検出器で検出して画像データを得ることにより、半導体ウエハの加工状態を評価する検査装置において、1次電子ビームと2次、電子ビームとの分離用に、上記したE×B分離器を用いた検査装置も提供する。
本発明が解決しようとする課題は、XYステージの差動排気機構をなくして構造が簡単でコンパクト化が可能な荷電ビーム装置を提供することである。本発明が解決しようとする他の課題は、XYステージを収容しているハウジング内を真空排気すると共に該試料面上の荷電ビームが照射される領域を排気する差動排気機構をもうけた荷電ビーム装置を提供することである。本発明が解決しようとする更に別の課題は、上記の荷電ビーム装置を用いて試料表面を検査する欠陥検査装置、或いは試料の表面にパターンを描画する露光装置を提供することである。本発明が解決しようとする更に別の課題は、上記のような荷電ビーム装置を用いて半導体デバイスを製造する半導体製造方法を提供することである。
本発明のXYステージ上に載置された試料に荷電ビームを照射する装置においては、該XYステージはハウジング内に収容されかつ静圧軸受けによりハウジングに対して非接触で支持されており、該ステージが収容されたハウジングは真空排気され、該荷電ビーム装置の該試料面上に荷電ビームを照射する部分の周囲には、試料面上の該荷電ビームが照射される領域を排気する差動排気機構が設けられる。
この発明の荷電ビーム装置によれば、真空チャンバ内に漏れ出た静圧軸受け用の高圧ガスは、まず真空チャンバに接続された真空排気用配管によって排気される。そして荷電ビームが照射される領域を排気する差動排気機構を荷電ビームを照射する部分の周囲に設けることによって、荷電ビーム照射領域の圧力を真空チャンバ内の圧力より大幅に減少させ、荷電ビームによる試料への処理が問題なく実施できる真空度を安定して達成することができる。すなわち、大気中で一般に用いられる静圧軸受け式のステージと同様の構造を持ったステージ(差動排気機構を持たない静圧軸受け支持のステージ)を使用して、ステージ上の試料に対して荷電ビームによる処理を安定に行うことができる。
本発明の荷電ビーム装置において、前記XYステージの静圧軸受けに供給されるガスはドライ窒素もしくは高純度の不活性ガスであり、該ドライ窒素もしくは高純度不活性ガスは、該ステージを収納するハウジングから排気された後加圧され、再び前記静圧軸受けに供給される。
本発明によれば、真空のハウジング内の残留ガス成分は高純度の不活性ガスとなるので、試料表面やハウジングにより形成される真空チャンバ内の構造物の表面を水分や油分等で汚染する恐れがない上に、試料表面に不活性ガス分子が吸着しても、差動排気機構或いは荷電ビーム照射領域の高真空部に晒されれば速やかに試料表面から離脱するので、荷電ビーム照射領域の真空度に対する影響を最小限に抑えることが可能になり、荷電ビームによる試料への処理を安定化させることができる。
本発明は、前記荷電ビーム装置を用いて、半導体ウエハ表面の欠陥を検査するウエハ欠陥検査装置に存する。これにより、ステージの位置決め性能が高精度で、かつ荷電ビームの照射領域の真空度が安定した検査装置を安価に提供することができる。本発明は、前記荷電ビーム装置を用いて、半導体ウエハ表面又はレチクルに半導体デバイスの回路パターンを描画する露光装置にある。これにより、ステージの位置決め性能が高精度で、かつ荷電ビーム照射領域の真空度が安定した露光装置を安価に提供することができる。
本発明は、前記荷電ビーム装置を用いて半導体を製造する半導体製造方法にあり、ステージの位置決め性能が高精度で、かつ荷電ビーム照射領域の真空度が安定した装置によって半導体を製造することにより、微細な半導体回路を形成できる。
対称ダブレットレンズでは、例えば縮小レンズ系を作る場合、2段のレンズを必要とし、それぞれのレンズの寸法比を縮小比と同じ比率にする必要がある。例えば1/10縮小の系を作るとすると、小さい側のレンズは加工精度等で決る寸法より小さくできないので、例えばボーア径が5mmφ、レンズギャップが5mm程度とすると、大きい側のレンズはボーア径が50mmφ、レンズギャップも50mmとなり、かなり大寸法のレンズを作らざるを得ず、また、実際の装置で倍率を変化させようとすると、対称ダブレット条件が狂ってしまう等の問題があった。
本発明は上記の如き問題に鑑み、2段以上のレンズ系で倍率が調整可能で、単レンズで倍率色収差を補正可能な電子光学系を得ることを目的とする。また、デバイス製造における歩留りを低下させる原因を、上記の装置を用いて早期に発見するためのウェーハ評価を行う方法を提供することも目的とする。
本発明は、複数の電子線を、コンデンサレンズを含むレンズ系で集束し、対物レンズで試料上に結像する電子線装置であって、上記対物レンズの前段のレンズが作る上記電子線のクロスオーバ位置を、同対物レンズの上記レンズ系側近傍位置にしたことを特徴とする電子線装置を提供する。具体的には、上記クロスオーバ位置は、対物レンズの主面より上記レンズ系側とする。クロスオーバ位置を上記の如くすることにより、試料上で結像される電子線に生じる収差、特に色収差を低減することができる。
上記複数の電子線は、単一の電子銃から放射されて複数の開口を通過して形成された複数の電子線、複数の電子銃から放出された複数の電子線、若しくは、単一の電子銃に形成された複数のエミッターから放出された複数の電子線とすることができる。本発明はまた、上記の如き電子線装置を用いて、製造プロセス途中のウェーハの評価を行うことを特徴とするデバイス製造方法を提供する。
本発明は、一次電子線を複数とし、複数の電子線を一次元方向(x方向)に走査しながらE×Bフィルタ(ウイーンフィルタ)を通して試料表面へ垂直に入射させ、試料からの二次電子をE×Bフィルタにより一次電子線と分離して一次電子線の軸に対して斜め方向へ取出し、更にレンズ系により検出系に結像或いは集光させる。一次電子線の走査方向(x方向)に対してステージを垂直方向(y方向)に移動させ、連続した画像を取得する。
一次電子線がE×Bフィルタを通過するときは電子線が電界から受ける力と磁界から受ける強さが逆向きで等しくなる条件(ウイーン条件)に設定され、一次電子線は直進する。
一方、二次電子線は、一次電子線とは方向が逆向きのために二次電子に作用する電界及び磁界の力の方向が同じになるために一次電子線の軸方向から曲げられる。この結果、一次電子線と二次電子線は分離される。E×Bフィルタを電子線が通過するとき、直進のときよりも曲げられた場合の収差が大きくなるため、高い精度が必要とされる一次電子線の一本一本に対応した検出器が備えられ、対応する一次電子線からの二次電子は前記結像系により必ず対応する検出器へ入射する。このため、信号の混入を無くすことが可能となる。検出器としてはシンチレータ+光電子増倍管(フォトマルチプライヤ)を使用する。またPINダイオード(半導体検出器)等を使用することもできる。本発明では16本の一次電子線でそれぞれビーム径0.1μm、ビーム電流20nAであり、市販の装置の約3倍の電流値が得られた。
電子銃(電子線源)
本発明において、電子線源として熱電子線源を使用している。電子放出(エミッタ)材はLである。高融点(高温での蒸気圧が低い)で仕事関数の小さい材料であれば、他の材料を使用することが可能である。複数の電子線を得るために、2通りの方法を用いている。1つは、1本のエミッタ(突起が1つ)から1本の電子線を引出し、複数の穴のあいた薄板(開口板)を通すことにより、複数の電子線を得る方法であり、もう1つの方法は、1本のエミッタに複数の突起を形成してそこから直に複数の電子線を引出す方法である。いずれの場合にも電子線が突起の先端から放出され易い性質を利用している。他の方式の電子線源、例えば熱電界放出型の電子線も使用可能である。
なお、熱電子線源は電子放出材を加熱することにより電子を放出する方式であり、熱電界放出電子線源とは、電子放出材に高電界をかけることにより電子を放出させ、更に電子線放出部を加熱することにより、電子放出を安定させた方式である。
真空排気系
本発明において、真空排気系は、真空ポンプ、真空バルブ、真空ゲージ、真空配管等から構成され、電子光学系、検出器部、試料室、ロードロック室を所定のシーケンスに従い真空排気を行う。各部においては必要な真空度を達成するように真空バルブが制御される。常時、真空度のモニターを行い、異常時には、インターロック機能により隔離バルブ等の緊急制御を行い、真空度の確保をする。真空ポンプとしては主排気にターボ分子ポンプ、粗引き用としてルーツ式のドライポンプを使用する。検査場所(電子線照射部)の圧力は10−3〜10−5Pa、好ましくはその1桁下の10−4〜10−6Paが実用的である。
制御系
本発明において、制御系は主にメインコントローラ、制御コントローラ、ステージコントローラから構成される。メインコントローラにはマン−マシンインターフェースが備えられており、オペレータの操作はここを通して行われる(種々の指示/命令、レシピなどの入力、検査スタートの指示、自動と手動検査モードの切り替え、手動検査モード時のときの必要な全てのコマンドの入力等)。その他、工場のホストコンピュータとのコミュニケーション、真空排気系の制御、ウエハ等の試料搬送、位置合わせの制御、他の制御コントローラやステージコントローラへのコマンドの伝達や情報の受け取り等もメインコントローラで行われる。
また、光学顕微鏡からの画像信号の取得、ステージの変動信号を電子光学系にフィードバックさせて像の悪化を補正するステージ振動補正機能、試料観察位置のZ方向(二次光学系の軸方向)の変位を検出して、電子光学系へフィードバックし、自動的に焦点を補正する自動焦点補正機能を備えている。電子光学系へのフィードバック信号等の授受、及びステージからの信号の授受は、それぞれ制御コントローラ及びステージコントローラを介して行われる。
制御コントローラは主に電子線光学系の制御(電子銃、レンズ、アライナー、ウィーンヒルター用などの高精度電源の制御等)を担う。具体的には照射領域に、倍率が変わったときにも常に一定の電子電流が照射されるようにすること、各倍率に対応した各レンズ系やアライナーへの自動電圧設定等の、各オペレーションモードに対応した各レンズ系やアライナーへの自動電圧設定等の制御(連動制御)が行われる。
ステージコントローラは主にステージの移動に関する制御を行い精密なX方向およびY方向のμmオーダーの移動(±0.5μm程度の誤差)を可能にしている。また、本ステージでは誤差精度±0.3秒程度以内で、回転方向の制御(θ制御)も行われる。
検査手順
本発明において検査手順(図63)は次のように行われる。一般に電子線を用いた欠陥検査装置は高価であり、またスループットも他のプロセス装置に比べて低いために、現状では最も検査が必要と考えられている重要な工程(例えばエッチング、成膜、又はCMP(化学機械研磨)平坦化処理等)の後に使用されている。
検査されるウエハは大気搬送系及び真空搬送系を通して、超精密X−Yステージ上に位置合わせ後、静電チャック機構等により固定され、以後、図63のフローの従って欠陥検査等が行われる。はじめに光学顕微鏡により、必要に応じて各ダイの位置確認や、各場所の高さ検出が行われ記憶される。光学顕微鏡はこの他に欠陥等の見たい所の光学顕微鏡像を取得し、電子線像との比較等にも使用される。
次にウエハの種類(どの工程後か、ウエハのサイズは20cmか30cmか等)に応じたレシピの情報を装置に入力し、以下検査場所の指定、電子光学系の設定、検査条件の設定等を行なった後、画像取得を行ないながら通常はリアルタイムで欠陥検査を行なう。セル同士の比較、ダイ比較等が、アルゴリズムを備えた高速の情報処理システムにより検査が行なわれ、必要に応じてCRT等に結果を出力や、メモリーへ記憶を行なう。欠陥にはパーティクル欠陥、形状異常(パターン欠陥)、及び電気的(配線又はビア等の断線及び導通不良等)欠陥等が有り、これらを区別したり欠陥の大きさや、キラー欠陥(チップの使用が不可能になる重大な欠陥等)の分類を自動的にリアルタイムで行うことも出来る。
電気的欠陥の検出はコントラスト異状を検出することで達成される。例えば導通不良の場所は電子線照射(500eV程度)により、通常正に帯電し、コントラストが低下するので正常な場所と区別ができる。この場合の電子線照射手段とは、通常検査用の電子線照射手段以外に別途、電位差によるコントラストを際立たせるために設けた低エネルギーの電子線発生手段(熱電子発生、UV/光電子)をいう。検査対象領域に検査用の電子線を照射する前に、この低エネルギーの電子線を発生・照射している。
検査用の電子線を照射すること自体正に帯電させることができる写像投影方式の場合は、仕様によっては、別途低電位の電子線発生手段を設ける必要はない。また、ウエハ等の試料に基準電位に対して、正又は負の電位をかけること等による(素子の順方向又は逆方向により流れ易さが異なるために生じる)コントラストの違いから欠陥検出が出来る。線幅測定装置及び合わせ精度測定にも利用できる。
電極の清掃
本発明の電子ビーム装置が作動すると、近接相互作用(表面近くでの粒子の帯電)により標的物質が遊離して高圧領域に引きつけられるので、電子ビームの形成や偏向に使用される様々な電極には有機物質が堆積する。表面の帯電により徐々に堆積していく絶縁体は電子ビームの形成や偏向機構に悪影響を及ぼすので、堆積した絶縁体は周期的に除去しなければならない。絶縁体の周期的な除去は絶縁体の堆積する領域の近傍の電極を利用して真空中で水素や酸素あるいはフッ素及びそれらを含む化合物HF、O、HO、C等のプラズマを作り出し、空間内のプラズマ電位を電極面にスパッタが生じる電位(数kV、例えば20V−5kV)に維持することで、有機物質のみ酸化、水素化、フッ素化により除去する。
発明の実施の形態
図1及び図2を参照して、本発明の実施例1について、検査対象として表面にパターンが形成された基板即ちウエハを検査する半導体検査装置として説明する。図1及び図2において、半導体検査装置1の主要構成要素が立面及び平面で示されている。
実施例1の半導体検査装置1は、複数枚のウエハを収納したカセットを保持するカセットホルダ10と、ミニエンバイロメント装置20と、ワーキングチャンバを画成する主ハウジング30と、ミニエンバイロメント装置20と主ハウジング30との間に配置されていて、二つのローディングチャンバを画成するローダハウジング40と、ウエハをカセットホルダ10から主ハウジング30内に配置されたステージ装置50上に装填するローダー60と、真空ハウジングに取り付けられた電子光学装置70と、を備え、それらは図1及び図2に示されるような位置関係で配置されている。半導体検査装置1は、更に、真空の主ハウジング30内に配置されたプレチャージユニット81と、ウエハに電位を印加する電位印加機構83(図8に図示)と、電子ビームキャリブレーション機構85(図10に図示)と、ステージ装置上でのウエハの位置決めを行うためのアライメント制御装置87を構成する光学顕微鏡871とを備えている。
カセットホルダ10は、複数枚(例えば25枚)のウエハが上下方向に平行に並べられた状態で収納されたカセットc(例えば、アシスト社製のSMIF、FOUPのようなクローズドカセット)を複数個(この実施形態では2個)保持するようになっている。このカセットホルダとしては、カセットをロボット等により搬送してきて自動的にカセットホルダ10に装填する場合にはそれに適した構造のものを、また人手により装填する場合にはそれに適したオープンカセット構造のものをそれぞれ任意に選択して設置できるようになっている。カセットホルダ10は、この実施形態では、自動的にカセットcが装填される形式であり、例えば昇降テーブル11と、その昇降テール11を上下移動させる昇降機構12とを備え、カセットcは昇降テーブル上に図2で鎖線図示の状態で自動的にセット可能になっていて、セット後、図2で実線図示の状態に自動的に回転されてミニエンバイロメント装置内の第1の搬送ユニットの回動軸線に向けられる。また、昇降テーブル11は図1で鎖線図示の状態に降下される。このように、自動的に装填する場合に使用するカセットホルダ、或いは人手により装填する場合に使用するカセットホルダはいずれも公知の構造のものを適宜使用すれば良いので、その構造及び機能の詳細な説明は省略する。
図3Bに示す別の実施の形態では、複数の300mmφ基板Wを、箱本体501に固定した溝型ポケット(図示しない)に収納し、搬送及び保管する。この基板搬送箱24は、角筒状の箱本体501と基板搬出ドア自動開閉装置に連絡されて箱本体501の側面の開口部を開閉可能な基板搬出入ドア502と、開口部に対して反対側に位置されるフィルタ類、及びファンモータの着脱を行うための開閉部を覆う蓋体503と、基板Wを保持するための溝型ポケット(図示しない)と、ULPAフィルタ505、ケミカルフィルタ506、ファンモータ507により構成される。この事例では、基板Wは、ローダー60のロボット式の第1搬送ユニット612により出し入れされる。
カセットc内に収納される基板即ちウエハは、検査を受けるウエハであり、そのような検査は、半導体製造工程中でウエハを処理するプロセスの後、若しくはプロセスの途中で行われる。具体的には、成膜工程、CMP、イオン注入等を受けた基板即ちウエハ、表面に配線パターンが形成されたウエハ、又は配線パターンが未だに形成されていないウエハが、カセット内に収納される。カセットc内に収容されるウエハは多数枚上下方向に隔ててかつ平行に並べて配置されているため、任意の位置のウエハと後述する第1の搬送ユニットで保持できるように、第1の搬送ユニットのアームを上下移動できるようになっている。
図1乃至図3において、ミニエンバイロメント装置20は、雰囲気制御されるようになっているミニエンバイロメント空間21を画成するハウジング22と、ミニエンバイロメント空間21内で清浄空気のような気体を循環して雰囲気制御するための気体循環装置23と、ミニエンバイロメント空間21内に供給された空気の一部を回収して排出する排出装置24と、ミニエンバイロメント空間21内に配設されていて検査対象としての基板即ちウエハを粗位置決めするプリアライナー25とを備えている。
ハウジング22は、頂壁221、底壁222及び四周を囲む周壁223を有しいてい、ミニエンバイロメント空間21を外部から遮断する構造になっている。ミニエンバイロメント空間を雰囲気制御するために、気体循環装置23は、図3に示されるように、ミニエンバイロメント空間21内において、頂壁221に取り付けられていて、気体(この実施形態では空気)を清浄にして一つ又はそれ以上の気体吹き出し口(図示せず)を通して清浄空気を真下に向かって層流状に流す気体供給ユニット231と、ミニエンバイロメント空間内において底壁222の上に配置されていて、底に向かって流れ下った空気を回収する回収ダクト232と、回収ダクト232と気体供給ユニット231とを接続して回収された空気を気体供給ユニット231に戻す導管233とを備えている。
この実施形態では、気体供給ユニット231は供給する空気の約20%をハウジング22の外部から取り入れて清浄にするようになっているが、この外部から取り入れられる気体の割合は任意に選択可能である。気体供給ユニット231は、清浄空気をつくりだすための公知の構造のHEPA若しくはULPAフィルタを備えている。清浄空気の層流状の下方向の流れ即ちダウンフローは、主に、ミニエンバイロメント空間21内に配置された後述する第1の搬送ユニットによる搬送面を通して流れるように供給され、搬送ユニットにより発生する虞のある塵埃がウエハに付着するのを防止するようになっている。
従って、ダウンフローの噴出口は必ずしも図示のように頂壁に近い位置である必要はなく、搬送ユニットによる搬送面より上側にあればよい。また、ミニエンバイロメント空間全面に亘って流す必要もない。なお、場合によっては、清浄空気としてイオン風を使用することによって清浄度を確保することができる。また、ミニエンバイロメント空間内には清浄度を観察するためのセンサを設け、清浄度が悪化したときに装置をシャットダウンすることもできる。ハウジング22の周壁223のうちカセットホルダ10に隣接する部分には出入り口225が形成されている。出入り口225近傍には公知の構造のシャッタ装置を設けて出入り口225をミニエンバイロメント装置側から閉じるようにしてもよい。ウエハ近傍でつくる層流のダウンフローは、例えば0.3乃至0.4m/secの流速でよい。気体供給ユニットはミニエンバイロメント空間内でなくその外側に設けてもよい。
排出装置24は、前記搬送ユニットのウエハ搬送面より下側の位置で搬送ユニットの下部に配置された吸入ダクト241と、ハウジング22の外側に配置されたブロワー242と、吸入ダクト241とブロワー242とを接続する導管243と、を備えている。この排出装置24は、搬送ユニットの周囲を流れ下り搬送ユニットにより発生する可能性のある塵埃を含んだ気体を、吸入ダクト241により吸引し、導管243、244及びブロワー242を介してハウジング22の外側に排出する。この場合、ハウジング22の近くに引かれた排気管(図示せず)内に排出してもよい。
ミニエンバイロメント空間21内に配置されたアライナー25は、ウエハに形成されたオリエンテーションフラット(円形のウエハの外周に形成された平坦部分を言い、以下においてオリフラと呼ぶ)や、ウエハの外周縁に形成された一つ又はそれ以上のV型の切欠き即ちノッチを光学的に或いは機械的に検出してウエハの軸線O−Oの周りの回転方向の位置を約±1度の精度で予め位置決めしておくようになっている。プリアライナーは請求項に記載された発明の検査対象の座標を決める機構の一部を構成し、検査対象の粗位置決めを担当する。このプリアライナー自体は公知の構造のものでよいので、その構造、動作の説明は省略する。
なお、図示しないが、プリアライナーの下部にも排出装置用の回収ダクトを設けて、プリアライナーから排出された塵埃を含んだ空気を外部に排出するようにしてもよい。
図1及び図2において、ワーキングチャンバ31を画成する主ハウジング30は、ハウジング本体32を備え、そのハウジング本体32は、台フレーム36上に配置された振動遮断装置即ち防振装置37の上に載せられたハウジング支持装置33によって支持されている。ハウジング支持装置33は矩形に組まれたフレーム構造体331を備えている。ハウジング本体32はフレーム構造体331上に配設固定されていて、フレーム構造体上に載せられた底壁321と、頂壁322と、底壁321及び頂壁322に接続されて四周を囲む周壁323とを備えていてワーキングチャンバ31を外部から隔離している。底壁321は、この実施形態では、上に載置されるステージ装置等の機器による加重で歪みの発生しないように比較的肉厚の厚い鋼板で構成されているが、その他の構造にしてもよい。 この実施形態において、ハウジング本体及びハウジング支持装置33は、剛構造に組み立てられていて、台フレーム36が設置されている床からの振動がこの剛構造に伝達されるのを防振装置37で阻止するようになっている。ハウジング本体32の周壁323のうち後述するローダハウジングに隣接する周壁にはウエハ出し入れ用の出入り口325が形成されている。
防振装置は、空気バネ、磁気軸受け等を有するアクティブ式のものでも、或いはこれらを有するパッシブ式のもよい。いずれも公知の構造のものでよいので、それ自体の構造及び機能の説明は省略する。ワーキングチャンバ31は公知の構造の真空装置(図示せず)により真空雰囲気に保たれるようになっている。台フレーム36の下には装置全体の動作を制御する制御装置2が配置されている。
図1、図2及び図4において、ローダハウジング40は、第1のローディングチャンバ41と第2のローディングチャンバ42とを画成するハウジング本体43を備えている。ハウジング本体43は底壁431と、頂壁432と、四周を囲む周壁433と、第1のローディングチャンバ41と第2のローディングチャンバ42とを仕切る仕切壁434とを有していて、両ローディングチャンバを外部から隔離できるようになっている。仕切壁434には両ローディングチャンバ間でウエハのやり取りを行うための開口即ち出入り口435が形成されている。また、周壁433のミニエンバイロメント装置及び主ハウジングに隣接した部分には出入り口436及び437が形成されている。
このローダハウジング40のハウジング本体43は、ハウジング支持装置33のフレーム構造体331上に載置されてそれによって支持されている。従って、このローダハウジング40にも床の振動が伝達されないようになっている。ローダハウジング40の出入り口436とミニエンバイロメント装置のハウジング22の出入り口226とは整合されていて、そこにはミニエンバイロメント空間21と第1のローディングチャンバ41との連通を選択的に阻止するシャッタ装置27が設けられている。シャッタ装置27は、出入り口226及び436の周囲を囲んで側壁433と密に接触して固定されたシール材271、シール材271と協働して出入り口を介しての空気の流通を阻止する扉272と、その扉を動かす駆動装置273とを有している。
また、ローダハウジング40の出入り口437とハウジング本体32の出入り口325とは整合されていて、そこには第2のローディングチャンバ42とワーキンググチャンバ31との連通を選択的に密封阻止するシャッタ装置45が設けられている。シャッタ装置45は、出入り口437及び325の周囲を囲んで側壁433及び323と密に接触してそれらに固定されたシール材451、シール材451と協働して出入り口を介しての空気の流通を阻止する扉452と、その扉を動かす駆動装置453とを有している。
更に、仕切壁434に形成された開口には、扉461によりそれを閉じて第1及び第2のローディングチャンバ間の連通を選択的に密封阻止するシャッタ装置46が設けられている。これらのシャッタ装置27、45及び46は、閉じ状態にあるとき各チャンバを気密シールできるようになっている。これらのシャッタ装置は公知のものでよいので、その構造及び動作の詳細な説明は省略する。なお、ミニエンバイロメント装置20のハウジング22の支持方法とローダハウジングの支持方法が異なり、ミニエンバイロメント装置を介して床からの振動がローダハウジング40、主ハウジング30に伝達されるのを防止するために、ハウジング22とローダハウジング40との間には出入り口の周囲を気密に囲むように防振用のクッション材を配置しておけば良い。
第1のローディングチャンバ41内には、複数(この実施形態では2枚)のウエハを上下に隔てて水平の状態で支持するウエハラック47が配設されている。ウエハラック47は、図5の示されるように、矩形の基板471の四隅に互いに隔てて直立状態で固定された支柱472を備え、各支柱472にはそれぞれ2段の支持部473及び474が形成され、その支持部の上にウエハWの周縁の載せて保持するようになっている。そして後述する第1及び第2の搬送ユニットのアームの先端を隣接する支柱間からウエハに接近させてアームによりウエハを把持するようになっている。
ローディングチャンバ41及び42は、図示しない真空ポンプを含む公知の構造の真空排気装置(図示せず)によって高真空状態(真空度としては10−5〜10−6Pa)に雰囲気制御され得るようになっている。この場合、第1のローディングチャンバ41を低真空チャンバとして低真空雰囲気に保ち、第2のローディングチャンバ42を高真空チャンバとして高真空雰囲気に保ち、ウエハの汚染防止を効果的に行うこともできる。このような構造を採用することによってローディングチャンバ内に収容されていて次に欠陥検査されるウエハをワーキングチャンバ内に遅滞なく搬送することができる。このようなローディングチャンバを採用することによって、後述するマルチビーム型電子装置原理と共に、欠陥検査のスループットを向上させ、更に保管状態が高真空状態であることを要求される電子源周辺の真空度を可能な限り高真空度状態にすることができる。
第1及び第2のローディングチャンバ41及び42は、それぞれ真空排気配管と不活性ガス(例えば乾燥純窒素)用のベント配管(それぞれ図示せず)が接続されている。これによって、各ローディングチャンバ内の大気圧状態は不活性ガベント(不活性ガスを注入して不活性ガス以外の酸素ガス等が表面に付着するのを防止する)によって達成される。このような不活性ガスベントを行う装置自体は公知の構造のものでよいので、その詳細な説明は省略する。
電子線を使用する本発明の検査装置において、後述する電子光学系の電子源として使用される代表的な六硼化ランタン(LaB)等は一度熱電子を放出する程度まで高温状態に加熱された場合には、酸素等に可能な限り接触させないことがその寿命を縮めないために肝要であるが、電子光学系が配置されているワーキングチャンバにウエハを搬入する前段階で上記のような雰囲気制御を行うことにより、より確実に実行できる。
ステージ装置50は、主ハウジング30の底壁301上に配置された固定テーブル51と、固定テーブル上でY方向(図1において紙面に垂直の方向)に移動するYテーブル52と、Yテーブル上でX方向(図1において左右方向)に移動するXテーブル53と、Xテーブル上で回転可能な回転テーブル54と、回転テーブル54上に配置されたホルダ55とを備えている。そのホルダ55のウエハ載置面551上にウエハを解放可能に保持する。ホルダは、ウエハを機械的に或いは静電チャック方式で解放可能に把持できる公知の構造のものでよい。
ステージ装置50は、サーボモータ、エンコーダ及び各種のセンサ(図示せず)を用いて、上記のような複数のテーブルを動作させることにより、載置面551上でホルダに保持されたウエハを電子光学装置から照射される電子ビームに対してX方向、Y方向及びZ方向(図1において上下方向)に、更にウエハの支持面に鉛直な軸線の回り方向(θ方向)に高い精度で位置決めできるようになっている。なお、Z方向の位置決めは、例えばホルダ上の載置面の位置をZ方向に微調整可能にしておけばよい。この場合、載置面の基準位置を微細径レーザによる位置測定装置(干渉計の原理を使用したレーザ干渉測距装置)によって検知し、その位置を図示しないフィードバック回路によって制御したり、それと共に或いはそれに代えてウエハのノッチ或いはオリフラの位置を測定してウエハの電子ビームに対する平面位置、回転位置を検知し、回転テーブルを微小角度制御可能なステッピングモータなどにより回転させて制御する。
ワーキングチャンバ内での塵埃の発生を極力防止するために、ステージ装置用のサーボモータ521、531及びエンコーダ522、532は、主ハウジング30の外側に配置されている。なお、ステージ装置50は、例えばステッパー等で使用されている公知の構造のもので良いので、その構造及び動作の詳細な説明は省略する。また、上記レーザ干渉測距装置も公知の構造のものでよいので、その構造、動作の詳細な説明は省略する。
電子ビームに対するウエハの回転位置や、X、Y位置を予め後述する信号検出系或いは画像処理系に入力することで得られる信号の基準化を図ることもできる。更に、このホルダに設けられたウエハチャック機構は、ウエハをチャックするための電圧を静電チャックの電極に与えられるようになっていて、ウエハの外周部の3点(好ましくは周方向に等隔に隔てられた)を押さえて位置決めするようになっている。ウエハチャック機構は、二つの固定位置決めピンと、一つの押圧式クランクピンとを備えている。クランプピンは、自動チャック及び自動リリースを実現できるようになっており、かつ電圧印加の導通箇所を構成している。
この実施形態では図2で左右方向に移動するテーブルをXテーブルとし、上下方向に移動するテーブルをYテーブルとしたが、同図で左右方向に移動するテーブルをYテーブルとし、上下方向に移動するテーブルをXテーブルとしてもよい。
ローダー60は、ミニエンバイロメント装置20のハウジング22内に配置されたロボット式の第1の搬送ユニット61と、第2のローディングチャンバ42内に配置されたロボット式の第2の搬送ユニット63とを備えている。第1の搬送ユニット61は、駆動部611に関して軸線O−Oの回りで回転可能になっている多節のアーム612を有している。多節のアームとしては任意の構造のものを使用できるが、この実施形態では、互いに回動可能に取り付けられた三つの部分を有している。第1の搬送ユニット61のアーム612の一つの部分即ち最も駆動部611側の第1の部分は、駆動部611内に設けられた公知の構造の駆動機構(図示せず)により回転可能な軸613に取り付けられている。
アーム612は、軸613により軸線O−Oの回りで回動できると共に、部分間の相対回転により全体として軸線O−Oに関して半径方向に伸縮可能になっている。アーム612の軸613から最も離れた第3の部分の先端には公知の構造の機械式チャック又は静電チャック等のウエハを把持する把持装置616が設けられている。駆動部611は、公知の構造の昇降機構615により上下方向に移動可能になっている。
この第1の搬送ユニット61は、アーム612がカセットホルダに保持された二つのカセットcの内いずれか一方の方向M1又はM2に向かってアームが伸び、カセットc内に収容されたウエハを1枚アームの上に載せ或いはアームの先端に取り付けたチャック(図示せず)により把持して取り出す。その後アームが縮み(図2に示すような状態)、アームがプリアライナー25の方向M3に向かって伸長できる位置まで回転してその位置で停止する。するとアームが再び伸びてアームに保持されたウエハをプリアライナー25に載せる。プリアライナーから前記と逆にしてウエハを受け取った後はアームは更に回転し第2のローディングチャンバ41に向かって伸長できる位置(向きM3)で停止し、第2のローディングチャンバ41内のウエハ受け47にウエハを受け渡す。
機械的にウエハを把持する場合は、ウエハの周縁部(周縁から約5mmの範囲)又は裏面を把持する。これはウエハには周縁部を除いて全面にデバイス(回路配線)が形成されており、この部分を把持するとデバイスの破壊、欠陥の発生を生じさせるからである。
第2の搬送ユニット63も第1の搬送ユニットと構造が基本的に同じであり、ウエハの搬送をウエハラック47とステージ装置の載置面上との間で行う点でのみ相違するだけであるから、詳細な説明は省略する。
上記ローダー60では、第1及び第2の搬送ユニット61及び63は、カセットホルダに保持されたカセットからワーキングチャンバ31内に配置されたステージ装置50上への及びその逆のウエハの搬送をほぼ水平状態に保ったままで行い、搬送ユニットのアームが上下動するのは、単に、ウエハのカセットからの取り出し及びそれへの挿入、ウエハのウエハラックへの載置及びそこからの取り出し及びウエハのステージ装置への載置及びそこからの取り出しのときだけである。従って、大型のウエハ、例えば直径30cmのウエハの移動もスムースに行うことができる。
次にカセットホルダに支持されたカセットcからワーキングチャンバ31内に配置されたステージ装置50までへのウエハの搬送を順を追って説明する。
カセットホルダ10は、前述のように人手によりカセットをセットする場合にはそれに適した構造のものが、また自動的にカセットをセットする場合にはそれに適した構造のものが使用される。この実施形態において、カセットcがカセットホルダ10の昇降テーブル11の上にセットされると、昇降テーブル11は昇降機構12によって降下されカセットcが出入り口225に整合される。
カセットが出入り口225に整合されると、カセットに設けられたカバー(図示せず)が開きまたカセットcとミニエンバイロメントの出入り口225との間には筒状の覆いが配置されてカセット内及びミニエンバイロメント空間内を外部から遮断する。これらの構造は公知のものであるから、その構造及び動作の詳細な説明は省略する。なお、ミニエンバイロメント装置20側に出入り口225を開閉するシャッタ装置が設けられている場合にはそのシャッタ装置が動作して出入り口225を開く。
一方第1の搬送ユニット61のアーム612は方向M1又はM2のいずれかに向いた状態(この説明ではM1の方向)で停止しており、出入り口225が開くとアームが伸びて先端でカセット内に収容されているウエハのうち1枚を受け取る。なお、アームと、カセットから取り出されるべきウエハとの上下方向の位置調整は、この実施形態では第1の搬送ユニット61の駆動部611及びアーム612の上下移動で行うが、カセットホルダの昇降テーブルの上下動行っても或いはその両者で行ってもよい。
アーム612によるウエハの受け取りが完了すると、アームは縮み、シャッタ装置を動作して出入り口を閉じ(シャッタ装置がある場合)、次にアーム612は軸線O−Oの回りで回動して方向M3に向けて伸長できる状態になる。すると、アームは伸びて先端に載せられ或いはチャックで把持されたウエハをプリアライナー25の上に載せ、そのプリアライナーによってウエハの回転方向の向き(ウエハ平面に垂直な中心軸線の回りの向き)を所定の範囲内に位置決めする。位置決めが完了すると搬送ユニット61はアームの先端にプリアライナー25からウエハを受け取ったのちアームを縮ませ、方向M4に向けてアームを伸長できる姿勢になる。するとシャッタ装置27の扉272が動いて出入り口223及び236を開き、アーム612が伸びてウエハを第1のローディングチャンバ41内のウエハラック47の上段側又は下段側に載せる。なお、前記のようにシャッタ装置27が開いてウエハラック47にウエハが受け渡される前に、仕切壁434に形成された開口435はシャッタ装置46の扉461により気密状態で閉じられている。
上記第1の搬送ユニットによるウエハの搬送過程において、ミニエンバイロメント装置のハウジングの上に設けられた気体供給ユニット231からは清浄空気が層流状に流れ(ダウンフローとして)、搬送途中で塵埃がウエハの上面に付着するのを防止する。搬送ユニット周辺の空気の一部(この実施形態では供給ユニットから供給される空気の約20%で主に汚れた空気)は排出装置24の吸入ダクト241から吸引されてハウジング外に排出される。残りの空気はハウジングの底部に設けられた回収ダクト232を介して回収され再び気体供給ユニット231に戻される。
ローダハウジング40の第1のローディングチャンバ41内のウエハラック47内に第1の搬送ユニット61によりウエハが載せられると、シャッタ装置27が閉じて、ローディングチャンバ41内を密閉する。すると、第1のローディングチャンバ41内には不活性ガスが充填されて空気が追い出された後、その不活性ガスも排出されてそのローディングチャンバ41内は真空雰囲気にされる。この第1のローディングチャンバの真空雰囲気は低真空度でよい。ローディングチャンバ41内の真空度がある程度得られると、シャッタ装置46が動作して扉461で密閉していた出入り口434を開き、第2の搬送ユニット63のアーム632が伸びて先端の把持装置でウエハ受け47から1枚のウエハを受け取る(先端の上に載せて或いは先端に取り付けられたチャックで把持して)。ウエハの受け取りが完了するとアームが縮み、シャッタ装置46が再び動作して扉461で出入り口435を閉じる。
シャッタ装置46が開く前にアーム632は予めウエハラック47の方向N1に向けて伸長できる姿勢になる。また、前記のようにシャッタ装置46が開く前にシャッタ装置45の扉452で出入り口437、325を閉じていて、第2のローディングチャンバ42内とワーキングチャンバ31内との連通を気密状態で阻止しており、第2のローディングチャンバ42内は真空排気される。
シャッタ装置46が出入り口435を閉じると、第2のローディングチャンバ内は再度真空排気され、第1のローディングチャンバ内よりも高真空度で真空にされる。その間に、第2の搬送ユニット61のアームはワーキングチャンバ31内のステージ装置50の方向に向いて伸長できる位置に回転される。一方ワーキングチャンバ31内のステージ装置では、Yテーブル52が、Xテーブル53の中心線O−Oが第2の搬送ユニット63の回動軸線O−Oを通るX軸線X−Xとほぼ一致する位置まで、図2で上方に移動し、また、Xテーブル53は図2で最も左側の位置に接近する位置まで移動し、この状態で待機している。第2のローディングチャンバがワーキングチャンバの真空状態と略同じになると、シャッタ装置45の扉452が動いて出入り口437、325を開き、アームが伸びてウエハを保持したアームの先端がワーキングチャンバ31内のステージ装置に接近する。そしてステージ装置50の載置面551上にウエハを載置する。ウエハの載置が完了するとアームが縮み、シャッタ装置45が出入り口437、325を閉じる。
以上は、カセットc内のウエハをステージ装置上に搬送するまでの動作に付いて説明したが、ステージ装置に載せられて処理が完了したウエハをステージ装置からカセットc内に戻すには前述と逆の動作を行って戻す。また、ウエハラック47に複数のウエハを載置しておくため、第2の搬送ユニットでウエハラックとステージ装置との間でウエハの搬送を行う間に、第1の搬送ユニットでカセットとウエハラックとの間でウエハの搬送を行うことができ、検査処理を効率良く行うことができる。
具体的には、第2の搬送ユニットのウエハラック47に、処理済みのウエハAと未処理のウエハBがある場合、まずステージ装置50へ未処理のウエハBを移動し、処理を開始する。この処理中に、処理済みのウエハAをアームによりステージ装置50からウエハラック47へ移動し、未処理のウエハCを同じくアームによりウエハラック47から抜き出し、プリアライナで位置決めした後、ローディングチャンバ41のウエハラック47へ移動する。このようにすることで、ウエハラック47の中には、ウエハBを処理中に、処理済みのウエハAが未処理のウエハCに置き変えられる。
また検査や評価を行うこのような装置の利用の仕方によっては、ステージ装置50を複数台並列に置き、それぞれの装置に1つのウエハラック47からウエハを移動することにより複数枚のウエハを同様に処理することもできる。
図6において、主ハウジングの支持方法の変形例がで示されている。図6[A]に示された変形例では、ハウジング支持装置33aを厚肉で矩形の鋼板331aで構成し、その鋼板の上にハウジング本体32aが載せられている。従って、ハウジング本体32aの底壁321aは、前記実施形態の底壁に比較して薄い構造になっている。図6[B]に示された変形例では、ハウジング支持装置33bのフレーム構造体336bによりハウジング本体32b及びローダハウジング40bを吊り下げて状態で支持するようになっている。フレーム構造体336bに固定された複数の縦フレーム337bの下端は、ハウジング本体32bの底壁321bの四隅に固定され、その底壁により周壁及び頂壁を支持するようになっている。そして防振装置37bは、フレーム構造体336bと台フレーム36bとの間に配置されている。
また、ローダハウジング40もフレーム構造体336に固定された吊り下げ部材49bによって吊り下げられている。ハウジング本体32bのこの図6[B]に示された変形例では、吊り下げ式に支えるので主ハウジング及びその中に設けられた各種機器全体の低重心化が可能である。上記変形例を含めた主ハウジング及びローダハウジングの支持方法では主ハウジング及びローダハウジングに床からの振動が伝わらないようになっている。
図示しない別の変形例では、主ハウジングのハウジング本外のみがハウジング支持装置よって下から支えられ、ローダハウジングは隣接するミニエンバイロメント装置と同じ方法で床上に配置され得る。また、図示しない更に別の変形例では、主ハウジングのハウジング本体のみがフレーム構造体に吊り下げ式で支持され、ローダハウジングは隣接するミニエンバイロメント装置と同じ方法で床上に配置され得る。
電子光学装置70(実施例1、図1)は、ハウジング本体32に固定された鏡筒71を備え、その中には、図7及び図8に概略図示するような、一次電子光学系(以下単に一次光学系)72と、二次電子光学系(以下単に二次光学系)74とを備える電子光学系と、検出系76とが設けられている。一次光学系72は、電子線を検査対象であるウエハWの表面に照射する光学系で、電子線を放出する電子銃721と、電子銃721から放出された一次電子線を集束する静電レンズ即ちコンデンサレンズ722と、コンデンサレンズ722の下方に配置されかつ複数の開口が形成されていて一次電子線を複数の一次電子ビーム即ちマルチビームに形成するマルチ開口板723と、一次電子ビームを縮小する静電レンズである縮小レンズ724と、ウイーンフィルタ即ちE×B分離器725と、対物レンズ726と、を備え、それらは、図7に示されるように電子銃721を最上部にして順に、しかも電子銃から放出される一次電子線の光軸が検査対象Sの表面に鉛直になるように配置されている。
縮小レンズ724及び対物レンズ726の像面湾曲収差の影響をなくすため、マルチ開口板723に形成される複数(この実施形態では9個)の開口723aは、図8に示されるように光軸を中心とした円の円周上に形成され、しかもその開口のX軸上への投影像のX方向の間隔Lxが同じになるように配置されている。
二次光学系74は、E×B型偏向器724により一次光学系から分離された二次電子を通す2段の静電レンズである拡大レンズ741及び742と、マルチ開口検出板743を備えている。マルチ開口検出板743に形成される開口743aは、一次光学系のマルチ開口板723に形成されている開口723aと一対一で対応するようになっている。
検出系76は、二次光学系74のマルチ開口検出板743の各開口743aに対応してそれに近接して配置された複数(この実施形態では9個)検出器761と、各検出器761にA/D変換器762を介して電気的に接続された画像処理部763とを備えている。
次に、上記構成の電子光学装置(実施例2、図7)の動作に付いて説明する。電子銃721から放出された一次電子線は、一次光学系72のコンデンサレンズ722によって集束されて点P1においてクロスオーバを形成する。一方、コンデンサレンズ722によって集束された一次電子線は、マルチ開口板の複数の開口723aを通して複数の一次電子ビームが形成され、縮小レンズ724によって縮小されて位置P2に投影される。位置P2で合焦した後、更に対物レンズ726によってウエハWの表面上に合焦される。一方、一次電子線ビームは縮小レンズ724と対物レンズ726との間に配置された偏向器727によってウエハWの表面上を走査するように偏向される。
合焦された複数(この実施形態では9本)の一次電子ビームによって試料Sは複数の点が照射され、照射されたこれらの複数の点からは二次電子が放出される。この二次電子は、対物レンズ726の電界に引かれて細く集束され、E×B分離器725で偏向されて二次光学系74に投入される。二次電子による像は偏向器725に関して位置P2より近い位置P3において焦点を結ぶ。これは、一次電子ビームがウエハ面上で500eVのエネルギを有しているのに対して、二次電子が数eVのエネルギしか有していないためである。
位置P3で合焦された二次電子の像は2段の拡大レンズ741、742でマルチ開口検出板743の対応する開口743aに合焦され、その像を各開口743aに対応して配置された検出器761で検出する。検出器761は、検出した電子線を、その強度を表す電気信号に変換する。このようにして変換された電気信号は、各検出器761から出力されてそれぞれA/D変換器762にデジタル信号に変換された後、画像処理部763に入力される。画像処理部763は入力されたデジタル信号を画像データに変換する。画像処理部763には、一次電子線を偏向させるための走査信号が供給されるようになっているので、画像処理部はウエハの面を表す画像を表示することになる。この画像を設定器(図示せず)に予め設定された標準パターンと、比較器(図示せず)において比較することによってウエハWの被検出(評価)パターンの良否を検出する。更に、レジストレーションによウエハWの被測定パターンを一次光学系の光軸の近くへ移動させ、ラインスキャンする事によって線幅評価信号を取り出し、これを適宜校正することによって、ウエハの表面に形成されたパターンの線幅を測定することができる。
なお、一次光学系のマルチ開口板723の開口を通過した一次電子ビームをウエハWの表面に合焦させ、ウエハから放出される二次電子を検出器761に結像させる際に、一次光学系で生じる歪み、軸上色収差及び視野非点という3つの収差による影響を最小にするよう特に配慮する必要がある。
また、複数の一次電子ビーム間の間隔と、二次光学系との関係については、一次電子ビーム間の間隔を二次光学系の収差よりも大きい距離だけ離せば複数のビーム間のクロストークを無くすことができる。
プレチャージユニット81は、図1に示されるように、ワーキングチャンバ31内で電子光学装置70の鏡筒71に隣接して配設されている。本検査装置では検査対象である基板即ちウエハに電子線を走査して照射することによりウエハ表面に形成されたデバイスパターン等を検査する形式の装置であるから、電子線の照射により生じる二次電子等の情報をウエハ表面の情報とするが、ウエハ材料、照射電子のエネルギ等の条件によってウエハ表面が帯電(チャージアップ)することがある。更に、ウエハ表面でも強く帯電する箇所、弱い帯電箇所が生じる可能性がある。ウエハ表面の帯電量にむらがあると二次電子情報もむらを生じ、正確な情報を得ることができない。そこで、本実施形態では、このむらを防止するために、荷電粒子照射部811を有するプレチャージユニット81が設けられている。検査するウエハの所定の箇所に検査電子を照射する前に、帯電むらをなくすためにこのプレチャージユニットの荷電粒子照射部811から荷電粒子を照射して帯電のむらを無くす。このウエハ表面のチャージアップは予めウエハ面の画像を形成し、その画像を評価することで検出し、その検出に基づいてプレチャージユニット81を動作させる。また、このプレチャージユニットでは一次電子線をぼかして照射してもよい。
図9において、電位印加機構83は、ウエハから放出される二次電子情報(二次電子発生率)が、ウエハの電位に依存すると言う事実に基づいて、ウエハを載置するステージの設置台に±数Vの電位を印加することにより二次電子の発生を制御するものである。また、この電位印加機構は、照射電子が当初有しているエネルギーを減速し、ウエハに100〜500eV程度の照射電子エネルギーとするための用途も果たす。
電位印加機構83は、図9に示されるように、ステージ装置50の載置面541と電気的に接続された電圧印加装置831と、チャージアップ調査及び電圧決定システム(以下調査及び決定システム)832とを備えている。調査及び決定システム832は、電子光学装置70の検出系76の画像形成部763に電気的に接続されたモニター833と、モニター833に接続されたオペレータ834と、オペレータ834に接続されたCPU835とを備えている。CPU835は、前記電圧印加装置831並びに偏向器727に信号を供給するようになっている。上記電位印加機構は、検査対象であるウエハが帯電し難い電位を探し、その電位を印加するように設計されている。
検査試料の電気的欠陥を検査する方法としては、本来電気的に絶縁されている部分とその部分が通電状態にある場合では、その部分の電圧が異なることを利用することもできる。それは、まず、試料に事前に電荷を付与することで、本来電気的に絶縁されている部分の電圧と、本来電気的に絶縁されている部分であるが、何らかの原因で通電状態にある部分の電圧とに電位差を生じさせ、その後、本発明のビームを照射することで、電位差があるデータを取得し、この取得データを解析して、通電状態となっていることを検出する。
図10において、電子ビームキャリブレーション機構85は、前記回転テーブル上でウエハの載置面541の側部の複数箇所に設置された、ビーム電流測定用のそれぞれ複数のファラデーカップ851及び852を備えている。ファラデーカップ851は細いビーム用(約φ2μm)で、ファラデーカップ852太いビーム用(約φ30μm)である。細いビーム用のファラデーカップ851では回転テーブルをステップ送りすることで、ビームプロフィルを測定し。太いビーム用のファラデーカップ852ではビームの総電流量を計測する。ファラデーカップ851及び852は、上表面が載置面541上に載せられたウエハWの上表面と同じレベルになるように配置されている。このようにして電子銃から放出される一次電子線を常時監視する。これは、電子銃が常時一定の電子線を放出できるわけでなく、使用しているうちにその放出量が変化するためである。
アライメント制御装置87は、ステージ装置50を用いてウエハWを電子光学装置70に対して位置決めさせる装置であって、ウエハを光学顕微鏡871を用いた広視野観察による概略合わせ(電子光学系によるよりも倍率が低い測定)、電子光学装置70の電子光学系を用いた高倍率合わせ、焦点調整、検査領域設定、パターンアライメント等の制御を行うようになっている。このように光学系を用いて低倍率でウエハを検査するのは、ウエハのパターンの検査を自動的に行うためであり、電子線を用いた狭視野でウエハのパターンを観察してウエハアライメントを行う時には電子線でアライメントマークを容易に検出することが必要であるからである。
光学顕微鏡871は、ハウジングに設けられ(ハウジング内で移動可能な設けられていてもよい)ており、光学顕微鏡を動作させるための光源も図示しないがハウジング内に設けられている。また高倍率の観察を行う電子光学系は電子光学装置70の電子光学系(一次光学系72及び二次光学系74)を共用するものである。その構成を概略図示すれば、図11に示されるようになる。ウエハ上の被観察点を低倍率で観察するには、ステージ装置50のXステージ53をX方向に動かすことによってウエハの被観察点を光学顕微鏡の視野内に移動させる。光学顕微鏡871で広視野でウエハを視認してそのウエハ上の観察すべき位置をCCD872を介してモニタ873に表示させ、観察位置をおおよそ決定する。この場合光学顕微鏡の倍率を低倍率から高倍率に変化させていってもよい。
次に、ステージ装置50を電子光学装置70の光軸と光学顕微鏡871の光軸との間隔δxに相当する距離だけ移動させて光学顕微鏡で予め決めたウエハ上の被観察点を電子光学装置の視野位置に移動させる。この場合、電子光学装置の軸線O−Oと光学顕微鏡871の光軸O−Oとの間の距離δx(この実施形態ではX軸線に沿った方向にのみ両者は位置ずれしているものとするが、Y軸方向に位置ずれしていてもよい)は予めわかっているのでその値δxだけ移動させれば被観察点を視認位置に移動させることができる。電子光学装置の視認位置への被観察点の移動が完了した後、電子光学系により高倍率で被観察点をSEM撮像して画像を記憶したり、モニタ765に表示させる。
このようにして電子光学系により高倍率でウエハの観察点をモニタに表示させた後、公知の方法によりステージ装置50の回転テーブル54の回転中心に関するウエハの回転方向の位置ずれ、即ち電子光学系の光軸O−Oに対するウエハの回転方向のずれδθを検出し、また電子光学装置に関する所定のパターのX軸及びY軸方向の位置ずれを検出する。そしてその検出値並びに別途得られたウエハに設けられた検査マークのデータ或いはウエハのパターンの形状等に関するデータに基づいてステージ装置50の動作を制御してウエハのアライメントを行う。
次に図12及び図13を参照して本発明による半導体デバイスの製造方法の実施例を説明する。図12は、本発明による半導体デバイスの製造方法の一実施例を示すフローチャートである。この実施例の製造工程は以下の主工程を含んでいる。
(1)ウエハを製造するウエハ製造工程(又はウエハを準備するウエハ準備工程)
(2)露光に使用するマスクを製造するマスク製造工程(又はマスクを準備するマスク準備工程)
(3)ウエハに必要な加工処理を行うウエハプロセッシング工程
(4)ウエハ上に形成されたチップを1個ずつ切り出し、動作可能にならしめるチップ組立工程
(5)できたチップを検査するチップ検査工程
なお、上記のそれぞれの主工程は更に幾つかのサブ工程からなっている。
これらの主工程中の中で、半導体デバイスの性能に決定的な影響を及ぼすのが(3)のウエハプロセッシング工程である。この工程では、設計された回路パターンをウエハ上に順次積層し、メモリやMPUとして動作するチップを多数形成する。このウエハプロセッシング工程は以下の各工程を含んでいる。
(A)絶縁層となる誘電体薄膜や配線部、或いは電極部を形成する金属薄膜等を形成する薄膜形成工程(CVDやスパッタリング等を用いる)
(B)この薄膜層やウエハ基板を酸化する酸化工程
(C)薄膜層やウエハ基板等を選択的に加工するためにマスク(レチクル)を用いてレジストパターンを形成するリソグラフィー工程
(D)レジストパターンに従って薄膜層や基板を加工するエッチング工程(例えばドライエッチング技術を用いる)
(E)イオン・不純物注入拡散工程
(F)レジスト剥離工程
(G)加工されたウエハを検査する工程
なお、ウエハプロセッシング工程は必要な層数だけ繰り返し行い、設計通り動作する半導体デバイスを製造する。
図13は、図12のウエハプロセッシング工程の中核をなすリソグラフィー工程を示すフローチャートである。このリソグラフィー工程は以下の各工程を含む。
(a)前段の工程で回路パターンが形成されたウエハ上にレジストをコートするレジスト塗布工程
(b)レジストを露光する工程
(c)露光されたレジストを現像してレジストのパターンを得る現像工程
(d)現像されたレジストパターンを安定化するためのアニール工程
上記の半導体デバイス製造工程、ウエハプロセッシング工程、リソグラフィー工程については、周知のものでありこれ以上の説明を要しないであろう。
上記(G)の検査工程に本発明に係る欠陥検査方法、欠陥検査装置を用いると、微細なパターンを有する半導体デバイスでも、スループット良く検査できるので、全数検査も可能となり、製品の歩留まりの向上、欠陥製品の出荷防止が可能と成る。
本発明によれば、次のような効果を奏することが可能である。
(イ)複数の電子線即ちマルチビームを用いた検査装置の各構成機器を機能的に組み合わせることができたため、高いスループットで検査対象を処理することができる。
(ロ)エンバイロメント空間内に清浄度を観察するセンサを設けることによりその空間内の塵埃を監視しながら検査対象の検査を行うことができる。
(ハ)プレチャージユニットを設けているので、絶縁物でできたウエハも帯電による影響を受けがたい。
図14Aは、本発明の実施例3の電子線装置1000の光学系の概略を示す図である。マルチエミッタ1001、1002、1003から放出された1次電子線は、コンデンサレンズ1004で像面1005に縮小投影され、更にレンズ1006、対物レンズ1008で縮小され、試料面1010に縮小投影される。マルチエミッタは、図14Aでは1列のみ示したが、図17Aに示すように複数列設けられる。図17Aは、3×3のエミッタであり、図17Bは図17Aの線17B−17Bにおける断面図である。図17A及び17Bにおいて、1021はSi基板、1022はMoエミッタ、1023はAu引出し電極、1024はSi絶縁膜である。エミッタの数は適宜選択できる。レンズは、2〜10mm直径の開口を持つ2枚〜3枚の平面電極を光軸方向に2〜10mm間隔で配置し、各電極に異なる電圧を与えたもので、凸レンズ作用を示す。
マルチエミッタ1001、1002、1003から放出された1次電子線によって照射された試料面1010から放出された2次電子は、試料面1010と対物レンズ1008間に印加された加速電界によって加速され、大きい放出角で放出された2次電子も対物レンズ1008に入射するまでに細く絞られ、更に開口絞り1007を通過し、レンズ1006で1次ビームと同じ像面1005に結像する。
像面1005位置には、E×B分離器1009が設けられ、レンズ1006を通過した2次電子は、1次光学系から分離される。E×B分離器1009は、試料面1010の法線(紙面の上方向)に垂直な平面内において、電界と磁界とを直行させた構造となっており、電界、磁界、1次電子エネルギーの関係が、1次電子が直進するように設定されている。
分離された2次電子は、レンズ1011、1012で光学的に拡大され、検出面1013に複数の像を形成する。検出面1013には、マルチエミッタ1001、1002、1003からの1次電子線に対応する検出器1014、1015、1016が設けられ、それぞれの電子線によって照射された試料面から放出された2次電子を検出する。なお、マルチエミッタ1001、1002、1003は、1次光学系の像面湾曲を補正するため、Z軸方向に少しずつ位置をずらして配置される。即ち、光軸上のエミッタ1001は、最も試料から遠い位置に設けられ、光軸から離れたエミッタ1002は、像面湾曲の値だけエミッタ1001の位置より試料側に、更に光軸から離れたエミッタ1003は、更に試料に近い位置にずらされる。
試料の全面を照射するため、マルチエミッタからの1次電子線は、静電偏向器1017によって走査される。また、1次電子線の走査に連動して、2次光学系に設けた静電偏向器1018も走査され、2次電子が走査位置によらず常に所定の検出器1014、1015、1016に入射するように制御される。即ち、エミッタ1001、1002、1003からの1次電子線による2次電子は、それぞれ検出器1014、1015、1016に入射するように制御される。検出器等は、20kV程度の電圧が印加されたPINダイオードの前面に検出器の数の孔が設けられた曲面上の電極であり、この電極には1kV程度の電圧が印加される。孔から漏れる20kVの電圧による電界の凸レンズ作用によって、孔の近傍に来た2次電子はすべて孔を通り、検出器に入る。曲面の形状は、2次光学系の像面湾曲を補正する形状としている。
次に、複数の1次電子線の照射位置間隔と、2次光学系との関係について、説明する。図15は、2次光学系と開口角を示した図である。図15に示すように、受け入れ角度α1内の2次電子が、対物レンズ1008、絞り1007、レンズ1006を経て、像面1005に結像されるものとする。このとき、像面1005での開口半角は、αiであり、対物レンズ1008から見た見掛けの角度α0とαiは、2次光学系の拡大倍率をMとすると、αi/α0=1/Mとなる。また、角度α0とα1は、対物レンズ1008でのビームポテンシャルをV8、2次電子の初期エネルギーをViniとすると、(α1/α0)=V8/Viniとなる。
試料面1010での収差と開口半角αiとの関係を図16に示す。図16において、δSは、球面収差、δcomaは、コマ収差、δCは、色収差であり、δtotalは、それらの合計である。
今、20μmの収差を許容すると、開口半角αiは、5.3mrad以下にする必要がある。また、検出すべき2次電子の初期エネルギーViniは、0.1eV〜10eVを考えれば十分であり、拡大倍率Mを5、対物レンズ1008でのビームポテンシャルV8を20kVとすると、α1=1185mrad=67.9°となる。
受け入れ角度0°から60°までで90%以上の2次電子を取り込める(例えば、米国特許第5,412,210号明細書Fig.6参照)ので、2次光学系の開口半角αi即ち分解能を5.3mrad程度とし、検出器の寸法を試料面換算で20μmの4倍程度とすれば、90%以上の2次電子をクロストークなしに集めることができる。また、マルチエミッタの間隔も100μm程度とすれば、エミッタ間のクロストークも問題とならない。2次電子を90%以上集める必要がなく、50%以上集めればS/N比を十分取れる場合は、45°より小さい角度で放出された2次電子を検出器に集めるようにすればよい。何故なら、2次電子の収率ηは、次のように表されるからである。
η=∫ 45°sinθcosθdθ/∫ 90°sinθcosθdθ=0.5
このようにして、1次電子線は、互いに二次光学系の距離分解能より離れた位置に照射される。図14Bは電子線照射面を上から見た拡大図であり、同図において、距離Nがレンズ1008、1011、1012を通した試料面換算の分解能である。図14Bにおいて、距離Nが、識別できる2点間の距離と等しいかそれ以上であることにより、クロストークのないマルチビームが得られ、高スループットを得ることができる。このように構成した電子線装置は、半導体デバイスの欠陥検査や微小距離の測定に利用することができる。
図12及び図13の半導体デバイスの製造方法の一例を示すフローチャートのるチップ検査工程において、図14A電子線装置を利用すると、スループットよく検査ができ、全数検査も可能となり、製品の歩留向上、欠陥製品の出荷防止が可能となる。
以上の説明から明らかなように、図14Aの電子線装置によれば、試料から放出される2次荷電粒子の大部分をクロストークを生じることなく検出できるので、S/N比の高い欠陥検査あるいはパターン線幅測定ができる。
また、2次光学系の収差を試料面で20μm程度としても、十分な検出結果が得られるので、2次光学系を高精度のものとする必要がないとともに、1次光学系を試料に対して垂直なものとしたので、複数の荷電粒子線の形成が簡単になる。
更に、試料面と2次光学系の初段レンズ間には、1次光学系に対して減速電界が、2次光学系に対しては加速電界がかかっているため、1次荷電粒子線を絞りやすく、かつ広い角度範囲に放出された2次荷電粒子を初段レンズ位置で細い粒子束にでき、効率良く2次荷電粒子を検出できるのでS/N比の良い信号が得られ測定精度が向上する。
図18A及びBは、荷電ビーム装置の従来の真空チャンバ及びステージ(移動台)を示す断面図であり、図19は従来の排気機構の概略斜視図、図20A及びBは、本発明の実施例4の荷電ビーム装置(ステージ等)2000の概略断面図、図21は本発明の実施例5の荷電ビーム装置(ステージ等)2100の概略断面図、図22は本発明の実施例6の荷電ビーム装置(ステージ等)2200の概略断面図、図23は本発明の実施例7の荷電ビーム装置(ステージ等)2300の概略断面図、図24は本発明の実施例8の荷電ビーム装置(ステージ等)2400の概略断面図である。図18−図24において同様の構成部材は、同一の参照番号により指示される。
図20A、図20Bは、実施例4の荷電ビーム装置2000を示す。ステージ2003のY方向可動部2005の上面には+Y方向と−Y方向(図20Bで左右方向)に大きくほぼ水平に張り出した仕切り板2014が取り付けられ、X方向可動部2006の上面との間に常にコンダクタンスが小さい絞り部2050が構成されるようになっている。また、X方向可動部2006の上面にも同様の仕切り板2012が±X方向(図20Aで左右方向)に張り出すように構成されており、ステージ台2007の上面との間に常に絞り部2051が形成されるようになっている。ステージ台2007は、ハウジング2008内において底壁の上に公知の方法で固定されている。
このため、試料台2004がどの位置に移動しても常に絞り部2050と2051が形成されるので、可動部2005及び2006の移動時にガイド面2006aや2007aからガスが放出されても、絞り部2050と2051によって放出ガスの移動が妨げられるため、荷電ビームが照射される試料近傍の空間2024の圧力上昇を非常に小さく押さえることができる。
ステージの可動部2003の側面及び下面並びに可動部2006の下面には、静圧軸受け2009の周囲に、図19に示されるような差動排気用の溝が形成されていてこの溝によって真空排気されるため、絞り部2050、2051が形成されている場合は、ガイド面からの放出ガスはこれらの差動排気部によって主に排気されることになる。このため、ステージ内部の空間2013や2015の圧力は、チャンバC内の圧力よりも高い状態になっている。従って、空間2013や2015を、差動排気溝2017や2018で排気するだけでなく、真空排気する箇所を別に設ければ空間2013や2015の圧力を下げることができ、試料近傍2024の圧力上昇を更に小さくすることができる。このための真空排気通路2011−1と2011−2とが設けられている。排気通路はステージ台2007及びハウジング2008を貫通してハウジング2008の外部に通じている。また、排気通路2011−2はX方向可動部2006に形成されX方向可動部2006の下面に開口している。
また、仕切り板2012及び2014を設置すると、チャンバCと仕切り板が干渉しないようにチャンバを大きくする必要が生じるが、仕切り板を伸縮可能な材料や構造にすることによってこの点を改善することが可能である。この実施例としては、仕切り板をゴムで構成したり蛇腹状にして、その移動方向の端部を、仕切り板2014の場合はX方向可動部2006に、仕切り板2012の場合はハウジング2008の内壁にそれぞれ固定する構成とすることが考えられる。
図21に本発明の実施例5の荷電ビーム装置2100が示される。実施例5では、鏡筒の先端部即ち荷電ビーム照射部2002の周囲に、試料Sの上面との間に絞り部ができるように円筒状の仕切り2016が構成されている。このような構成では、XYステージからガスが放出されてチャンバC内の圧力が上昇しても、仕切りの内部2024は仕切り2016で仕切られており真空配管2010で排気されているので、チャンバC内と仕切りの内部2024との間に圧力差が生じ、仕切り内部の空間2024の圧力上昇を低く抑えられる。仕切り2016と試料面との隙間は、チャンバC内と照射部2周辺の圧力をどの程度に維持するかによって変わるが、凡そ数十μm乃至数mm程度が適当である。なお、仕切り2016内と真空配管とは公知の方法により連通されている。
また、荷電ビーム照射装置では、試料Sに数kV程度の高電圧を印加することがあり、導電性の材料を試料の近傍に設置すると放電を起こす恐れがある。この場合には、仕切り2016の材質をセラミックス等の絶縁物で構成すれば、試料Sと仕切り2016との間で放電を起こすことがなくなる。
試料S(ウエハ)の周囲に配置したリング部材2004−1は試料台2004に固定された板状の調整部品であり、ウエハのような試料の端部に荷電ビームを照射する場合であっても、仕切り2016の先端部全周に亘って微小隙間2052が形成されるように、ウエハと同一の高さに設定されている。これによって、試料Sのどの位置に荷電ビームが照射しても、仕切り2016の先端部には常に一定の微小隙間2052が形成され、鏡筒先端部周囲の空間2024の圧力を安定に保つことができる。
図22に本発明の実施例6の荷電ビーム装置2200が示される。鏡筒2001の荷電ビーム照射部2002の周囲に差動排気構造を内蔵した仕切り2019が設けられている。仕切り2019は円筒状の形状をしており、その内部に円周溝2020が形成され、その円周溝からは上方に排気通路2021が延びている。その排気通路は内部空間2022を経由して真空配管2023に繋がれている。仕切り2019の下端は試料Sの上面との間に数十μm乃至数mm程度の微小隙間を形成している。
このような構成では、ステージの移動に伴ってステージからガスが放出されてチャンバC内の圧力が上昇し先端部即ち荷電ビーム照射部2002にガスが流入しようとしても、仕切り2019が試料Sとの隙間を絞ってコンダクタンスを非常に小さくしているためガスは流入を邪魔され流入量は減少する。更に、流入したガスは、円周溝2020から真空配管2023へ排気されるため、荷電ビーム照射部2002の周囲の空間2024へ流入するガスはほとんどなくなり、荷電ビーム照射部2002の圧力を所望の高真空のまま維持することができる。
図23に本発明の実施例7の荷電ビーム装置2300が示される。チャンバCと荷電ビーム照射部2002の周囲には仕切り2026が設けられ、荷電ビーム照射部2002をチャンバCから隔てている。この仕切り2026は、銅やアルミニュウム等の熱伝導性の良い材料からなる支持部材2029を介して冷凍機2030に連結されており、−100℃乃至200℃程度に冷却されている。部材2027は冷却されている仕切り2026と鏡筒の間の熱伝導を阻害するためのものであり、セラミックスや樹脂材等の熱伝導性の悪い材料から成っている。また、部材2028はセラミックス等の非絶縁体から成り、仕切り2026の下端に形成され試料Sと仕切り2026が放電することを防ぐ役割を持っている。
このような構成により、チャンバC内から荷電ビーム照射部に流入しようとするガス分子は、仕切り2026で流入を阻害される上、流入しても仕切り2026の表面に凍結捕集されてしまうため、荷電ビーム照射部2024の圧力を低く保つことができる。なお、冷凍機としては、液体窒素による冷却や、He冷凍機、パルスチューブ式冷凍機等の様様な冷凍機が使用できる。
図24に本発明の実施例8の荷電ビーム装置2400が示される。ステージ2003の両可動部には、図20に示したのと同様に仕切り板2012、2014が設けられており、試料台2004が任意の位置に移動しても、これらの仕切りによってステージ内の空間2013とチャンバC内とが絞り2050、2051を介して仕切られる。更に、荷電ビーム照射部2002の周りには図21に示したのと同様の仕切り2016が形成されており、チャンバC内と荷電ビーム照射部2002のある空間2024が絞り2052を介して仕切られている。このため、ステージ移動時、ステージに吸着しているガスが空間2013に放出されてこの部分の圧力を上昇させても、チャンバCの圧力上昇は低く抑えられ、空間2024の圧力上昇は更に低く抑えられる。これにより、荷電ビーム照射空間2024の圧力を低い状態に保つことができる。また、仕切り2016に示したように差動排気機構を内蔵した仕切り2019としたり、図22に示したように冷凍機で冷却された仕切り2026とすることによって、空間2024を更に低い圧力で安定に維持することができるようになる。
図25において、本実施の実施例9の荷電ビーム装置2500の光学系及び検出系が模式的に示されている。光学系は鏡筒内に設けられているがこの光学系及び検出器はあくまでも例示であり、必要に応じて任意の光学系、検出器を使用できる。荷電ビーム装置の光学系2060は、荷電ビームをステージ2003上に載置された試料Sに照射する一次光学系2061と、試料から放出された二次電子が投入される二次光学系2071と、を備えている。一次光学系2061は、荷電ビームを放出する電子銃2062と、電子銃2011から放出された荷電ビームを集束する2段の静電レンズからなるレンズ系2063、2064と、偏向器2065と、荷電ビームをその光軸が対象の面に垂直になるように偏向するウイーンフィルタ即ちE×B分離器2066と、2段の静電レンズからなるレンズ系2067、2068と、を備え、それらは、図18に示されるように電子銃2061を最上部にして順に、荷電ビームの光軸が試料Sの表面(試料面)に鉛直な線に対して傾斜して配置されている。E×B偏向器2066は電極2661及び磁石2662を備えている。
二次光学系2071は試料Sから放出された二次電子が投入される光学系で、一次光学系のE×B型偏向器2066の上側に配置された2段の静電レンズからなるレンズ系2072、2073を備えている。検出器2080は、二次光学系2071を介して送られた二次電子を検出する。上記光学系2060及び検出器2080の各構成要素の構造及び機能は従来のものと同じであるから、それらについての詳細な説明は省略する。
電子銃2011から放出された荷電ビームは、電子銃の正方形開口で整形され、2段のレンズ系2063及び2064によって縮小され、偏光器2065で光軸を調整されてE×B偏向器2066の偏向中心面に一辺が1.25mmの正方形に結像される。E×B偏向器2066は、試料の法線に垂直な平面内において、電界と磁界とを直交させた構造となっており、電界、磁界、電子のエネルギの関係が一定の条件を満たす時には電子を直進させ、それ以外の時にはこれら電界、磁界及び電界のエネルギの相互の関係により所定方向に偏向されるようになっている。図25においては、電子銃からの荷電ビームを試料Sに垂直に入射させ、また試料から放出された二次電子を検出器2080の方向に直進させるように設定されている。E×B偏光器で偏向された成形ビームはレンズ系2067、2068で1/5に縮小されて試料Sに投影される。試料Sから放出されたパターン画像の情報を持った二次電子はレンズ系2067、2068及び2072、2073で拡大され、検出器2080で二次電子画像を形成する。この4段の拡大レンズは、レンズ系2067及び2068が対称タブレットレンズを形成し、レンズ系2072及び2073もやはり対称タブレットレンズを形成しているので無歪みレンズとなっている。
図12及び図13の半導体デバイスの製造方法の一例を示すフローチャートの(G)の検査工程又は(c)の露光工程に、本発明の実施例3乃至8に係る欠陥検査装置及び欠陥検査方法、露光装置及び露光方法を用いると、微細なパターンを高精度で安定して検査又は露光ができるので、製品の歩留まりの向上、欠陥製品の出荷防止が可能と成る。
本発明による電子線装置の実施例3乃至8によれば、次のような効果を奏することが可能である。
(イ)実施例4及び5(図20、図21)によれば、ステージ装置が真空内で高精度な位置決め性能を発揮することができ、更に、荷電ビーム照射位置の圧力が上昇しにくい。即ち、試料に対する荷電ビームによる処理を高精度に行うことができる。
(ロ)実施例6(図22)によれば、静圧軸受け支持部から放出されたガスが仕切りを通過して荷電ビーム照射領域側に通過することがほとんどできない。これによって荷電ビーム照射位置の真空度を更に安定させることができる。
(ハ)実施例7(図23)によれば、荷電ビーム照射領域側に放出ガスが通過することが困難になり、荷電ビーム照射領域の真空度を安定に保ち易くなる。
(ニ)実施例8(図24)によれば、真空チャンバ内が、荷電ビーム照射室、静圧軸受け室及びその中間室の3室に小さいコンダクタンスを介して分割された形になる。そして、それぞれの室の圧力を、低い順に荷電ビーム照射室、中間室、静圧軸受け室となるように真空排気系を構成する。中間室への圧力変動は仕切りによって更に低く抑えられ、荷電ビーム照射室への圧力変動は、もう一段の仕切りによって更に低減され、圧力変動を実質的に問題ないレベルまで低減することが可能となる。
(ホ)本発明の実施例5−7によれば、ステージが移動した時の圧力上昇を低く抑えることが可能になる。
(ヘ)本発明の実施例8(図24)によれば、ステージが移動した時の圧力上昇を更に低く抑えることが可能である。
(ト)本発明の実施例5−8によれば、ステージの位置決め性能が高精度で、かつ荷電ビームの照射領域の真空度が安定した検査装置を実現することができるので、検査性能が高く、試料を汚染する恐れのない検査装置を提供することができる。
(チ)本発明の実施例5−8によれば、ステージの位置決め性能が高精度で、かつ荷電ビーム照射領域の真空度が安定した露光装置を実現することができるので、露光精度が高く、試料を汚染する恐れのない露光装置を提供することができる。
(リ)本発明の実施例5−8によれば、ステージの位置決め性能が高精度で、かつ荷電ビーム照射領域の真空度が安定した装置によって半導体を製造することにより、微細な半導体回路を形成できる。
次に図26−図33を参照して本発明の実施例9−10の欠陥検査装置を説明する。図26には、本発明の実施例10に係る欠陥検査装置3000の概略構成が示される。この欠陥検査装置3000は、いわゆる写像投影型の検査装置であり、一次電子線を放出する電子銃3001、放出された一次電子線を偏向、成形させる静電レンズ3002、成形された一次電子線を電場E及び磁場Bの直交する場で半導体ウェーハ3005に略垂直に当たるように偏向させるE×B偏向器3003、偏向された一次電子線をウェーハ3005上に結像させる対物レンズ3010、真空に排気可能な図示しない試料室内に設けられ、ウェーハ3005を載置した状態で水平面内を移動可能なステージ3004、一次電子線の照射によりウェーハ3005から放出された二次電子線及び/又は反射電子線を所定の倍率で写像投影して結像させる写像投影系の静電レンズ3006、結像された像をウェーハの二次電子画像として検出する検出器3007、及び装置全体を制御すると共に、検出器3007により検出された二次電子画像に基づいてウェーハ3005の欠陥を検出する処理を実行する制御部3016を含む。上記二次電子画像には、二次電子だけでなく散乱電子や反射電子による寄与も含まれているが、ここでは二次電子画像と称することにする。
対物レンズ3010とウェーハ3005との間には、一次電子線のウェーハ3005への入射角度を電場等によって偏向させる偏向電極3011が介在されている。この偏向電極3011には、該偏向電極の電場を制御する偏向制御器3012が接続されている。この偏向制御器3012は、制御部3016に接続され、制御部3016からの指令に応じた電場が偏向電極3011で生成されるように偏向電極を制御する。なお、偏向制御器3012は、偏向電極3011に与える電圧を制御する電圧制御装置として構成することができる。
検出器3007は、静電レンズ3006によって結像された二次電子画像を後処理可能な信号に変換することができる限り、任意の構成とすることができる。例えば、図31にその詳細を示すように、検出器3007は、マルチチャンネルプレート3050と、蛍光面3052と、リレー光学系3054と、多数のCCD素子からなる撮像センサ3056と、を含んで構成することができる。マルチチャンネルプレート3050は、プレート内に多数のチャンネルを備えており、静電レンズ3006によって結像された二次電子が該チャンネル内を通過する間に、更に多数の電子を生成させる。即ち、二次電子を増幅させる。蛍光面3052は、増幅された二次電子によって蛍光を発することにより二次電子を光に変換する。リレーレンズ3054がこの蛍光をCCD撮像センサ3056に導き、CCD撮像センサ3056は、ウェーハ3005表面上の二次電子の強度分布を素子毎の電気信号即ちデジタル画像データに変換して制御部3016に出力する。
制御部3016は、図26に例示されたように、汎用的なパーソナルコンピュータ等から構成することができる。このコンピュータは、所定のプログラムに従って各種制御、演算処理を実行する制御部本体3014と、本体3014の処理結果を表示するCRT3015と、オペレータが命令を入力するためのキーボードやマウス等の入力部3018と、を備える、勿論、欠陥検査装置専用のハードウェア、或いは、ワークステーションなどから制御部3016を構成してもよい。
制御部本体3014は、図示しないCPU、RAM、ROM、ハードディスク、ビデオ基板等の各種制御基板等から構成される。RAM若しくはハードディスクなどのメモリ上には、検出器7から受信した電気信号即ちウェーハ3005の二次電子画像のデジタル画像データを記憶するための二次電子画像記憶領域3008が割り当てられている。また、ハードディスク上には、予め欠陥の存在しないウェーハの基準画像データを記憶しておく基準画像記憶部3013が存在する。
ハードディスク上には、欠陥検査装置全体を制御する制御プログラムの他、記憶領域3008から二次電子画像データを読み出し、該画像データに基づき所定のアルゴリズムに従ってウェーハ3005の欠陥を自動的に検出する欠陥検出プログラム3009が格納されている。この欠陥検出プログラム3009は、詳細を更に後述するように、基準画像記憶部3013から読み出した基準画像と、実際に検出された二次電子線画像とをマッチングして、欠陥部分を自動的に検出し、欠陥有りと判定した場合、オペレータに警告表示する機能を有する。このとき、CRT3015の表示部に二次電子画像3017を表示するようにしてもよい。
次に、実施例10に係る欠陥検査装置3000の作用を図28乃至図30のフローチャートを例にして説明する。
先ず、図28のメインルーチンの流れに示すように、検査対象となるウェーハ3005をステージ3004の上にセットする(ステップ3300)。これは、図示しないローダーに多数格納されたウェーハを一枚毎に自動的にステージにセットする形態であってもよい。
次に、ウェーハ表面のXY平面上で部分的に重なり合いながら互いから変位された複数の被検査領域の画像を各々取得する(ステップ3304)。これら画像取得すべき複数の被検査領域とは、図32に示すように、例えばウェーハ検査表面3034上に、参照番号3032a、3032b、...3032k、...で示す矩形領域のことであり、これらは、ウェーハの検査パターン3030の回りで、部分的に重なり合いながら位置がずらされていることがわかる。例えば、図27に示されたように、16個の被検査領域の画像3032(被検査画像)が取得される。ここで、図27に示す画像は、矩形の桝目が1画素(或いは、画素より大きいブロック単位でもよい)に相当し、このうち黒塗りの桝目がウェーハ上のパターンの画像部分に相当する。このステップ3304の詳細は図29のフローチャートで後述する。
次に、ステップ3034で取得した複数の被検査領域の画像データを記憶部3013に記憶された基準画像データと、各々比較照合し(図28のステップ3308)、上記複数の被検査領域により網羅されるウェーハ検査面に欠陥が有るか否かが判定される。この工程では、いわゆる画像データ同士のマッチング処理を実行するが、その詳細については図30のフローチャートで後述する。
ステップ3308の比較結果より、上記複数の被検査領域により網羅されるウェーハ検査面に欠陥が有ると判定された場合(ステップ3312肯定判定)、オペレータに欠陥の存在を警告する(ステップ3318)。警告の方法として、例えば、CRT3015の表示部に欠陥の存在を知らせるメッセージを表示したり、これと同時に欠陥の存在するパターンの拡大画像3017を表示してもよい。このような欠陥ウェーハを直ちに試料室3から取り出し、欠陥の無いウェーハとは別の保管場所に格納してもよい(ステップ3319)。
ステップ3308の比較処理の結果、ウェーハ3005に欠陥が無いと判定された場合(ステップ3312否定判定)、現在検査対象となっているウェーハ3005について、検査すべき領域が未だ残っているか否かが判定される(ステップ3314)。検査すべき領域が残っている場合(ステップ3314肯定判定)、ステージ4を駆動し、これから検査すべき他の領域が一次電子線の照射領域内に入るようにウェーハ3005を移動させる(ステップ3316)。その後、ステップ3302に戻って当該他の検査領域に関して同様の処理を繰り返す。
検査すべき領域が残っていない場合(ステップ3314否定判定)、或いは、欠陥ウェーハの抜き取り工程(ステップ3319)の後、現在検査対象となっているウェーハ3005が、最終のウェーハであるか否か、即ち図示しないローダーに未検査のウェーハが残っていないか否かが判定される(ステップ3320)。最終のウェーハでない場合(ステップ3320否定判定)、検査済みウェーハを所定の格納箇所に保管し、その代わりに新しい未検査のウェーハをステージ3004にセットする(ステップ3322)。その後、ステップ3302に戻って当該ウェーハに関して同様の処理を繰り返す。最終のウェーハであった場合(ステップ3320肯定判定)、検査済みウェーハを所定の格納箇所に保管し、全工程を終了する。
次に、ステップ3304の処理の流れを図29のフローチャートに従って説明する。図29では、先ず、画像番号iを初期値1にセットする(ステップ3330)。この画像番号は、複数の被検査領域画像の各々に順次付与された識別番号である。次に、セットされた画像番号iの被検査領域について画像位置(Xi,Yi)を決定する(ステップ3332)。この画像位置は、被検査領域を画定させるための該領域内の特定位置、例えば該領域内の中心位置として定義される。現時点では、i=1であるから画像位置(X1,Y1)となり、これは例えば図32に示された被検査領域3332aの中心位置に該当する。全ての被検査画像領域の画像位置は予め定められており、例えば制御部3316のハードディスク上に記憶され、ステップ3332で読み出される。
次に、図26の偏向電極3011を通過する一次電子線がステップ3332で決定された画像位置(Xi,Yi)の被検査画像領域に照射されるように、偏向制御器3312が偏向電極3311に電位を加える(図29のステップ3334)。
次に、電子銃3001から一次電子線を放出し、静電レンズ3002、E×B偏向器3003、対物レンズ3010及び偏向電極3011を通して、セットされたウェーハ3005表面上に照射する(ステップ3336)。このとき、一次電子線は、偏向電極3011の作り出す電場によって偏向され、ウェーハ検査表面3034上の画像位置(Xi,Yi)の被検査画像領域全体に亘って照射される。画像番号i=1の場合、被検査領域は3032aとなる。
一次電子線が照射された被検査領域からは二次電子及び/又は反射電子(以下、「二次電子」のみ称する)が放出される。そこで、発生した二次電子線を拡大投影系の静電レンズ3006により所定の倍率で検出器3007に結像させる。検出器3007は、結像された二次電子線を検出し、検出素子毎の電気信号即ちデジタル画像データに変換出力する(ステップ3338)。そして、検出した画像番号iのデジタル画像データを二次電子画像記憶領域8に転送する(ステップ3340)。
次に、画像番号iを1だけインクリメントし(ステップ3342)、インクリメントした画像番号(i+1)が一定値iMAXを越えているか否かを判定する(ステップ3344)。このiMAXは、取得すべき被検査画像の数であり、図27の上述した例では、「16」である。
画像番号iが一定値iMAXを越えていない場合(ステップ3344否定判定)、再びステップ3332に戻り、インクリメントした画像番号(i+1)について画像位置(Xi+1,Yi+1)を再び決定する。この画像位置は、前のルーチンで決定した画像位置(Xi,Yi)からX方向及び/又はY方向に所定距離(ΔXi,ΔYi)だけ移動させた位置である。図32の例では、被検査領域は、(X1,Y1)からY方向にのみ移動した位置(X2,Y2)となり、破線で示した矩形領域3032bとなる。なお、(ΔXi,ΔYi)(i=1,2,...iMAX)の値は、ウェーハ検査面3034のパターン3030が検出器3007の視野から実際に経験的にどれだけずれるかというデータと、被検査領域の数及び面積から適宜定めておくことができる。
そして、ステップ3332乃至3342の処理をiMAX個の被検査領域について順次繰り返し実行する。これらの被検査領域は、図32に示すように、k回移動した画像位置(Xk,Yk)では被検査画像領域3032kとなるように、ウェーハの検査面3034上で、部分的に重なり合いながら位置がずらされていく。このようにして、図27に例示した16個の被検査画像データが画像記憶領域3008に取得される。取得した複数の被検査領域の画像3032(被検査画像)は、図27に例示されたように、ウェーハ検査面3034上のパターン3030の画像3030aを部分的若しくは完全に取り込んでいることがわかる。
インクリメントした画像番号iがiMAXを越えた場合(ステップ3344肯定判定)、このサブルーチンをリターンして図28のメインルーチンの比較工程(ステップ3308)に移行する。
なお、ステップ3340でメモリ転送された画像データは、検出器3007により検出された各画素毎の二次電子の強度値(いわゆるベタデータ)からなるが、後段の比較工程(図28のステップ3308)で基準画像とマッチング演算を行うため、様々な演算処理を施した状態で記憶領域3008に格納しておくことができる。このような演算処理には、例えば、画像データのサイズ及び/又は濃度を基準画像データのサイズ及び/又は濃度に一致させるための正規化処理や、所定画素数以下の孤立した画素群をノイズとして除去する処理などがある。更には、単純なベタデータではなく、高精細パターンの検出精度を低下させない範囲で検出パターンの特徴を抽出した特徴マトリクスにデータ圧縮変換しておいてもよい。
このような特徴マトリクスとして、例えば、M×N画素からなる2次元の被検査領域を、m×n(m<M,n<N)ブロックに分割し、各ブロックに含まれる画素の二次電子強度値の総和(若しくはこの総和値を被検査領域全体の総画素数で割った正規化値)を、各マトリックス成分としてなる、m×n特徴マトリックスなどがある。この場合、基準画像データもこれと同じ表現で記憶しておく。本発明の実施例10でいう画像データとは、単なるベタデータは勿論のこと、このように任意のアルゴリズムで特徴抽出された画像データを包含する。
次に、ステップ3308の処理の流れを図30のフローチャートに従って説明する。
先ず、制御部3016のCPUは、基準画像記憶部3013(図26)から基準画像データをRAM等のワーキングメモリ上に読み出す(ステップ3350)。この基準画像は、図27では参照番号3036で表される。そして、画像番号iを1にリセットし(ステップ3352)、記憶領域3008から画像番号iの被検査画像データをワーキングメモリ上に読み出す(ステップ3354)。
次に、読み出した基準画像データと、画像iのデータとをマッチングして、両者間の距離値Diを算出する(ステップ3356)。この距離値Diは、基準画像と、被検査画像iとの間の類似度を表し、距離値が大きいほど基準画像と被検査画像との差異が大きいことを表している。この距離値Diとして類似度を表す量であれば任意のものを採用することができる。例えば、画像データがM×N画素からなる場合、各画素の二次電子強度(又は特徴量)をM×N次元空間の各位置ベクトル成分とみなし、このM×N次元空間上における基準画像ベクトル及び画像iベクトル間のユークリッド距離又は相関係数を演算してもよい。勿論、ユークリッド距離以外の距離、例えばいわゆる市街地距離等を演算することもできる。更には、画素数が大きい場合、演算量が膨大になるので、上記したようにm×n特徴ベクトルで表した画像データ同士の距離値を演算してもよい。
次に、算出した距離値Diが所定の閾値Thより小さいか否かを判定する(ステップ3358)。この閾値Thは、基準画像と被検査画像との間の十分な一致を判定する際の基準として実験的に求められる。
距離値Diが所定の閾値Thより小さい場合(ステップ3358肯定判定)、当該ウェーハ3005の当該検査面3034には「欠陥無し」と判定し(ステップ3360)、本サブルーチンをリターンする。即ち、被検査画像のうち1つでも基準画像と略一致したものがあれば、「欠陥無し」と判定する。このように全ての被検査画像とのマッチングを行う必要が無いので、高速判定が可能となる。図27の例の場合、3行3列目の被検査画像が、基準画像に対して位置ずれが無く略一致していることがわかる。
距離値Diが所定の閾値Th以上の場合(ステップ3358否定判定)、画像番号iを1だけインクリメントし(ステップ3362)、インクリメントした画像番号(i+1)が一定値iMAXを越えているか否かを判定する(ステップ3364)。
画像番号iが一定値iMAXを越えていない場合(ステップ3364否定判定)、再びステップ3354に戻り、インクリメントした画像番号(i+1)について画像データを読み出し、同様の処理を繰り返す。
画像番号iが一定値iMAXを越えた場合(ステップ3364肯定判定)、当該ウェーハ3005の当該検査面3034には「欠陥有り」と判定し(ステップ3366)、本サブルーチンをリターンする。即ち、被検査画像の全てが基準画像と略一致していなければ、「欠陥有り」と判定する。
本発明の欠陥検査装置3000においては、上記した写像投影型の電子線装置のみならず、いわゆる走査型の電子線装置を利用することができる。これを実施例10として図33を用いて説明する。
図33は、本発明の実施例11の電子線装置3100を概略的に示す図で、同図において、電子銃3061から放出された電子線は、コンデンサレンズ3062によって集束されて点3064においてクロスオーバを形成する。
コンデンサレンズ3062の下方には、複数の開口を有する第1のマルチ開口板3063が配置され、これによって複数の一次電子線が形成される。第1のマルチ開口板3063によって形成された一次電子線の夫々は、縮小レンズ3065によって縮小されて点3075に投影される。点3075で合焦した後、対物レンズ3067によって試料3068に合焦される。第1のマルチ開口板3063から出た複数の一次電子線は、縮小レンズ3065と対物レンズ3067との間に配置された偏向器3080により、同時に試料3068の面上を走査するように偏向される。
縮小レンズ3065及び対物レンズ3067の像面湾曲収差が発生しないように、図33右上部分に示すように、マルチ開口板3063は、円周上に小開口が配置され、そのX方向へ投影したものは等間隔となる構造となっている。
合焦された複数の一次電子線によって、試料3068の複数の点が照射され、照射されたこれらの複数の点から放出された二次電子線は、対物レンズ3067の電界に引かれて細く集束され、E×B分離器3066で偏向され、二次光学系に投入される。二次電子像は、点3075より対物レンズ3067に近い点3076に焦点を結ぶ。これは、各一次電子線は試料面上で500eVのエネルギーを持っているのに対し、二次電子線は数eVのエネルギーしか持っていないためである。
二次光学系は、拡大レンズ3069、3070を有しており、これらの拡大レンズ3069、3070を通過した二次電子線は、第2マルチ開口板3071の複数の開口に結像する。そして、これらの開口を通って複数の検出器3072で検出される。図33右上部分に示すように、検出器3072の前に配置された第2のマルチ開口板3071に形成された複数の開口と、第1のマルチ開口板3063に形成された複数の開口とは一対一に対応している。
夫々の検出器3072は、検出した二次電子線を、その強度を表す電気信号へ変換する。こうした各検出器から出力された電気信号は増幅器3073によって夫々増幅された後、画像処理部3074によって受信され、画像データへ変換される。画像処理部3074には、一次電子線を偏向させるための走査信号が偏向器3080から更に供給されるので、画像処理部3074は試料3068の面を表す画像を表示する。この画像は、第1の実施形態で説明した位置の異なる複数の被検査画像(図27)のうち1つの画像に相当している。この画像を基準画像3036と比較することにより、試料3068の欠陥を検出することができる。また、レジストレーションにより試料3068上の被評価パターンを一次光学系の光軸の近くへ移動させ、ラインスキャンすることによって線幅評価信号を取り出し、これを適宜に校正することにより、試料3068上のパターンの線幅を測定することができる。
ここで、第1のマルチ開口板3063の開口を通過した一次電子線を試料3068の面上に合焦させ、試料3068から放出された二次電子線を検出器3072に結像させる際、一次光学系及び二次光学系で生じる歪み、像面湾曲及び視野非点という3つの収差による影響を最小にするよう配慮した方がよい。
次に、複数の一次電子線の間隔と、二次光学系との関係については、一次電子線の間隔を、二次光学系の収差よりも大きい距離だけ離せば複数のビーム間のクロストークを無くすことができる。
図33の走査型電子線装置3100においても、図28及び図29のフローチャートに従って、試料3068の検査を行う。この場合、図29のステップ3332の画像位置(Xi,Yi)は、マルチビームを走査して得られる複数のライン画像を合成した2次元画像の中心位置に対応する。この画像位置(Xi,Yi)は、後の工程で順次、変更されるが、これは、例えば偏向器3080のオフセット電圧を変更することによって行う。偏向器3080は、設定されたオフセット電圧の回りに電圧を変化させることによって、通常のライン走査を行う。勿論、偏向器3080とは別体の偏向手段を設け、これにより画像位置(Xi,Yi)の変更を行ってもよい。
上述の実施例10及び11の装置を図12及び図13の半導体デバイス製造工程におけるウェーハの評価に適用することができる。図12及び図13のフローチャートは、ウェーハを製造するウェーハ製造工程(又はウェハを準備する準備工程)、露光に使用するマスクを製作するマスク製造工程(又はマスクを準備するマスク準備工程)、ウェーハに必要な加工処理を行うウェーハプロセッシング工程、ウェーハ上に形成されたチップを1個ずつ切り出し、動作可能にならしめるチップ組立工程、組み立てられたチップを検査するチップ検査工程を含む。
これらの工程の中で、半導体デバイスの性能に決定的な影響を及ぼす工程がウェーハプロセッシング工程である。この工程では、設計された回路パターンをウェーハ上に順次積層し、メモリやMPUとして動作するチップを多数形成する。このウェーハプロセッシング工程は以下の各工程を含む。
▲1▼ 絶縁層となる誘電体薄膜や配線部、或いは電極部を形成する金属薄膜等を形成する薄膜形成工程(CVDやスパッタリング等を用いる)
▲2▼ 形成された薄膜層やウェーハ基板を酸化する酸化工程
▲3▼ 薄膜層やウェーハ基板等を選択的に加工するためにマスク(レチクル)を用いてレジストのパターンを形成するリソグラフィー工程
▲4▼ レジストパターンに従って薄膜層や基板を加工するエッチング工程(例えばドライエッチング技術を用いる)
▲5▼ イオン・不純物注入拡散工程
▲6▼ レジスト剥離工程
▲7▼ 加工されたウェーハを検査する検査工程
なお、ウェーハプロセッシング工程は必要な層数だけ繰り返し行い、設計通り動作する半導体デバイスを製造する。
上記ウェーハプロセッシング工程の中核をなすリソグラフィー工程を図13のフローチャートに示す。このリソグラフィー工程は以下の各工程を含む。
▲1▼ 前段の工程で回路パターンが形成されたウェーハ上にレジストをコートするレジスト塗布工程、
▲2▼ レジストを露光する露光工程、
▲3▼ 露光されたレジストを現像してレジストのパターンを得る現像工程、
▲4▼ 現像されたパターンを安定化させるためのアニール工程、
以上の半導体デバイス製造工程、ウェーハプロセッシング工程、リソグラフィー工程には周知の工程が適用される。
上記▲7▼のウェーハ検査工程において、本発明の上記各実施形態に係る欠陥検査装置3000を用いた場合、微細なパターンを有する半導体デバイスでも、二次電子画像の像障害が無い状態で高精度に欠陥を検査できるので、製品の歩留向上、欠陥製品の出荷防止が可能となる。
本発明は、上記例にのみ限定されるものではなく本発明の要旨の範囲内で任意好適に変更可能である。例えば、被検査試料として半導体ウェーハ3005を例に掲げたが、本発明の被検査試料はこれに限定されず、電子線によって欠陥を検出することができる任意のものが選択可能である。例えばウェーハへの露光用パターンが形成されたマスク等を検査対象とすることもできる。
また、本発明は、電子以外の荷電粒子線を用いて欠陥検出を行う装置にも適用できるばかりでなく、試料の欠陥を検査可能な画像を取得できる任意の装置にも適用可能である。
更に、偏向電極3011は、対物レンズ3010とウェーハ3005との間のみならず、一次電子線の照射領域を変更できる限り任意の位置に置くことができる。例えば、E×B偏向器3003と対物レンズ3010との間、電子銃3001とE×B偏向器3003との間などがある。更には、E×B偏向器3003が生成する場を制御することによって、その偏向方向を制御するようにしてもよい。即ち、E×B偏向器3003に偏向電極3011の機能を兼用させてもよい。
また、上記実施例10及び11では、画像データ同士のマッチングを行う際に、画素間のマッチング及び特徴ベクトル間のマッチングのいずれかとしたが、両者を組み合わせることもできる。例えば、最初、演算量の少ない特徴ベクトルで高速マッチングを行い、その結果、類似度の高い被検査画像については、より詳細な画素データでマッチングを行うという2段階の処理によって、高速化と精度とを両立させることができる。
また、本発明の実施例10及び11では、被検査画像の位置ずれを一次電子線の照射領域の位置ずらしのみで対応したが、マッチング処理の前若しくはその間で画像データ上で最適マッチング領域を検索する処理(例えば相関係数の高い領域同士を検出してマッチングさせる)と本発明とを組み合わせることもできる。これによれば、被検査画像の大きな位置ずれを本発明による一次電子線の照射領域の位置ずらしで対応すると共に、比較的小さな位置ずれを後段のデジタル画像処理で吸収することができるので、欠陥検出の精度を向上させることができる。
更に、欠陥検査用の電子線装置として、図26及び図33の構成を示したが、電子光学系等は任意好適に変更可能である。例えば、図26に示された欠陥検査装置の電子線照射手段(3001、3002、3003)は、ウェーハ3005の表面に対して垂直上方から一次電子線を入射させる形式であるが、E×B偏向器3003を省略し、一次電子線をウェーハ3005の表面に斜めに入射させるようにしてもよい。。
また、図28のフローチャートの流れも、これに限定されない。例えば、ステップ3312で欠陥有りと判定された試料について、他の領域の欠陥検査は行わないことにしたが、全領域を網羅して欠陥を検出するように処理の流れを変更してもよい。また、一次電子線の照射領域を拡大し1回の照射で試料のほぼ全検査領域をカバーできれば、ステップ3314及びステップ3316を省略することができる。
以上詳細に説明したように本発明の実施例10及び11の欠陥検査装置によれば、試料上で部分的に重なり合いながら互いから変位された複数の被検査領域の画像を各々取得し、これらの被検査領域の画像と基準画像とを比較することによって、試料の欠陥を検査するようにしたので、被検査画像と基準画像との位置ずれによる欠陥検査精度の低下を防止できる、という優れた効果が得られる。
更に本発明の実施例10及び11を用いるデバイス製造方法によれば、上記のような欠陥検査装置を用いて試料の欠陥検査を行うようにしたので、製品の歩留まりの向上及び欠陥製品の出荷防止が図れる、という優れた効果が得られる。
図34は本発明の実施例12の電子線装置4000を示す配置図である。この電子線装置4000は、試料Tに1次電子線を照射するための電子銃4001と、試料Tからの2次電子線を検出する2次電子検出器4011とを有する。図34において、4020は軸対称電極である。
電子銃4001から放出された電子線はコンデンサレンズ4002で集束されNA(ニューメリカルアパーチャー)を決める開口4004にクロスオーバを形成する。コンデンサレンズ4002の下には開口板4003が設けられ、該開口板には、図35Aに示すように、合計8個の開口4014が設けられる。この開口4014は縮小レンズ4005でE×B分離器4006の偏向主面に結像し、更に対物レンズ7で試料面Tに縮小投影され、1次電子線照射点E(図36)を形成する。試料面Tの各1次電子線照射点Eから放出された2次電子はE×B分離器4006で図34の右方へ偏向され、2次光学系4009で拡大され、検出器穴群4010に結像される。試料Tは、移動ステージ(図示せず)上に支持されており、図34の紙面に対して垂直な方向(y方向)で移動される。
図35Aに示すように、開口板4003の開口4014は、3行3列とされるが、電子銃から放出される電子の輝度(電子密度)がある程度以上大きい、所定直径内にのみ開口4014が設けられることが好ましく、従って、図示の例では、3行3列目は設けられていない。また、2行目及び3行目の開口は、それぞれ1行目及び2行目に対して、図35Aで見て右方へ、列間の間隔D1の1/3だけずれている。更に、これら開口4014間の間隔D1、D2は、試料上での1次電子線の照射点Eの間隔が十分離れるようなものとする。これは、2次光学系は検出効率を良くするため開口角を大きくしているので収差が大きく、検出器穴群4010上で2次電子像が各ビーム間でクロストークを起す可能性があり、これを防ぐためである。
図34B及びCは、それぞれ円周上に開口を配置される開口板4050、4060の平面図である。図34Bの開口板4050の開口4051、4052・・のx軸線上への投影点は、等間隔Lxとされ、同様に図34Cの開口板4060の開口4061、4062・・のx軸線上への投影点は、等間隔Lxとされる。本発明の実施例の電子線装置4000において、試料面上に2次元的に配置される隣接する1次電子線同士の距離の最大値が最小となるように1次電子線が配置される。
図34Bの開口板4050の隣接する2つの開口間の距離50a、50b、50e、50fは、それぞれ47mm、63mm、63mm、41mmであり、図34Cの開口板4060の隣接する2つの開口間の距離60a、60b、60fは、それぞれ56mm、57mm、41mmである。これら2つの開口板を比較すると開口板4060は、隣接する1次電子線同士の距離の最大値が57mmであり、開口板4050の50b(63mm)より小であるから、図34Cの開口板4060の開口の配置がより適切であるということができる。
このような要件を備える開口板を使用する利点は、実際の隣接する1次電子線の間隔がほぼ等しくなり、対称性が良くなること、非点収差が発生し難くなること、1次電子線が互いに離れるので空間電荷効果による1次電子線のボケが小さくなること、試料上で対称な位置の近くへ照射が行われるので、試料の帯電による影響が緩和されること等である。
1次電子線は、これら小開口4014によって複数に分離され、縮小レンズ4005によってE×B分離器4066の偏向主面に結像し、更に対物レンズ4007によって試料面Tに縮小投影され、図36に示すように、1次電子線の照射点Eを形成する。
試料面Tの各照射点Eから放出された2次電子は、対物レンズ4007と試料面との間に印加された電界で加速・集束し、対物レンズ4007と電子銃側レンズとの間に設けたE×B分離器4006によって、図34の右側に偏向され、2次光学系のレンズ4009によって拡大され、複数の開口を設けた検出用開口板4010に結像され、2次電子検出器4011において検出される。試料Tは、ステージ(図示せず)上に支持されており、該ステージの移動により、図34の紙面に対して垂直な方向(y方向)に移動する。
更に、これら小開口4014間の間隔D1、D2は、試料T上での1次電子線の照射点Eの間隔が十分離れるように設定されている。照射点Eの間隔が一定でない場合には、その間隔の最も小さい値が問題になるので、その間隔の最小値をできるだけ大きくする必要がある。これは、2次光学系は検出効率を高くするため開口角を大きくしているので収差が大きく、検出用開口板4010上で2次電子像が2次電子線間でクロストークを生じる可能性があり、これを防ぐためである。
電子線走査用の偏向器4012、4013は、試料T上の1次電子線の照射点Eを、図36で見て左から右の方向(x方向)に走査するように構成され、その走査距離Sは、照射点Eの列間の間隔Hの約1/3(S=H/3+α)に設定される。
試料Tをy方向での検出すべき領域の長さ分だけ移動させた後、ステージをx方向にステップ移動させて試料をx方向に400μmだけ移動し、その後、上記と同様に、ステージを−y方向に連続移動させつつラスタスキャン(x方向に400μm+α)を行う。これを繰り返すことにより、検出すべき領域すべての画像データを得ることができる。
この電子線装置において試料面Tの検査を行う場合には、移動ステージ4020が、試料をy方向に連続的に移動する。その間、走査用偏向器4012,4014は、上述の如く、各1次電子線照射点Eをx方向にH/3+αだけ走査させるので、例えば、1次電子線照射点Eの間隔Hが150μmとすると、各1次電子線照射点Eは、(150μm×1/3)+αの幅の走査を行い、全体として、(150μm×1/3)×8(個)(=400μ+α)の範囲で画像データが得られる。試料を、試料面のy方向での長さだけ移動すると、移動ステージは、試料をx方向で400μmだけ移動し、上記と同様のy方向での折返し移動による走査が行われる。
この画像データを、所定のパターンデータから得られる画像と比較することにより所要の検査が行える。処理速度は、図示の例では、信号取り込みが8チャンネルとなり、しかも折返し時間以外は、連続的な検査が行えるので、従来のものに比べて格段に早くなる。尚、折返し回数は、試料面の検査領域幅(x方向幅)を200mmとした場合、200mm/0.4mm=500回であり、各折返しに0.5秒の時間を要するとしても、1枚の試料全体を検査するときに折返し走査に要する時間は、約4分であり、極めて少ない。
線幅測定を行う場合には、走査用偏向器4012、4013をオクタポールとしてy方向にも走査可能にし、x方向に偏向することにより被測定パターン位置にビームを移動し、y方向に走査すればよい。x方向のパターン線幅を測定する場合にステージ位置とy方向偏向により被測定パターン位置にビームを移動し、x方向に走査し従来の方法と同様の信号処理を行えばよい。合せ精度測定の場合は、合せ精度が評価可能な様なパターンを作製しておき、線幅測定と同様の走査を行えばよい。
実施例12(図34)においては、1つの電子銃4001による1つの電子線照射系を有するものを示したが、複数の電子銃及びそれに対応する開口板、及び、2次電子検査器等からなる、複数の電子線照射系を、相互に、上記の例では、x方向で隣接して並べ、一度のy方向での試料の移動において、400μm×(電子線照射系の数)だけの幅を検査できるようにすることができる。
本発明の実施例12(図34)によれば、複数の1次電子線により広い走査幅(400μm幅)をカバーした状態で、その走査幅と直角方向に試料を連続的に移動させることにより試料面の検査を行うようにしたので、当該試料面全面の走査時間を大幅に短縮することができる。また、複数の1次電子線を用いたので、各電子線の走査幅を狭くすることができ、従って、色収差を抑えて試料面に対する照射点Eを小さいものとすることができ、しかも電子線間を十分離すことができる。従って、2次光学系におけるクロストークを抑えることができる。
試料は連続的に移動されるので、従来の試料を静止し、微小領域を走査した後に、試料を移動して他の微小領域を走査するような電子線装置に比べて、試料移動のために使う無駄な時間を大幅に減少することができる。更に、電子銃を複数とし、複数の電子線照射系を設定することにより、より効率の良い検査を行うことが可能となる。
本発明の実施例12(図34)によれば、複数の1次電子線の照射点を2次元的に配置するので、照射間の距離を大きくすることができる。しかも1軸(x軸)上への投影した照射点間の距離はすべて等しいので、すき間なく試料面を走査することができる。またE×Bを使うため1次電子線を垂直入射できるので、電子線を細く絞ることができる。
図37は本発明の実施例13の電子線装置4100の概略構成図である。図37において、4101は検査用の電子線を放出する一体のカソードを有する単一の電子銃、4103はコンデンサレンズ、4105はコンデンサレンズからの電子ビームより複数の電子ビームを形成するマルチ開口板、4107はコンデンサレンズが作る電子線源の拡大像位置に設けられたNA用開口板、4109、4111はマルチ開口板で形成された複数の電子ビームを一定の縮小率で縮小した後検査対象である試料4113面に結像させるレンズ、4115はレンズを通過した二次電子を一次電子より分離させるE×B分離器である。ここで一体のカソードとは、単結晶LaBb等のカソード材料の先端を種々の形状に加工したものをいう。
E×B分離器4115は、試料面の法線(紙面の上方向)に垂直な平面内で電界と磁界とを直交させた構造となっており、電界、磁界、一次電子エネルギーの関係が一次電子を直進させるように設定されている。4117はマルチ開口板4105で形成された複数の電子ビームを同時に偏向させ試料4113上の検査領域を走査する偏向器、4119は二次光学系の拡大レンズ、4121は一次光学系の偏向器4117と同期作動され試料の走査に拘わらずマルチ開口板4105の開口4105a,4105b,4105c,4105dからの各ビームの入射点からの二次電子を対応する検出器に入射させるための偏向器、4123は一次光学系のマルチ開口板に対応した開口4123a,4123b,4123c,4123dを有する二次光学系のマルチ開口板、4125はマルチ開口板の背後に配置された検出器群である。検出器群4125は入射した電子量に応じた検出信号を発生する電子増倍管などで構成される。
図37の電子線装置4100において、電子銃4101から放出された電子線はコンデンサレンズ4103で収束され、マルチビームを形成する開口板4105のマルチ開口4105a−4105dを照射する。各開口4105a,4105b,4105c,4105dを通過した電子線は一次光学系の開口数を決めるNA開口板4107の開口位置にクロスオーバーを結ぶ。クロスオーバーを通過した電子線はコンデンサレンズ4109で対物レンズ4111の主面にクロスオーバー像を形成する。ここでNAとは、開口数(Numerical Aperture)の略である。
マルチ開口板4105の各開口の開口像はコンデンサレンズ4109でE×B分離器4115の主面に結像してから対物レンズ4111により試料4113表面に結像される。
一方、試料から放出された二次電子は、E×B分離器4115で一次電子から分離されて二次光学系の方向に偏向され、二次光学系の拡大レンズ4119で拡大されマルチ開口板4123の開口を経て該マルチ開口板の裏面側に配置された検出器群4125により検出される。
ここで、電子銃4101から放出される電子線の電流密度は、マルチ開口板4105の中心開口4105dへの値が最大で、4105c,4105b,4105aと光軸から離れるに従って減少し、このため試料4113面上でのビーム電流が異なる。
これを解決するため、一つの実施例では、マルチ開口板4105の開口4105a−4105dの大きさを、光軸付近で小さく、光軸より離れるに従って漸次大きくなるように微調整し、試料4113面上で各開口を通ったビーム電流が全てのビームについてほぼ等しくなるようにする。このため、試料4113面上に各ビーム電流を検出する検出器群を置き各ビームの電流を検出する。
また、上記問題を解決する他の方法としては、上記一次光学系の開口度を決めるNA開口板4107の光軸方向位置を、一次光学系のレンズが作る電子線源の拡大像のガウス像面(近軸光線の焦点位置)から電子銃1側にずらした位置に設ける。即ち、コンデンサレンズ4103が作るクロスオーバー位置は、レンズの球面収差によってマルチ開口板4105の各開口を通ったビームのクロスオーバー位置(光軸方向位置)がビームごとに異なる。例えば、開口4105aからのビームが作るクロスオーバー位置は4108aの位置であり、開口4105cからのビームが作るクロスオーバー位置は4108cである。即ち、一次光学系のレンズが作る電子線源のガウス像面は最もNA開口板4107より遠方にある。
従って、NA開口板4107をガウス像面位置より電子銃1側にずらし、マルチ開口板4105の最外側開口4105aが作るクロスオーバー位置に置くことにより、該開口位置では、開口4107を通るビームの電流密度が大きく、かつビームの通過が制限されず、一方光軸付近の開口4105cを通ったビームの電流密度は低く、かつビームの通過量が制限されることにより、試料4113面における輝度即ちビーム電流のばらつきを縮小することがができる。なお、この場合も、先の実施例と同様に、各ビーム電流を検出する検出器群を試料面位置に配置することより各開口を通ったビームの電流を検出する。
更に、上記問題は、マルチ開口板4105の開口寸法の上記調整と、NA開口板4107の光軸方向位置の上記調整とを組み合わせることによっても解決することができる。
上記事例は、いれも試料4113面に入射するビーム電流を均一にすることを目的としたが、実際には二次光学系の二次電子の検出率が光軸付近と光軸から離れた位置とで異なる問題がある。そこで、本発明の更に他の事例では、パターンの無い試料を試料位置に置き、該パターンの無い試料面からの二次電子を検出器群4125にて検出し、各検出器の出力の差が最小となるようにNA用開口板4107の光軸方向位置を決定することにより、二次光学系の二次電子の検出率のばらつきを修正することができる。この開口板をずらす量は、開口板から試料への縮小率をM、光学形の像面湾曲のz方向距離をδmmとすると、ずらす量=δ/(2M)となり、通常1〜10mmである。
また、二次光学系の二次電子の検出率のばらつきは、上記と同様にパターンの無い試料を試料位置に置き、該パターンの無い試料面からの二次電子を検出器群4125にて検出し、各検出器の出力の差が最小となるように、一次光学系のマルチ開口板4105の開口寸法を光軸付近で小さく、光軸より離れるにつれて漸次大きくなるように微調整することによっても修正することができる。
更に、二次光学系の二次電子の検出率のばらつきは、上記と同様にパターンの無い試料を試料位置に置き、該パターンの無い試料面からの二次電子を検出器群4125にて検出し、各検出器の出力の差が最小となるように、二次光学系のマルチ開口板4123の開口寸法を光軸付近で小さく、かつ光軸より離れるにつれて漸次大きくなるように微調整することによっても修正することができる。
更に、この問題は、マルチ開口板4105の開口寸法の上記調整、NA開口板4107の光軸方向調整、二次光学系のマルチ開口板4123の開口寸法の上記調整を組み合わせることによっても解決することができる。ここで図示しない制御、計算方法によって各検出器4125の出力差を最小とする調整方法を用いるものである。
なお、図37の実施例13において、各ビーム間の評価は偏向器4117によって全ビームを同時に偏向させ、試料4113上で全ビームを走査させ、その時の信号を検出器で検出するようにした。また、ビームを走査したときも各ビームの入射点からの二次電子が対応するマルチ開口板4123の穴に確実に入射するよう偏向器4111の走査に同期して偏向器4121により二次電子を走査した。
図12のフローチャートのウェーハを検査する検査工程に、本発明の実施例13の電子線装置4100を用いることによって、より高精度、高スループットの検査、測定を行うことができる。
本発明の実施例13の電子線装置4100は、フォトマスクやレクチル、ウェーハ等(試料)の欠陥検査や、線幅測定、合わせ精度測定、電位コントラスト測定等の各種検査や測定に適用できる。
本発明の実施例13の電子線装置4100によれば、一体のカソードあるいは単一の電子銃から複数のビームを作るので、複数のエミッタを用いる場合に比べて電子銃が故障する確率が著しく改善され、装置の信頼性が向上する。またマルチビームの各ビームの電流を均一化できるのでより精度の高い高スループットの検査、測定が可能となる。
実施例13の電子線装置4100は熱電界放出電子銃のような狭い方向へのみ電子放出する電子銃をも使用することができる。
実施例13の電子線装置4100は、各ビームの電流を均一化できるので、マルチビームのビーム数を増大することができ、マルチビームをより広い範囲に照射することができる。従って、高スループットの検査、測定ができる。またビーム間の信号強度をほぼ等しくすることができる。
図38〜図41を参照し、本発明の実施例14の電子線装置4200を詳述する。図38の電子線装置4200において、電子銃4201から放出された電子線は、コンデンサ・レンズ4202によって集束されて点COにおいてクロスオーバを形成する。このクロスオーバ点COに、NAを決める開口4204を有する絞り4204が配置される。
コンデンサ・レンズ4202の下方には、複数の開口を有する第1のマルチ開口板4203が配置され、これによって複数の一次電子線が形成される。第1のマルチ開口板4203によって形成された一次電子線のそれぞれは、縮小レンズ4205によって縮小されてE×B分離器4206の偏向主面4215に投影され、点4215で一度結像した後、対物レンズ4207によって試料4208に合焦される。
縮小レンズ4205及び対物レンズ4207の像面湾曲収差を補正するため、図38に示すように、マルチ開口板4203は、中央から周囲へ向かうにつれてコンデンサレンズ4202からの距離が大きくなるように段が付けられた構造となっている。
合焦された複数の一次電子線によって照射された試料4208の複数の点から放出された二次電子線は、対物レンズ4207の電界に引かれて細く集束され、E×B分離器4206の手前の点4216、即ち、E×B分離器4206の偏向主面に関して試料側の点4216に焦点を結ぶ。これは、各一次電子線は試料面上で500eVにエネルギを持っているのに対して、二次電子線は数evのエネルギしか持っていないためである。試料4208から放出された複数の二次電子線はE×B分離器4206により、電子銃4201と試料4208とを結ぶ軸の外方へ偏向されて一次電子線から分離され、二次光学系へ入射する。
二次光学系は、拡大レンズ4209、4210を有しており、これらの拡大レンズ4209、4210を通過した二次電子線は第2のマルチ開口板4211の複数の開口を通って複数の検出器4212に結像する。なお、検出器4212の前に配置された第2のマルチ開口板4211に形成された複数の開口と、第1のマルチ開口板4203に形成された複数の開口とは一対一に対応している。
各検出器4212は、検出した二次電子線を、その強度を表す電気信号へ変換する。こうして各検出器から出力された電気信号は増幅器4213によってそれぞれ増幅された後、画像処理部4214によって受信され、画像データへ変換される。この画像データが試料の欠陥や線幅の測定に供される。即ち、画像処理部4214には、一次電子線を偏向させるための走査信号が更に供給されるので、画像処理部4214は試料4208の面を表す画像を表示する。
この画像を標準パターンと比較することにより、試料4208の欠陥を検出することができ、また、レジストレーションにより試料4208を一次光学系の光軸の近くへ移動させ、ラインスキャンすることによって線幅評価信号を取り出し、これを適宜に校正することにより、試料4208上のパターンの線幅を測定することができる。
ここで、第1のマルチ開口板4203の開口を通過した一次電子線を試料4208の面上に合焦させ、試料4208から放出された二次電子線を検出器4212に結像させる際、一次光学系及び二次光学系で生じる歪み、像面湾曲及び視野非点という3つの収差による影響を最小にするよう特に配慮する必要がある。以下、このために本発明の実施例14において採用した手段について、図39〜図41を用いて説明する。
図39〜図41においては、第1のマルチ開口板4203及び第2のマルチ開口板4211に形成された開口の大きさ、形状、ずれの量などは理解を容易にするため強調されており、実際のものとは相違する。
図39は、本発明における電子線装置に使用する第1のマルチ開口板4203の第1の例を示しており、この例のマルチ開口板4203は、試料面上に糸巻き型(ピンクッション型)の歪み収差が生じたときに使用され、糸巻き型の歪み収差を補正するため、第1のマルチ開口板4203にタル型に位置ずれした複数の開口が形成される。即ち、第1のマルチ開口板4203の中心X、即ち電子銃4201と試料4208とを結ぶ線と第1のマルチ開口板4203との交点を中心とする正方形4220の4隅のそれぞれ1個の開口4221〜4224が形成される。
図39における縦、横の実線は該正方形の相対向する2辺に平行に仮想的に引かれた線であって、複数の開口が第1のマルチ開口板4203に均一に分布する場合には、開口はこれらの実線の交点に配置されることになる。実際には、一次光学系における歪み収差を最小にするため、各開口は、第1のマルチ開口板4203の中心からの距離に依存して、実線の交点から第1のマルチ開口板4203の中心の方へずれた位置に配置されるよう設計される。
図40は、本発明における電子線装置に使用する第2のマルチ開口板4211の一例を示しており、二次光学系に存在する歪みに起因して生じ得る糸巻き型(ピンクッション型)の歪みによる影響を最小にするために使用される。図40においても、第2のマルチ開口板4211のそれぞれの開口は、中心Yからの距離に応じて、開口を均一に分布させた理想的な位置から外方へずらされている。このずれの量は、対物レンズ4207及び拡大レンズ4209、4210とE×B分離器4206を含む系においてシミュレーションを行って算出された。最も外側の開口は大きすぎてもクロストークを生じないので、充分大きい開口としてもよい。また、図39、図40のマルチ開口板4203、4211は、1枚の板に複数の開口を設けた実施の形態を記載しているが、装置設計上、マルチ開口板は2枚以上の複数枚で構成してもよい。
像面湾曲については、前記のとおり、第1のマルチ開口板4203の断面形状を段付きとすることにより、一次光学系により発生する像面湾曲を補正することができる。二次光学系によっても像面湾曲が生じるが、検出器4212の前面に配置される第2のマルチ開口板4211の開口が大きいので、実際は、二次光学系による像面湾曲は無視し得る。
視野非点収差は、レンズの屈折率がレンズの放射方向と周方向とで異なるために発生する。図41A及び図41Bは、この視野非点収差を緩和するため、この発明に係る電子線装置に使用する第1のマルチ開口板4203の第2の例を示しており、図41Aに示す第1のマルチ開口板4203においては、それぞれの開口は第1のマルチ開口板4203の中心からの距離に依存して、該中心に関して放射方向に細長い形状とされている。また、図41Bにおいては、第1のマルチ開口板4203の中心に中心を置く仮想円の半径方向と周方向とで大きさが異なるよう、それぞれの開口の形状が設定されている。
図38における参照数字4217はブランキング偏向器を示しており、このブランキング偏向器4217に幅の狭いパルスを印加することにより、パルス幅の狭い電子線を形成することができる。これによって形成された幅の狭いパルスを用いると、試料4208に形成されたパターンの電位を高時間分解能で測定することが可能となるので、電子線装置に対していわゆるストロボSEM(走査型電子顕微鏡)の機能を付加することができる。
図38における参照数字4218は軸対称電極を示しており、この軸対称電極4218に試料4208より数10ボルト低い電位を与えると、試料4208から放出される二次電子を、試料4208のパターンの有する電位に依存して、対物レンズ4207の方へ流し又は試料側へ追い戻すことができる。これによって試料4208上の電位コントラストを測定することができる。
図38〜図40に示す本発明の実施例14に係る電子線装置4200は、欠陥検査装置、線幅測定装置、合わせ精度測定装置、電位コントラスト測定装置、欠陥レビュー装置又はストロボSEM装置に適用することが可能である。また、本発明の実施例14の電子線装置4200は、図12、図13に示す半導体デバイスの製造工程のウエハの評価を行うために使用することができる。
図12のウエハプロセッシング工程の中核をなすリソグラフィー工程は、前段の工程で回路パターンが形成されたウエハ上にレジストをコーティングするレジスト工程、レジストを露光する露光工程、露光されたレジストを現像してレジストのパターンを得る現像工程、及び、現像されたレジストのパターンを安定化するためのアニール工程(図13)を含む。本発明の実施例14の電子線装置4200は、更に加工されたウエハを検査する図12のウエハ検査工程において使用することができる。
本発明は上述の実施例に限定されない。例えば、試料4201の異なる位置を同時に照射できるよう、電子銃4201、第1のマルチ開口板4203、一次光学系、二次光学系、第2のマルチ開口板4211及び検出器4212からなる電子線照射・検出系を複数系統設け、複数の電子銃から出た複数の一次電子線で試料を照射し、試料から放出された複数の二次電子線を複数の検出器で受け取るようにしてもよい。これにより、検査や測定に要する時間を大幅に短縮することができる。
以上の説明から理解されるであろうように、この発明の実施例14の電子線装置4200は、次の効果を奏する。
1.一次光学系による歪み収差を補正し、合せて、視野非点収差も緩和することができるので、広い領域を複数のビームで照射して走査することができ、試料の欠陥検査等を高いスループットで行うことが可能になる。
2.二次光学系による歪みを補正することができるので、複数の一次電子線を狭い間隔で試料に投影、走査してもクロストークが無く、しかも、二次電子の透過率を大きくすることができ、結果的にS/N比の大きい信号を得ることができるので、信頼性の高い線幅測定等を行うことができる。
3.一次光学系をE×B分離器6の偏向主面に結像させることができるので、一次電子線の色収差を小さくすることができ、一次電子線をマルチビームとするときにもマルチビームを細く絞ることが可能になる。
図42を参照して本発明の実施例15の電子線装置4300を説明する。図42の電子線装置4301は、一次光学系4310と、二次光学系4330と、検出装置4340とを備える。一次光学系4310は、電子線を試料Sの表面(試料面)に照射する光学系で、電子線を放出する電子銃4311と、電子銃から放出された電子線を偏向する静電レンズ4312と、二次元的に配列された複数の小孔(ただし、図42では4313a乃至4313eのみ図示する)が形成された開口板4313と、静電偏向器4314と、開口アパーチャ4315と、開口板を通過した電子ビームを偏向する静電中間レンズ4316と、第一のE×B分離器4317と、電子ビームを偏向する静電中間レンズ4318と、静電偏向器4319と、第二のE×B分離器4320と、静電対物レンズ4321と静電偏向器4322とを備える。それらは、図42に示すように電子銃4311を最上部にして順に、かつ電子銃から放出される電子線の光軸Aが試料の表面SFに垂直になるように配置されている。従って、静電対物レンズ4321と試料S間を軸対象の構造とすることができ、電子ビームを細く絞ることができる。
二次光学系4330は、一次光学系4310の第二のE×B分離器4320の近くで光軸Aに対して傾斜している光軸Bに沿って配置された静電拡大レンズ4331と、二次元的に配列された複数の小孔(図では4332a乃至4332eのみ図示する)が形成された開口板4332とを備えている。検出装置4340は開口板4332の各開口毎に検出器4341を備えている。なお、開口板4332の開口(4332a乃至4332e)の数は一次光学系の開口板4313に形成される小孔(4313a乃至4313e)の数及び配列に合わせた数及び配列となっている。上記各構成要素は公知のものであってもよく、それらの構造の詳細説明は省略する。
次に上記構成の電子線装置4300の動作について説明する。単一の電子銃4311から放出された電子線Cは静電レンズ4312で収束され、開口板4313を照射する。電子線Cは開口板4313に形成された複数の小孔(4313a乃至4313e)を通過して複数の電子ビームにされる。これら複数の電子ビームは開口部を有する開口アパーチャ4315でクロスオーバーC1を形成する。クロスオーバーした電子ビームは試料Sに向かって進み、途中に設けられた静電中間レンズ4316及び静電中間レンズ4318により収束され、静電対物レンズ4321の主面に結像されて、ケラー照明条件を満足する。
一方、開口板4313の各小孔の像を形成する電子ビームDは静電中間レンズ4316により収束されて第一のE×B分離器4317の偏向主面FP1に結像し、更に静電中間レンズ4318により収束されて第二のE×B分離器4320の偏向主面FP2に結像し、最終的に試料面SFに結像する。
試料面SFから放出された二次電子は、静電対物レンズ4321と試料面SFとの間に印加された、二次電子に対する加速電界で加速、収束され、静電対物レンズ4321を通過し、第二のE×B分離器4320の偏向主面FP2の少し手前でクロスオーバーを結像する。この結像した二次電子は第二のE×B分離器4320により光軸Bに沿って移動するように偏向されて静電拡大レンズ4331に入射する。二次電子は次に静電拡大レンズ4331により拡大され、開口板4332の小孔(4332a乃至4332e)において拡大結像される。
試料面SFと開口板4332は二次電子強度の2eVの値に対して光学的共役関係にあり、開口板4313の小孔4313aを通った電子ビームにより試料面SFで放出された二次電子は開口板4332の小孔4332aを通して、小孔4313bを通った電子ビームにより試料面SFで放出された二次電子は開口板4332の小孔4332bを通して、小孔4313cを通った電子ビームにより試料面SFで放出された二次電子は開口板4332の小孔4332cを通して、と言ったように、電子ビームにより試料面で放出された二次電子は開口板4313の各小孔に対応する開口板4332の各小孔を通って検出器4341に入射する。
上記複数の電子ビームとそれに隣接する電子ビームの間は、静電偏向器4319と第二のE×B分離器4320とを用いて符号Eで示されている主光線軌道になるように電子ビームを偏向走査して、各電子ビームの間の走査を行うことができる。第二のE×B分離器で偏向走査を行うには、第二のE×B分離器4320のウィーンフィルター条件を満足し、電子ビームを直進させる電圧をVw、磁場をBwとすると、Vwの直流電圧を中心にしてその電圧に走査電圧が重畳するような電圧波形を与えればよく、第二のE×B分離器4320の電界を与える電極を8極の静電偏向器とすると二次元の走査が可能となる。従って、静電対物レンズ4321の上部に偏向器を新たに設ける必要がなく、しかもE×B分離器も静電偏向器も最適の位置に配置することができる。
次に、従来技術において単一のE×B分離器を使用することにより色収差が生じていわゆるビームボケが生じる問題点と、その解決策について説明する。一般的に、E×B分離器を使用する電子線装置においては、電子ビームに対して開口の像の位置とE×B分離器の偏向主面とが一致した時に収差が最も小さい。しかもE×B分離器の偏向主面と試料面は共役になっている。そのため、エネルギー幅のある電子ビームがE×B分離器に入射したとき、低いエネルギーの電子ビームが電界により偏向される量はエネルギーに逆比例して大きくなるが、磁場により偏向される量はエネルギーの1/2乗に逆比例してしか大きくならない。
一方、高いエネルギーの電子ビームの場合は、電界により偏向される方向に電子ビームが偏向される量よりも磁場により偏向される方向に偏向される量の方が大きくなる。この場合、E×B分離器の下側に静電レンズが設けられかつそのレンズが無収差であればビームボケは生じないが、現実的にはレンズに収差があるためビームボケが生じる。従って、単一のE×B分離器を使用するのみでは電子ビームのエネルギーに幅がある場合には色収差によりビームボケが生じることは回避できない。
本発明では、第一と第二の二つのE×B分離器4317及び4320を備え、第一のE×B分離器4317と第二のE×B分離器4320との電界による偏向方向が試料面上で見て相互に逆方向になり、かつ偏向の大きさの絶対値が等しくなるように、各E×B分離器の電界を調整している。従って、電子ビームのエネルギーに幅がある場合でも、E×B分離器による色収差は、第一及び第二のE×B分離器4317及び4320により相互に相殺される。
上記構成の電子線装置4300を使用して試料面の欠陥検査、試料面に形成されたパターンの線幅の測定等を行うには、検査すべき試料をセットし、電子線装置1を上述のように動作させる。この場合、静電偏向器4319及び第二のE×B分離器4320に与える走査信号波形と、二次電子の検出器4341の出力信号とで画像データを作成し、その画像データと、別途得られたパターンデータから作成した画像データとを比較することにより欠陥検査を行うことができる。
また、静電偏向器4319及び第二のE×B分離器4320により、測定したパターンをその直角方向に走査し、そのとき得られた二次電子の信号波形からパターンの線幅の測定が行える。更に、一層目のリソグラフィで形成されるパターンの近傍に二層目のリソグラフィで形成されるパターンを形成し、これら二本のパターンを電子線装置4300の複数の電子ビームのビーム間隔と略等しい間隔で形成しておき、これら二本のパターン間隔を測定し、その測定値を設計値と比較することにより、合わせ精度を評価することができる。
また、二次電子の検出器4341の一部又は全部にCRTモニターを接続し、走査信号波形と共に入力することにより走査型電子顕微鏡(SEM)像をCRTモニター上に形成することができる。検査人はこのSEM像を見ながら欠陥の種類等を観察できる。
図42において、静電対物レンズ4321と試料面SFとの間に同軸状の電極4322を設け、この電極4322に負の電圧を与えることにより電位コントラストを測定することができる。また、図42において、電子ビームをブランキングするため、短時間だけ電子ビームを偏向させず残りは電子ビームを偏向させるような電圧を静電偏向器4314に与え、偏向された電子ビームは開口アパーチャ4315で除去するようにすることにより、短パルスの電子ビームが得られる。この短パルスの電子ビームを試料面SFに入射させ、試料面上のデバイスを作動状態にして、パターンの電位測定を良好な時間分解能で測定すれば、デバイスの動作解析が行える。
図43は、上述の構成を有する電子線装置の一次光学系と二次光学系の組を試料S上で複数組配置した状態の平面図を示しており、この実施形態では、6組の一次光学系4310と二次光学系4330とが2行3列に配列されている。実線で描かれた円4310a乃至4310fは一次光学系の最大外径を示し、一点鎖線で描かれた円4330a乃至4330fは二次光学系の最大外径を示す。また、本実施例では、一次光学系4310の開口板4313の小孔は3行3列に配列されており、二次光学系4330の開口板4332の小孔も同様に3行3列に配列されている。複数の各光学系の組は、各々が互いに干渉しないように、各二次光学系4330の光軸Bが行の並び方向に沿って試料の外側に向かうように配置されている。列の数は、好ましくは3,4列程度であるが、これ以下の2列、或いは4列以上であってもよい。
本発明の実施例15の電子線装置4300は、更に加工されたウエハを検査する図12のウエハ検査工程において使用することができる。即ち検査工程に本発明の実施例15の欠陥検査方法、欠陥検査装置を用いると、微細なパターンを有する半導体デバイスでも、スループット良く検査できるので、全数検査が可能となり、製品の歩留まりの向上、欠陥製品の出荷防止が可能となる。
本発明の実施例15の電子線装置4300(図42)は、次の効果を奏する。
(1)複数の電子ビームを使うのでスループットが向上する。
(2)複数のE×B分離器を備え、開口板の小孔の像の位置とE×B分離器のそれぞれの位置とを一致させ、かつそれぞれのE×B分離器の電界で偏向される電子ビームの方向が試料面上で見て相互に逆方向となるようにしたことにより、E×B分離器により生じる色収差を補正することが可能となり、電子ビームを細く絞ることが可能となったため、高い検査精度を確保することができる。
(3)第二のE×B分離器の電界に走査電圧を重畳させて電子ビームの偏向動作をさせるようにしたことにより、第二のE×B分離器と静電偏向器とを兼用させることができ、静電対物レンズ21の上部に新たに静電偏向器を設ける必要性がなく、しかもE×B分離器と静電偏向器の両者を最適の位置に配置することができる。それにより、二次電子の検出効率を向上させることと偏向収差を低減することが同時に可能となり、更に、二次光学系の光路を大幅に短くすることも可能となる。
(4)電子線装置の一次光学系と二次光学系の組を試料上で複数組配置したので、一時に複数の試料を検査することが可能となり、スループットが更に向上する。
(5)静電対物レンズ4321と試料面SFとの間に同軸状に静電偏向器4322を設け、この静電偏向器4322に負の電圧を与えることにより、電位コントラストを評価することが可能になる。
(6)電子ビームをブランキングする機能を設けて静電偏向器4314の電圧を制御して短パルスの電子ビームを形成し、試料面上のデバイスを作動状態にして、パターンの電位測定を良好な時間分解能で測定すれば、デバイスの動作解析が可能となる。
図44Aは、本発明の実施例16の電子線装置4400の概略配置図であり、図44Aにおいて、電子銃4401から放出された電子線は、コンデンサ・レンズ4402によって集束されて、点4404においてクロスオーバを形成する。コンデンサ・レンズ4402の下方には、複数の小開口を有する第1のマルチ開口板4403が配置され、これによって複数の一次電子線が形成される。第1のマルチ開口板4403によって形成された一次電子線のそれぞれは、縮小レンズ4405によって縮小されて、点4415に投影される。一次電子線は、点4415で合焦した後、対物レンズ4407によって試料4408に合焦される。第1のマルチ開口板4403から出た複数の一次電子線は、縮小レンズ4405と対物レンズ4407との間に配置された偏向器4419により偏向されて、x−yステージ4420上に載置された試料4408の面上を同時に走査する。
縮小レンズ4405及び対物レンズ4407の像面湾曲収差の影響を無くすため、図44Bに示すように、第1のマルチ開口板4403は、円周上に小開口4433が配置され、これらのx軸上に投影した点は、等間隔Lxとなるように設定される。
合焦された複数の一次電子線によって、試料4408の複数の点が照射され、照射されたこれらの複数の点から放出される二次電子線は、対物レンズ4407の電界に引かれて細く集束され、EXB分離器4406で偏向され、2次光学系に投入される。2次電子像は、点4415より対物レンズ4407に近い点4416に焦点を結ぶ。これは、各一次電子線が試料面上で500eVのエネルギーを持っているのに対して、二次電子線が数eVのエネルギーしか持っていないためである。
二次光学系は、拡大レンズ4409、4410を有しており、これらの拡大レンズを通過した二次電子線は、第2のマルチ開口板4411の複数の開口4443を通って、複数の電子検出器4412に結像する。図44Bに示すように、検出器4412の前に配置された第2のマルチ開口板4411に形成された複数の開口4443と、第1のマルチ開口板4403に形成された複数の開口4433とは、1対1に対応する。複数の検出器4412は、それぞれ第2のマルチ開口板4411の複数の開口に対向して配置される。
検出器4412は、検出した二次電子線を、その強度を表す電気信号へ変換する。各検出器4412から出力された電気信号は、増幅器13によってそれぞれ増幅された後、画像処理部14によって画像データへ変換される。画像処理部14には、一次電子線を偏向させるための走査信号SSが更に供給されるので、画像処理部4414は、試料4408の面を表す画像を生成することができる。この画像を標準パターンと比較することにより、試料4408の欠陥を検出することができる。立上がり幅検出部4430は、プロセス中は切り離されるが、初期焦点合わせのための励起電圧を決定する段階で動作する。その動作は、後述する。
また、レジストレーションにより、試料4408の被測定パターンを一次光学系の光軸の近くへ移動させ、ラインスキャンすることによって線幅評価信号を取り出し、これを適宜に校正することにより、試料4408上のパターンの線幅を測定することができる。
ここで、第1のマルチ開口板4403の開口4433を通過した一次電子線を試料4408の面上に合焦させ、試料4408から放出された二次電子線を検出器4412に結像させる際、一次光学系で生じる歪み、軸上色収差、及び視野非点という3つの収差による影響を最小にするよう、特に配慮する必要がある。また、複数の一次電子線の間隔と二次光学系との関係については、一次電子線の間隔を、二次光学系の収差よりも大きい距離だけ離せば、複数の電子線間のクロストークを無くすことができる。
対物レンズ4407は、図44Cに示すように、ユニポテンシャル・レンズであり、一次電子線を試料4408の表面に集束させるため、対物レンズ4407の中央電極には電源4428から正の高電庄Vボルトが印加され、対物レンズ4407の上側電極及び下側電極には、電源4429からアース電位に近い小電圧である励起電圧±△Vが印加される。
電子銃4401、軸合わせ用偏向器4417、第1の開口板4403、コンデンサ・レンズ4402、偏向器4419、ウィーン・フィルタ即ちEXB分離器4406、対物レンズ4407、軸対称電極4423、及び二次電子検出器4412は、適宜のサイズの鏡筒4426内に収納されて、一つの電子線走査・検出系を構成する。なお、電子線走査・検出系の初期焦点合わせは、励起電圧±△Vを例えば−10ボルトに固定しておき、正電圧Vを変化させることによって、実行することができる。
上で説明したように、鏡筒4426内の電子線走査・検出系は、試料上のチップパターンを走査し、走査の結果として試料から放出された二次電子線を検出して、その強度を表す電気信号を出力する。実際には、試料の表面に複数のチップパターンが形成されているので、図44Aに示した電子線走査・検出系と同様の構成の電子線走査・検出系(図示せず)が複数、並列する形態で、相互の距離が試料上のチップ寸法の整数倍の距離になるよう配置される。
電子線走査・検出系について更に説明すると、電子検出器4412から出力された電気信号は、画像処理部4414において、2値化情報へ変換され、この2値化情報を画像データに変換する。この結果、試料の表面に形成された回路パターンの画像データが得られ、得られた画像データは、適宜の記憶手段に蓄積されると共に、基準の回路パターンと比較される。これにより、試料上に形成された回路パターンの欠陥等を検出することができる。
試料上の回路パターンを表す画像データとの比較のための基準回路パターンは、種々のものを使用することができる。例えば、当該画像データを生じる走査が行われた回路パターンを作製したCADデータから得られた画像データを用いることもできる。
図44Aに示す電子線装置において、対物レンズ4407の上側電極又は下側電極に印加すべき励起電圧±△Vの値は、CPU等の制御装置(不図示)の制御下で、以下のようにして決定される。
まず、試料4408の表面に形成された任意の一つの回路パターン上に、第1の方向に平行なパターン・エッジと、この第1の方向に直交する第2の方向に平行なパターン・エッジとが存在する場所を、例えばパターン・データから読み出して特定する。
次いで、偏向器4419及びE×B分離器4406を用いて、一次電子線により、第1の方向に平行なパターン・エッジを第2の方向に走査し、その結果放出された二次電子線の強度を表す電気信号を電子検出器4412から取り出し、立上がり幅検出部4430において、該電気信号の立上がり幅p(単位:μm)を測定する。同様に、第2の方向に平行なパターン・エッジについても、偏向器4419及びEXB分離器4406を用いて、一次電子線により第1の方向に走査し、その結果放出された二次電子線の強度を表す電気信号を電子検出器442から取り出し、立上がり検出部4430において、その電気信号の立上がり幅pを測定する。この操作を、電圧±△Vを変更して、少なくとも3つの電圧値について行う。
制御装置(図示せず)は、立上がり幅検出部4430からのデータに基づいて、図45Aの曲線A及びBを作成する。曲線Aは、第1の方向に平行なパターン・エッジに関しての、±△Vそれぞれに対する立上がり幅pμmの関係を示す。曲線Bは、第2の方向に平行なパターン・エッジに関しての、±△Vそれぞれに対する立上がり幅pμmの関係を示す。
電気信号の「立上がり幅R」は、図45Bのグラフに示すように、励起電圧±△V(及び高電圧V)を固定した状態で、第1の方向(又は、第2の方向)に平行なパターン・エッジを第2の方向(又は、第1の方向)に走査したときに測定される電気信号が、その最大値の12%から88%まで変化するのに要する走査距離R(単位:μm)として表したものである。
図45Aの曲線Aは、励起電圧±△Vが−△V(x)のときに立上がり幅pが最小であり、従って、このときに立上りがもっとも鋭いことを示す。同様に、曲線Bは、励起電圧±△Vが+△V(y)のときに立上がり上がり幅が最小であり、立上りが最も鋭いことを示している。従って、対物レンズ7の焦点条件、即ち、上側電極及び下側電極に印加する電圧±△Vの値は、{−△V(x)+△V(y)}/2に設定することが好ましい。
励起電圧±△Vは0〜±20Vの範囲でしか変化しないので、上記のようにして対物レンズ4407の整定を実際に行ったところ、10マイクロ秒という高速で対物レンズ4412の整定を行うことができ、図45Aの曲線A及びBを取得するのに、150マイクロ秒しか要しなかった。
また、曲線A及びBを得るために、多数の±△Vについて測定を行う必要はなく、図45Aに示すように、±△Vの3つの電圧値として、−△V(1)、+△V(2)、+△V(3)を設定して立上がり幅pを測定し、双曲線近似により曲線A及びBを求め、立上り幅pの最小値−△V(x)及び+△V(y)を求めればよい。その場合には、45マイクロ秒程度で測定を行うことができる。
上記したように、図45Aの曲線A及びBは、2次曲線即ち双曲線に近似している。立ち上がり幅をp(μm)、対物レンズ電圧±△Vをq(ボルト)とすると、グラフA及びBは、a、b及びcを定数として、
(p/a)−(q−c)/B=1
と表せる。そこで、3つのq(電圧±△V)の値q、q、qと、それらに対応するp(立上がり幅)の値p、p、pを上記式に代入すると、次の3つの式(1)〜(3)が得られる。
(p /a)−(q−c)/b=1 (1)
(p /a)−(q−c)/b=1 (2)
(p /a)−(q−c)/b=1 (3)
これらの式(1)〜(3)から、a、b及びcの値が算出され、q=cのとき、最小値となる。
以上のようにして、立ち上がり幅pが最小となる、第1の方向に平行なパターン・エッジに関する対物レンズへの励起電圧△V(x)を、3つのレンズ条件で求めることができる。全く同様にして、第2の方向に平行なパターン・エッジに関する対物レンズ電圧△V(y)を求めることができる。
図45Aの曲線A及びBに示したように、第1の方向に延存するパターン・エッジを第2の方向に走査したときと、第2の方向に延在するパターン・エッジを第1の方向に走査したときとで、立上がり幅が異なることが一般的である。このような場合には、例えば、8極の非点補正レンズ4421(図44)を設けて、該レンズ4421に印加する電圧を調整することにより、パターン・エッジを第1の方向及び第2の方向に走査したときの電子検出器4415からの電気信号の立上りが更に小さくなるように、非点補正を行うことが必要である。非点収差がほとんどない場合は、△V(x)あるいは△V(y)のどちらかを求めればよいので、曲線A又はBのいずれかのみを求めてもよい。
以上説明したように、電子線走査・検出系における焦点合わせを行い、その後、試料8の評価を行うプロセスに移行する。本方法では、光学的なZセンサではなく、電子光学的な手段で合焦条件を求めているため、試料が帯電している場合にも、正しい合焦条件が求められるという利点がある。
電子線走査・検出系を含んだ鏡筒4426と同様の構成の鏡筒(図示せず)を、鏡筒4426と並列する形で、互いの距離が試料4408上のチップ・サイズの整数倍の距離になるよう配置した場合、それぞれの鏡筒において一次電子線が試料上に合焦するよう焦点合わせを行う必要がある。しかしながら、こうした焦点合わせは、ほぼ同時に行うことが可能であるので、スループット・バジェットは、僅かな値でしかない。
次に、本発明の半導体デバイス製造方法について説明する。本発明の半導体デバイス製造方法は、上記した電子線装置を用いて、前述の図12及び図13に示す半導体デバイス製造方法において実行されるものである。
本発明の半導体デバイス製造方法においては、図44を参照して説明した電子線装置を用いて、加工途中の工程(ウエハ検査工程)のみならず、完成したチップを検査するチップ検査工程(図12)において用いることにより、微細なパターンを有する半導体デバイスであっても、歪み、ぼけ等が低減された画像を得ることができるので、ウエハの欠陥を確実に検出することができる。
図12のウエハ検査工程及びチップ検査工程において、本発明に係る電子線装置を用いることにより、微細なパターンを有する半導体デバイスをも、高スループットで検査することができるので、全数検査が可能となり、製品の歩留りの向上、欠陥製品の出荷防止が可能となる。
本発明の実施例16の電子線装置4400は、次の作用効果を奏する。
(1)試料面の高さを測定するための光学式センサを使用する必要がないので、対物レンズと試料との間を電子光学系のみで最適設計することが可能になる。
(2)電子線走査・検出系の焦点合わせは低電圧の調整のみで可能であるので、整定時間を短縮することができる、即ち、短時間で焦点合わせを行うことができる。
(3)必要に応じて、焦点合わせの操作の中で、非点補正をも短時間で行うことが可能である。
(4)プロセス途中の試料を短時間で評価することができることになるので、デバイス製造の歩留まりを向上させることができる。
図46及び47を参照して本発明の実施例18の電子線装置4500を説明する。図46は、実施例18の電子線装置4501を模式的に示す。この電子線装置4500は、一次光学系4510と、二次光学系4530と、検出装置4540とを備える。
一次光学系4510は、電子線を試料Sの表面に照射する光学系で、電子線を放出する電子銃4511と、電子銃から放出された電子線を縮小する静電レンズ4513と、二次元的に配列された複数の小孔(ただし、図46では4514a乃至4514iのみ図示する)が形成された第一の開口板14と、開口アパーチャ4515と、第一の開口板を通過した電子ビームを縮小する静電レンズ4516と、静電偏向器4517と、E×B分離器4518と、静電対物レンズ4519とを備え、それらは、図46に示すように電子銃4511を最上部にして順に、かつ電子銃から放出される電子線の光軸Aが試料Sに垂直になるように配置される。電子銃4501の内部には単結晶のLaBカソードを多数の突起を有する形状に研磨して形成された突起部4512が形成されている。
静電レンズ4513、4516及び静電対物レンズ4519の像面湾曲収差の影響を無くすため、図47に示すように、第一の開口板4514には円周上に小孔が配置されそのX方向への投影したものは等間隔Lxとされる。
二次光学系4530は、E×B分離器4518の近くで光軸Aに対して傾斜している光軸Bに沿って順に配置された、第一の静電拡大レンズ4531と、開口アパーチャ4532と、第二の静電拡大レンズ4533と、二次元的に配列された複数の小孔(図では4534a乃至45341のみ図示する)が形成された第二の開口板4534とを備える。
検出装置4540は第二の開口板4534の各開口毎に検出器4541を備えている。なお、第二の開口板4534の小孔(図2において破線で示されている)4534a乃至4534eの数及び配列は、第一の開口板4513に形成される小孔(図47において実線で示されている)4514a乃至4514eの数及び配列に一致されている。上記各構成要素は公知のものであってもよく、それらの構造の詳細説明は省略する。
次に、上記構成の電子線装置4500における標準モードについて説明する。単一の電子銃4511の多数の突起部4512から放出された電子線Cは静電レンズ4513で収束され、第一の開口板4514を照射する。電子線Cは第一の開口板4514に形成された複数の小孔(4514a乃至4514e)を通過してマルチビームにされる。これらマルチビームは開口アパーチャ4515でクロスオーバー像C1を形成する。クロスオーバーしたマルチビームは、試料Sに向かって進み、途中に設けられた静電中間レンズ4516により収束され、静電対物レンズ4519の主面に結像されて、ケラー照明条件を満足する。該結像されたマルチビームは試料上に縮小像を結像し、また、静電偏向器4517とE×B分離器4518の偏向器により試料上を走査される。
試料Sから放出された二次電子は、静電対物レンズ4519と試料Sとの間に印加された、二次電子に対する加速電界で加速、収束され、静電対物レンズ4519を通過し、E×B分離器4518により光軸Bに沿って移動するように偏向されて静電拡大レンズ4531に入射する。二次電子は次に静電拡大レンズ4531により拡大され、開口アパーチャ4532にクロスオーバー像C2を形成する。これら結像した二次電子は、次に、静電拡大レンズ4533により拡大されて第二の開口板4534の小孔(4534a乃至4534e)において結像される。二次光学系の拡大率は2つの静電拡大レンズ4531及び4533で決定することができる。
図47に示すように、第一の開口板4514の小孔4514aを通った電子ビームにより試料Sで放出された二次電子は第二の開口板4534の小孔4534aを通して、小孔4514bを通った電子ビームにより試料Sで放出された二次電子は小孔4534bを通して、小孔4514cを通った電子ビームにより試料Sで放出された二次電子は小孔4534cを通して、と言ったように、電子ビームにより試料面で放出された二次電子は第一の開口板4514の各小孔に対応する第二の開口板4534の各小孔を通って検出器4541に入射する。
上記標準モードから高解像度モードに変更するには走査幅を変更し、かつ画像倍率を変更する必要がある。走査幅を変更することは、静電偏向器4517及びE×B分離器4518の偏向器のビット当たりの偏向感度を調整することにより可能である。しかしながら、走査幅を標準モードから狭くすると、マルチビームのそれぞれのビームの間に走査の隙間ができることとなる。また、二次光学系においてビーム像間隔が検出器の間隔と一致しなくなる。
ビームの間に走査の隙間ができることについては、第一の開口板4514から試料Sへの縮小率を静電レンズ4516と静電対物レンズ4519とをズーム動作させることにより、画素寸法の変化に対応して変化させることで解決できる。クロスオーバー像C1を対物レンズ4519の主面に結像させるケーラ照明条件は、標準モードでのみ満たすようにし、高解像度モードでは満たさないものとする。
また、二次光学系においてビーム像間隔が検出器の検出器間の寸法と一致しなくなる対策として、二次光学系の開口アパーチャ4532の位置及び大きさは固定とし静電拡大レンズ4533の励起電圧を変えることにより試料の各ビームから放出された二次電子の主光線が対応する第二の開口板の小孔に入射するようにしている。即ち、二次光学系の静電拡大レンズ4533により、拡大倍率と開口アパーチャ4532でのクロスオーバーの合焦条件とを合わせるようにしている。また、マルチビームの縮小率を静電レンズ4516と静電対物レンズ4519とをズーム動作させると共に、ズーム動作に関係付けて二次光学系の静電拡大レンズ4531、4533で拡大率を変更することにより、二種類の画像寸法で試料の評価を行うことができる。
このような一次光学系でのマルチビームの縮小率と二次光学系の静電レンズでの拡大率との関係は、具体的には、図46で開口間の寸法(例えば4514aと4514bの間隔)が1mmであり、一次光学系でのマルチビームの縮小率が1/100とすると、開口4514aと4514bを出たビームの間隔は、10μmとなる。そして二次光学系の拡大率を500倍とすると、開口4534aと4534bの間隔は、5mmである。
一次光学系でのマルチビームの縮小率を1/200に変えたとき、二次光学系の拡大率を500×2=1000倍とすることにより、開口4534aと4534bの間隔は5mmとなるから、開口4534aと4534bの間隔を変えることなく、2次電子の検出を行うことができる。この特徴の利点は、一次光学系でのマルチビームの縮小率を変えることによってビーム寸法、ビーム電流、又は走査幅を変えることができることである。そしてスループットは悪くなるが、高解像度の評価を行ったり、分解能は悪いが高スループットの評価をしたりすることが可能となる。
更に、クロスオーバ像を、スループットは、大きいが解像度が比較的低いモードにおいて対物レンズの主面に形成する。具体的には、例えば、解像度が50nm、スループットが8.8分/cmのモードと、解像度が100nm、スループットが33秒/cmのモードとを持つ装置において、前者のモードの場合に、クロスオーバ像を対物レンズの主面に置いた。
本発明の実施例17(図46)の電子線装置4500は、図12及び図13の半導体デバイスの製造方法に好適に使用される。即ち、この製造方法における検査工程に本発明の実施例18の欠陥検査方法、欠陥検査装置を用いると、微細なパターンを有する半導体デバイスでも、スループット良く検査できるので、全数検査が可能となり、製品の歩留まりの向上、欠陥製品の出荷防止が可能となる。 本発明の実施例17(図46)の電子線装置4500は、次の効果を奏する。
(1)任意の倍率の画像を走査の隙間なく形成することができるので、標準モード及び高解像度モードで使用することができる。
(2)倍率を変更した場合でも、画像寸法とビーム寸法とを略対応させることができる。
(3)標準モードでは一次光学系のケーラ照明条件を満足することができる。一方、高解像度モードの場合での一次光学系のケーラ照明条件からのズレは少なく、収差はそれ程増大しない。
(4)試料面に対して垂直方向に放出された試料からの二次電子が二次光学系の光軸と交差する位置に開口アパーチャを設けているため、モードを変更した場合でも、マルチビームの間に強度差の無い二次電子検出ができる。
図48及び図49を参照して本発明の実施例19の電子線装置5000について説明する。図48の電子線装置5000は、一次電子光学系(以下「一次光学系」という。)5010と、二次電子光学系(以下「二次光学系」という。)5020と、検出系5030とを備える。一次光学系5010は、電子ビームをウエハ等の評価対象(以下「試料」という)Sの表面に照射する光学系で、電子線即ち電子ビームを放出する電子銃5011と、電子銃5011から放出された一次電子ビームを集束するコンデンサレンズ5012と、複数の開口が形成された第1のマルチ開口板5013と、縮小レンズ5014と、E×B分離器5015と、対物レンズ5016とを備え、それらは、図48に示されるように電子銃5011を最上部にして順に配置されている。なお、5017、5018は一次電子ビームを走査する偏向器であり、5019は軸対称電極である。
二次光学系5020は一次光学系の光軸に関して傾斜した光軸に沿って配置された拡大レンズ5021及び5022並びに第2のマルチ開口板5023を備えている。検出系5030は、第2のマルチ開口板5023の各開口5231毎に配置された検出器5031と、各検出器にそれぞれ増幅器5032を介して接続された画像形成部5033とを備えている。上記一次光学系5010、二次光学系5020及び検出系5030の各構成要素の構造及び機能は従来のものと同じであるから、それらについての詳細な説明は省略する。第1のマルチ開口板5013の開口5131と第2のマルチ開口板5023の開口5231とは対応して形成され、開口5131は、図49で実線で示されるように、破線で示される開口5231より小さくなっている。
試料Sは、ステージ装置5040のホルダ5041により公知の方法により着脱可能に支持され、そのホルダ5041は、XYステージ5042により直交方向に移動可能に支持されている。
電子線装置1は、更に、ホルダ5041と電気的に接続されたリターディング電圧印加装置(以下印加装置)5050と、チャージアップ調査及びリターディング電圧決定システム(以下調査及び決定システム)5060とを備えている。調査及び決定システム5060は、画像形成部5033に電気的に接続されたモニター5061と、モニター5061に接続されたオペレータ5062と、オペレータ5062に接続されたCPU5063とを備えている。CPU5063は、前記印加装置5050並びに偏向器5017に信号を供給するようになっている。
次に、上記実施例18の電子線装置5000の動作に付いて説明する。電子銃5011から放出された一次電子線は、コンデンサレンズ5012によって集束され、点P1においてクロスオーバを形成する。第1のマルチ開口板5013の開口5131を通過した電子線はその複数の開口5131により複数の一次電子ビームに形成される。第1のマルチ開口板5013によって形成された一次電子ビームは縮小レンズ5014により縮小され、点P2に投影される。点P2で合焦した後、対物レンズ5016によって試料Sの上表面上で合焦される。
複数の一次電子ビームは縮小レンズ5014と対物レンズ5016との間に配置された偏向器5018により、同時に試料の上面を走査するように偏向される。縮小レンズ5014及び対物レンズ5016の像面湾曲収差の影響をなくすため、マルチ開口板5013、5023の複数の開口5131及び5231は、各光学系の光軸を中心とする円の円周上に配置され、そのX方向の投影した場合の隣接間距離Lxは、図49に示されるように等間隔になるように形成されている。
合焦された複数の一次電子ビームによって、試料S上の点が照射され、照射されたこれらの複数の点から放出された二次電子は、対物レンズ5016の電界に引かれて細く集束され、E×B分離器5015で偏向され、二次光学系5020に投入される。二次電子像は点P2より対物レンズに近い点P3に焦点を結ぶ。これは、各一次電子ビームが試料面上で500eVのエネルギを持っているのに対して、二次電子線は数eVのエネルギしか持っていないからである。
この二次電子像は拡大レンズ5021及び5022により第2のマルチ開口板5023の複数の開口5231を通して各開口毎に設けられた検出器5031に結像する。この二次電子像をそれぞれの検出器5031により検出する。それぞれの検出器5031は、検出した二次電子像をその強度を表す電気信号に変換する。こうして各検出器から出力された電気信号は対応する増幅器5032により増幅された後、画像形成部5033に入力され、この画像形成部で画像データに変換される。画像形成部5033には、一次電子ビームを偏向させるための走査信号が更に供給されるので、画像形成部は試料Sの面を表す画像を表示する。この画像を基準パターンと比較することにより、試料Sの欠陥を検出することができる。
また、レジストレーションにより試料Sを一次光学系5010の光軸の近くへ移動させ、ラインスキャン即ち走査することによって試料の上表面に形成されたパターンの線幅評価信号を取り出し、これを適宜に校正することにより、パターンの線幅を測定することができる。
ここで、第1のマルチ開口板5013の開口を通過した一次電子ビームを試料Sの上面上に合焦させ、試料Sから放出された二次電子線を検出器5031に結像させる際に、一次光学系で生じる歪み、軸上色収差及び視野非点という三つの収差による影響を最小にするように特に配慮する必要がある。
また、試料に照射される一次電子ビーム間の間隔と二次光学系との関係に付いては、複数の一次電子ビーム間の間隔を、二次光学系の収差よりも大きい距離だけ離せば、複数のビーム間のクロストークをなくすことができる。
画像形成部5033で変換された画像データは、調査及び決定装置5060の表示装置5061により画像として表示され、オペレータ5062により画像を評価する。オペレータ5062はこの実施形態ではチャージアップ調査装置を構成する。またオペレータ5062は画像に基づいてチャージアップ状態を調査することができる。そして、その結果をCPU5063に入力し、リターディング電圧を最適な値に設定する。CPUは、この実施形態では、リターディング電圧決定装置を構成する。
図50Aはチャージアップの評価場所と評価方法を説明する図である。チップ5100のメモリーセル境界5102の外周部は、周辺回路部で低密度領域である。その内側はメモリーセル部で高密度領域である。従ってA1、A2は境界領域の画像となり、A3、A4はメモリーセル部の画像である。図50A中の2点鎖線や破線は、密度が大きく変化する境界を示す。
より具体的には、被評価試料のチャージアップの影響を受け易い場所即ち図50Aに示されるように、試料としてのウエハの表面に形成されたチップ5100のメモリーセル5101のコーナ部を評価した。即ち、(1)コーナ部でのメモリーセル境界5102のパターン歪み量5103、5104を測定するか、或いは、(2)メモリーセルのコーナ部においてパターンを横切るように(矢印A1及びA2で示すように)走査した時に得た信号強度のコントラストを、図50Bにおいて実線5105及び5107で表示して、チップの中心部においてパターンを矢印A3、A4に走査したときに得た信号強度のコントラスト5106及び5108(いずれも図50Bにおいて破線図示)と比較してもよい。
リターディング電圧印加装置5050に複数の値の電圧を与え、その都度、歪み量5103及び5104或いはコントラスト5105、5107及び5106、5108を測定し、歪み量5103及び5104が小さい方がチャージアップの影響は小さいと評価した。また、コーナ部でのコントラストの値5105、5107が中心部でのコントラストの値に近い方がチャージアップの影響が小さいと評価した。
チャージアップの状態の良好なリターディング電圧が見出されたら、その値をCPU5063を介して印加装置5050に与え、その値で試料即ちウエハの評価を行うようにした。また、ビーム電流を小さくするとチャージアップが減少する試料の場合は、ビーム電流を小さくしてもよい。このように、試料のパターン密度が大きく変化する境界付近の画像形成を行うことは、帯電の効果が大きく出ることから、帯電していることを評価し易く、帯電し難いリーディング電圧を見つけ易い。
本発明の実施例19(図48)の電子線装置5000は、図12及び図13の半導体デバイスの製造方法に好適に使用される。即ち、この製造方法における検査工程に本発明の実施例19の電子線装置5000を用いると、微細なパターンを有する半導体デバイスでも、スループット良く検査できるので、全数検査が可能となり、製品の歩留まりの向上、欠陥製品の出荷防止が可能と成る。
本発明実施例19(図48)の電子線装置5000は、次の効果を奏する。
(イ)スループットが電子ビームの数に比例した倍数に近い値がえられ、数倍に向上できる。
(ロ)チャージアップ状態が最も少ない状態でウエハの評価が行われるので、信頼性の高い評価ができる。
(ハ)チャージアップ性能を、各種の電流を測定して行うのでなく、実際の画像で評価しているので、より正しい評価結果が得られる。
図51は、本発明の実施例20のE×B分離器6020を示す。E×B分離器6020は、静電偏向器と電磁偏向器とにより構成されており、図51においては、光軸(図面に垂直な軸:z軸)に直交するx−y平面上の断面図として示されている。x軸方向及びy軸方向も直交している。
静電偏向器は、真空容器中に設けられた一対の電極(静電偏向電極)6001を備え、x軸方向に電界Eを生成する。これら静電偏向電極6001は、絶縁スペーサ6002を介して真空容器の真空壁6003に取り付けられており、これらの電極間距離Dは、静電偏向電極6001のy軸方向の長さ2Lよりも小さく設定されている。このような設定により、z軸の周りの形成される電界強度が一様な範囲を比較的大きくすることができるが、理想的には、D<Lであれば、電界強度が一様な範囲をより大きくすることができる。
即ち、電極の端縁からD/2の範囲は、電界強度が一様ではないため、電界強度がほぼ一様な領域は、一様ではない端部領域を除いた中心部の2L−Dの領域となる。このため、電界強度が一様な領域が存在するためには、2L>Dとする必要があり、さらに、L>Dと設定することにより、電界強度が一様な領域がより大きくなる。
真空壁6003の外側には、y軸方向に磁界Mを生成するための電磁偏向器が設けられている。電磁偏向器は、電磁コイル6004及び電磁コイル6005を備え、これらコイルはそれぞれ、x軸方向及びy軸方向に磁界を生成する。なお、コイル6005だけでもy軸方向の磁界Mを生成できるが、電界Eと磁界Mとの直交度を向上させるために、x軸方向に磁界を生成するコイル4を設けている。即ち、コイル6004によって生成された−x軸方向の磁界成分によって、コイル6005によって生成された+x軸方向を打ち消すことによって、電界と磁界との直交度を良好にすることができる。
これら磁界生成用のコイル6004及び6005は、真空容器の外に設けるため、それぞれを2分割して構成し、真空壁6003の両側から取り付け、部分6007においてネジ止め等により締め付けて一体化すればよい。
E×B分離器の最外層6006は、パーマロイあるいはフェライト製のヨークとして構成する。最外層6006は、コイル6004及び6005と同様に、2分割して両側からコイル6005の外周に取り付けて、部分6007においてネジ止め等により一体化してもよい。
図52は、本発明の実施例20のE×B分離器6040の光軸(z軸)に直交する断面を示す。図52のE×B分離器6040は、静電偏向電極6001が6極設けられている点が、図51に示した実施例20のE×B分離器と相違している。これら静電偏向電極6001には、それぞれの電極の中央と光軸(z軸)とを結んだ線と電界の方向(x軸方向)との角度をθ(i=0,1,2,3,4,5)としたときに、cosθに比例する電圧k・cosθ(kは定数)が供給される。ただし、θは、任意の角度である。
図52に示した実施例20においても、実施例19と同様に、x軸方向の電界Eしか作れないので、x及びy軸方向の磁界を生成するコイル6004及び6005を設け、直交度の修正を行う。実施例20によれば、図51に示した実施例20に比べて、電界強度が一様な領域をさらに大きくすることができる。
図51及び図52に示した実施例19及び20のE×B分離器においては、磁界を生成するためのコイルをサドル型に形成しているが、トロイダル型のコイルを用いてもよい。
図53Aは、実施例20及び21のE×B分離器を1次電子ビームと2次電子ビームとを分離するために採用可能な本発明の実施例21の電子線装置6000(欠陥検査装置)の概略図である。図53Aにおいて、電子銃6021から放出された電子ビームは、コンデンサ・レンズ6022によって集束されて、点6024においてクロスオーバを形成する。
コンデンサ・レンズ6022の下方には、複数の開口を有する第1のマルチ開口板6023が配置され、これによって複数の1次電子ビームが形成される。形成された複数の1次電子ビームはそれぞれ、縮小レンズ6025によって縮小されて6035に投影される。そして、点6035で合焦した後、対物レンズ6027によってで試料であるウエハ6028に合焦される。第1のマルチ開口板6023からの複数の1次電子ビームは、縮小レンズ6025と対物レンズ6027との間に配置された偏向器6039により、同時にウエハ6028面上を走査するよう偏向される。
縮小レンズ6025と対物レンズ6027の像面湾曲収差が発生しないようにするために、第1のマルチ開口板6023は、図53Bに示すように、円周上に小さな開口が複数配置され、そのx軸上へ投影した点は、等間隔となる構造となっている。
合焦された複数の1次電子ビームによって、ウエハ6028の複数の点が照射され、該照射された複数の点から放出された2次電子ビームは、対物レンズ6027の電界に引かれて細く集束され、E×B分離器6026で偏向され、2次光学系に投入される。2次電子ビームによる像は、点6035より対物レンズ6027に近い点6036に焦点を結ぶ。これは、複数の1次電子ビームがそれぞれウエハ6028面上で約500eVのエネルギを有しているのに対して、2次電子ビームは数eVのエネルギしか有していないためである。
2次光学系は、拡大レンズ6029、6030を有しており、これら拡大レンズを通過した2次電子ビームは、第2のマルチ開口板6031の複数の開口に結像する。そして、これら開口を通過して、複数の検出器6032で検出される。なお、検出器6032の前に配置された第2のマルチ開口板6031の複数の開口と、第1のマルチ開口板6023の複数の開口とは、図53Bに示すように、1対1に対応している。
検出器6032はそれぞれ、受け取った2次電子ビームを、その強度を表す電気信号へ変換する。各検出器6032からの電気信号は増幅器6033で増幅された後、画像処理装置6034において画像データに変換される。画像処理装置6034には、偏向器6039からの1次電子ビームを偏向させるための走査信号も供給されており、これにより、画像処理装置6034は、ウエハ6028の表面の画像を表す画像データを得る。
得られた画像データを標準パターンと比較することにより、ウエハ6028の欠陥を検出することができ、また、レジストレーションによってウエハ6028上の被評価パターンを1次光学系の光軸近傍に移動させ、ライン走査することによって線幅評価信号を取り出し、これを適宜校正することによって、ウエハ6028上のパターンの線幅を測定することができる。
第1のマルチ開口板6023の開口を通過した1次電子ビームをウエハ6028の面上に合焦させて、ウエハ6028から放出された2次電子ビーム検出用のマルチ開口板6031に結像させる際、1次光学系及び2次光学系により生じる歪み、像面湾曲及び視野非点という3つの収差による影響を最小にするように、配慮した方がよい。複数の1次電子ビームの照射位置間隔の最小値を、2次光学系の収差よりも大きい距離だけ離間させれば、複数のビーム間のクロストークを無くすことができる。
本発明の実施例19のE×B分離器6020においては、電界を生成する静電偏向器の一対の電極として、電極間の間隔よりも光軸に直角な方向の大きさが長く形成された平行平板型電極を用いているので、光軸の周りに一様強度で平行な電界が生成される領域が広くなる。
また、実施例19及び実施例20のE×B分離器においては、電磁偏向器にサドル型コイルを用い、かつ光軸からコイルを見込む角度を片側で2π/3に設定しているので3θ成分が生成せず、これにより、光軸の周りに一様強度で平行な磁界が生成される領域が広くなる。さらにまた、磁界を電磁コイルによって生成しているので、コイルに偏向電流を重畳することができ、これにより、走査機能を持たせることができる。
実施例19及び実施例20のE×B分離器は、静電偏向器と電磁偏向器との組み合わせとして構成されているので、静電偏向器及びレンズ系の収差を計算し、これとは別に電磁偏向器及びレンズ系の収差を計算し、これら収差を合計することにより、光学系の収差を得ることができる。
図55及び図56を参照して本発明の実施例22の荷電ビーム装置7000を説明する。本実施例において「真空」とは当該技術分野において呼ばれる真空である。
図55の荷電ビーム装置7000において、荷電ビームを試料に向かって照射する鏡筒7001の先端部即ち荷電ビーム照射部7002が真空チャンバCを画成するハウジング7014に取り付けられている。鏡筒7001の直下には、XYステージ7003のX方向(図55において左右方向)の可動テーブル上に載置されている試料Sが配置される。この試料Sは高精度なXYステージ7003によって、その試料面上の任意の位置に対して正確に荷電ビームを照射させることができる。
XYステージ7003の台座7006はハウジング7014の底壁に固定され、Y方向(図55において紙面に垂直の方向)に移動するYテーブル7005が台座7006の上に載っている。Yテーブル7005の両側面(図55において左右側面)には、台座7006に載置された一対のY方向ガイド7007a及び7007bのYテーブルに面した側に形成された凹溝内に突出する突部が形成されている。その凹溝はY方向ガイドのほぼ全長に亘ってY方向に伸びている。
凹溝内に突出する突部の上、下面及び側面には公知の構造の静圧軸受け7011a、7009a、7011b、7009b、がそれぞれ設けられ、これらの静圧軸受けを介して高圧ガスを吹き出すことにより、Yテーブル5はY方向ガイド7007a、7007bに対して非接触で支持され、Y方向に円滑に往復運動できるようになっている。また、台座7006とYテーブル7005との間には、公知の構造のリニアモータ7012が配置されており、Y方向の駆動をそのリニアモータで行うようになっている。Yテーブルには、高圧ガス供給用のフレキシブル配管7022によって高圧ガスが供給され、Yテーブル内に形成されたガス通路(図示せず)を通じて静圧軸受け7009a乃至7011a及び7009b乃至11bに対して高圧ガスが供給される。静圧軸受けに供給された高圧ガスは、Y方向ガイドの対向する案内面との間に形成された数ミクロンから数十ミクロンの隙間に噴出してYテーブルを案内面に対してX方向とZ方向(図55において上下方向)に正確に位置決めする役割を果たす。
Yテーブル上にはXテーブル4がX方向(図55において左右方向)に移動可能に載置されている。Yテーブル5上にはYテーブル用のY方向ガイド7007a、7007bと同じ構造の一対のX方向ガイド7008a、7008b(7008aのみ図示)がXテーブル7004を間に挟んで設けられている。X方向ガイドのXテーブルに面した側にも凹溝が形成され、Xテーブルの側部(X方向ガイドに面した側部)には凹溝内に突出する突部が形成されている。その凹溝はX方向ガイドのほぼ全長に亘って伸びている。凹溝内に突出するX方向テーブル7004の突部の上、下面及び側面には前記静圧軸受け7011a、7009a、7010a、7011b、7009b、7010bと同様の静圧軸受け(図示せず)が同様の配置で設けられている。Yテーブル7005とXテーブル7004との間には、公知の構造のリニアモータ7013が配置されており、XテーブルのX方向の駆動をそのリニアモータで行うようにしている。
Xテーブル7004にはフレキシブル配管7021によって高圧ガスが供給され、静圧軸受けに高圧ガスを供給するようになっている。この高圧ガスが静圧軸受けからX方向ガイドの案内面に対して噴出されることによって、Xテーブル7004がY方向ガイドに対して高精度に非接触で支持されている。真空チャンバCは公知の構造の真空ポンプ等に接続された真空配管7019、7020a、7020bによって排気されている。配管7020a、7020bの入口側(真空チャンバ内側)は台座7006を貫通してその上面において、XYステージ7003から高圧ガスが排出される位置の近くで開口しており、真空チャンバ内の圧力が静圧軸受けから噴出される高圧ガスにより上昇するのを極力防止している。
鏡筒7001の先端部即ち荷電ビーム照射部7002の周囲には、差動排気機構7025が設けられ、真空チャンバC内の圧力が高くても荷電ビーム照射空間7030の圧力が十分低くなるようにしてある。即ち、荷電ビーム照射部7002周囲に取り付けられた差動排気機構7025の環状部材7026は、その下面(試料S側の面)と試料との間で微少隙間(数ミクロンから数百ミクロン)7040が形成されるように、ハウジング7014に対して位置決めされており、その下面には環状溝7027が形成されている。
環状溝7027は、排気管7028により図示しない真空ポンプ等に接続されている。従って、微少隙間7040は環状溝7027及び排気口7028を介して排気され、真空チャンバCから環状部材7026によって囲まれた空間7030内にガス分子が侵入しようとしても、排気されてしまう。これにより、荷電ビーム照射空間7030内の圧力を低く保つことができ、荷電ビームを問題なく照射することができる。この環状溝は、チャンバ内の圧力、荷電ビーム照射空間7030内の圧力によっては、二重構造或いは三重構造にしてもよい。
静圧軸受けに供給する高圧ガスは、一般にドライ窒素が使用される。しかしながら、可能ならば、更に高純度の不活性ガスにすることが好ましい。これは、水分や油分等の不純物がガス中に含まれると、これらの不純物分子が真空チャンバを画成するハウジングの内面やステージ構成部品の表面に付着して真空度を悪化させたり、試料表面に付着して荷電ビーム照射空間の真空度を悪化させてしまうからである。
試料Sは、通常Xテーブル上に直接載置されるのでなく、試料を取り外し可能に保持したりXYステージ7003に対して微少な位置変更を行うなどの機能を持たせた試料台の上に載置されているが、試料台の有無及びその構造は本願発明の要旨には関係ないので、説明を簡素化するために省略されている。
荷電ビーム装置7000では、大気中で用いられる静圧軸受けのステージ機構をほぼそのまま使用できるので、露光装置等で用いられる大気用の高精度ステージと同等の高精度のXYステージを、ほぼ同等のコスト及び大きさで荷電ビーム装置用のXYステージに対して実現できる。以上説明した静圧ガイドの構造や配置及びアクチュエータ(リニアモータ)はあくまでも一実施例であり、大気中で使用可能な静圧ガイドやアクチュエータならば何でも適用できる。
図56は、差動排気機部7025の環状部材7026に形成される環状溝の大きさの数値例を示す。図56の環状部材7026は、半径方向に隔てられた二重構造の環状溝7027a及び7027bを有し、それぞれ排気TMP、DPを排出する。
静圧軸受けに供給される高圧ガスの流量は、通常おおよそ20L/min(大気圧換算)程度である。真空チャンバCを、内径50mmで長さ2mの真空配管を介して20000L/minの排気速度を有するドライポンプで排気すると仮定すると、真空チャンバ内の圧力は、約160Pa(約1.2Torr)となる。この時、差動排気機構部の環状部材7026及び環状溝等の寸法を、図56に示すようにすれば、荷電ビーム照射空間7030内の圧力を10−4Pa(10−6Torr)にすることができる。
図57は、本発明の実施例23の荷電ビーム装置7000を示す。ハウジング7014によって画成された真空チャンバCには、真空配管7074、7075を介してドライ真空ポンプ7053が接続されている。また、差動排気機構7025の環状溝7027は排気口7028に接続された真空配管7070を介して超高真空ポンプであるターボ分子ポンプ7051が接続される。更に、鏡筒7001の内部は、排気口7018に接続された真空配管7071を介して、ターボ分子ポンプ7052が接続される。これらのターボ分子ポンプ7051、7052は、真空配管7072、7073によってドライ真空ポンプ7053に接続される。
図57の荷電ビーム装置7000は、ターボ分子ポンプの粗引きポンプと真空チャンバの真空排気用ポンプを1台のドライ真空ポンプで兼用するが、代わりにXYステージの静圧軸受けに供給する高圧ガスの流量、真空チャンバの容積や内表面積、真空配管の内径や長さに応じて、それらを別系統のドライ真空ポンプで排気する場合も考えられる。
XYステージ7003の静圧軸受けには、フレキシブル配管7021、7022を通して高純度の不活性ガス(Nガス、Arガス等)が供給される。静圧軸受けから噴出したこれらのガス分子は真空チャンバ内に拡散し、排気口7019、7020a、7020bを通してドライ真空ポンプ7053によって排気される。また、差動排気機構や荷電ビーム照射空間に侵入したこれらのガス分子は環状溝7027或いは鏡筒7001の先端部から吸引され、排気口7028及び7018を通ってターボ分子ポンプ7051及び7052によって排気され、ターボ分子ポンプから排出された後ドライ真空ポンプ7053によって排気される。 このように、静圧軸受けに供給された高純度不活性ガスはドライ真空ポンプに集められて排出される。
一方、ドライ真空ポンプ7053の排気口は、配管7076を介して圧縮機7054に接続され、圧縮機7054の排気口は配管7077、7078、7079及びレギュレータ7061、7062を介してフレキシブル配管7021、7022に接続されている。このため、ドライ真空ポンプ7053から排出された高純度不活性ガスは、圧縮機7054によって再び加圧されレギュレータ7061、7062で適正な圧力に調整された後、再びXYテーブルの静圧軸受けに供給される。
静圧軸受けに供給されるガスは上述したようにできるだけ高純度にし、水分や油分が極力含まれないようにする必要があるため、ターボ分子ポンプ、ドライポンプ及び圧縮機は、ガス流路に水分や油分が混入しないような構造であることが求められる。また、圧縮機の排出側配管7077の途中にコールドトラップやフィルタ7060等を設け、循環するガス中に混入した水分や油分等の不純物質をトラップして静圧軸受けに供給されないようにすることも有効である。
こうすることによって、高純度不活性ガスを循環させて再利用できるので、高純度不活性ガスを節約でき、また、本装置が設置された部屋に不活性ガスをたれ流さないので、不活性ガスによる窒息等の事故が発生する恐れもなくすことができる。
循環配管系には高純度不活性ガス供給源7063が接続されており、ガスの循環を始める際に、真空チャンバCや真空配管7070〜7075及び加圧側配管7076〜7080を含む全ての循環系に高純度不活性ガスを満たす役割と、何らかの原因で循環するガスの流量が減少した際に不足分を供給する役割とを担っている。また、ドライ真空ポンプ7053に大気圧以上まで圧縮する機能を持たせることによって、ドライ真空ポンプ7053と圧縮機7054を1台のポンプで兼ねさせることも可能である。鏡筒の排気に用いる超高真空ポンプには、ターボ分子ポンプの代わりにイオンポンプやゲッタポンプ等のポンプを使用することも可能である。ドライ真空ポンプの代わりに、ダイヤフラム式ドライポンプ等、他方式のドライポンプを使用することも可能である。
図58は、本発明の実施例23の荷電ビーム装置7100を示す。荷電ビーム装置7100は、図57の荷電ビーム装置7000に使用可能な光学系7160及び検出器7180を含む。光学系7160は、荷電ビームをステージ7003上に載置された試料Sに照射する一次光学系7161と、試料から放出された二次電子が投入される二次光学系7171と、を備える。
図58の一次光学系7161は、荷電ビームを放出する電子銃7162と、電子銃7162から放出された荷電ビームを集束する2段の静電レンズからなるレンズ系7163、7164と、偏向器7165と、荷電ビームをその光軸が対象の面に垂直になるように偏向するウイーンフィルタ即ちE×B分離器7166と、2段の静電レンズからなるレンズ系7167、7168と、を備え、それらは、図58に示されるように電子銃7161を最上部にして順に、荷電ビームの光軸が試料Sの表面(試料面)に鉛直な線に対して傾斜して配置されている。E×B偏向器7166は、電極7661及び磁石7662を備える。
二次光学系7171は、試料Sから放出された二次電子が投入される光学系であり、一次光学系のE×B型偏向器7166の上側に配置された2段の静電レンズからなるレンズ系7172、7173を備える。検出器7180は、二次光学系7171を介して送られた二次電子を検出する。上記光学系7160及び検出器7180の各構成要素の構造及び機能は従来のものと同じであるから、それらについての詳細な説明は省略する。
電子銃7162から放出された荷電ビームは、電子銃の正方形開口で整形され、2段のレンズ系7163及び7164によって縮小され、偏光器7165で光軸を調整されてE×B偏向器7166の偏向中心面に一辺が1.25mmの正方形に結像される。E×B偏向器7166は、試料の法線に垂直な平面内において、電界と磁界とを直交させた構造となっており、電界、磁界、電子のエネルギの関係が一定の条件を満たす時には電子を直進させ、それ以外の時にはこれら電界、磁界及び電界のエネルギの相互の関係により所定方向に偏向されるようになっている。電子銃からの荷電ビームを曲げて試料Sに垂直に入射させ、また試料から放出された二次電子を検出器7180の方向に直進させるように設定される。E×B偏光器で偏向された成形ビームはレンズ系7167、7168で1/5に縮小されて試料Sに投影される。
試料Sから放出されたパターン画像の情報を持った二次電子は、レンズ系7167、7168及び7172、7173で拡大され、検出器7180で二次電子画像を形成する。この4段の拡大レンズは、レンズ系7167及び7168が対称タブレットレンズを形成し、レンズ系7172及び7173もやはり対称タブレットレンズを形成しているので無歪みレンズとなっている。
図55乃至図58の荷電ビーム装置7000は、図12及び図13に示す半導体デバイスの製造方法に使用可能である。即ち、図12のウエハ検査工程又は図13の露光工程に、荷電ビーム装置7000を用いると、微細なパターンを高精度で安定して検査又は露光ができるので、製品の歩留まりの向上、欠陥製品の出荷防止が可能と成る。
図55乃至図58の荷電ビーム装置7000は、次の効果を奏する。
(イ)大気中で一般に用いられる静圧軸受け式のステージと同様の構造を持ったステージ(差動排気機構を持たない静圧軸受け支持のステージ)を使用して、ステージ上の試料に対して荷電ビームによる処理を安定に行うことができる。
(ロ)荷電ビーム照射領域の真空度に対する影響を最小限に抑えることが可能になり、荷電ビームによる試料への処理を安定化させることができる。
(ハ)ステージの位置決め性能が高精度で、かつ荷電ビームの照射領域の真空度が安定した検査装置を安価に提供することができる。
(ニ)ステージの位置決め性能が高精度で、かつ荷電ビーム照射領域の真空度が安定した露光装置を安価に提供することができる。
(ホ)ステージの位置決め性能が高精度で、かつ荷電ビーム照射領域の真空度が安定した装置によって半導体を製造することにより、微細な半導体回路を形成できる。
図59は、本発明の実施例25の電子線装置8000の概略的配置図であり、同図において、電子銃8001から放出された電子線は、コンデンサレンズ8002によって集束されて点8004においてクロスオーバを形成する。
コンデンサレンズ8002の下方には、複数の開口8003’を有する第1のマルチ開口板8003が配置され、これによって複数の一次電子線が形成される。第1のマルチ開口板によって形成された一次電子線のそれぞれは、縮小レンズ8005によって縮小されて点8015で合焦され後、更に、対物レンズ8007によって試料8008に合焦される。第1のマルチ開口板8003から出た複数の一次電子線は、縮小レンズ8005と対物レンズ8007との間に配置された偏向器により、試料8008の面上の異なる位置を同時に走査するよう偏向される。
縮小レンズ8005及び対物レンズ8007の像面湾曲収差の影響を無くすため、図60に示すように、マルチ開口板8003は、その複数の開口8003’がマルチ開口板3上の同一円周上に配置され、その中心をx軸へ投影すると等間隔となるようにされている。
図59の実施例25の電子線装置8000において、複数の一次電子線によって照射された試料8008上の複数の点からは、それぞれ二次電子線が放出され、対物レンズ8007の電界に引かれて細く集束され、E×B分離器8006で偏向され、二次光学系に投入される。二次電子像は点8015より対物レンズ8007に近い点8016に焦点を結ぶ。これは、各一次電子線は試料面上で500eVにエネルギーを持っているのに対して、二次電子線は数evのエネルギーしか持っていないためである。
二次光学系は、拡大レンズ8009、8010を有しており、これらの拡大レンズ8009、8010を通過した二次電子線は第2マルチ開口板8011の複数の開口を通って複数の検出器8012に結像する。なお、検出器8012の前に配置された第2のマルチ開口板8011の複数の開口と、第1のマルチ開口板8003の複数の開口8003’とは位置関係が一対一に対応している。
各検出器8012は、検出した二次電子線を、その強度を表す電気信号へ変換する。こうした各検出器から出力された電気信号は増幅器8013によってそれぞれ増幅された後、画像処理部8014によって受信され、画像データへ変換される。画像処理部8014には、一次電子線を偏向させるための走査信号が更に供給されるので、画像処理部8014は試料8008の面を表す画像を表示する。この画像を標準パターンと比較することにより、試料8008の欠陥を検出することができ、また、レジストレーションにより試料8008の被測定パターンを一次光学系の光軸の近くへ移動させ、ラインスキャンすることによって線幅評価信号を取り出し、これを適宜に校正することにより、試料8008上のパターンの線幅を測定することができる。
ここで、第1のマルチ開口板8003の開口を通過した一次電子線を試料8008の面上に合焦させ、試料から放出された二次電子線を検出器8012に結像させる際、一次光学系で生じる歪み、像面湾曲及び視野非点という3つの収差による影響を最小にするよう特に配慮する必要がある。
次に、複数の一次電子線の間隔と二次光学系との関係については、一次電子線の間隔を、二次光学系の収差よりも大きい距離だけ離せば複数のビーム間のクロストロークを無くすことができる。
上記光学系では、単一の電子銃からの電子線をマルチ開口を通すことによってマルチビームとした場合について述べたが、電子銃を複数設けたり、電子銃は1個であるがカソードのエミッション領域を複数個とすることもできる。
図61は図59の対物レンズ8007に関するシュミレーションモデルである。符号8021は光軸、8022は対物レンズ8007の上部電極で0V(ボルト)、8023は高電圧が印加される対物レンズの中央電極、24はアース電圧とされる対物レンズの下部電極であり、試料面25は、−4000Vとした。8026、8027、8028は、電極を保持する絶縁物スペーサを示す。縮小レンズ8005が作るクロスオーバの位置を種々変化させるとともに、対物レンズの中央電極を変化させて、z=0mmにあるマルチビームの像を試料面8025に合焦させ、そのときに生じる収差を計算した。
図62は、上記シミュレーションの結果を示グラフである。図62は、変化させたクロスオーバ位置(mm)を横軸とし、それに対応して生じた収差の値を縦軸に示す。中央電極8023(図61)の上面は、z=144mmとした。またマルチビームのr位置は50μm、開口半角は5mradとした。
図62のグラフにおいて、曲線8031はコマ収差、8032は倍率色収差、8033は非点収差、8034は軸上色収差、8035は像面湾曲、8036は歪、8037はボケである。マルチビームが光軸を中心とする円周上にある場合は、像面湾曲8035は0であるからボケ8037は、実質的に倍率色収差8032と軸上色収差8034で決る。ここで電子銃のエネルギー幅は5eVとした。クロスオーバ位置を140mmとした時、倍率色収差8032はほぼ問題ない値に小さくなっている。即ち、このシミュレーションによれば、前段レンズが作るクロスオーバ位置を、対物レンズ中央電極位置(144mm)よりも電子銃側に形成する様にすれば良いことがわかる。
図59の実施例25の電子線装置8000は、図12及び図13の半導体デバイス製造工程のウエハの評価を行うために使用可能である。図12のウエハ検査工程において、図59〜図62の電子線装置を用いた場合、微細なパターンを有する半導体デバイスでも、スループットよく検査できるので、全数検査が可能となり、製品の歩留向上、欠陥製品の出荷防止が可能となる。
図59の実施例25の電子線装置8000は、次の作用効果を奏する。
(1)マルチビームを使うことにより電子線によるウエハ等の評価を高スループット化できる。
(2)マルチビームを配置する半径を大きくした時に問題となる倍率の色収差を問題ないレベル迄小さくする事ができる。
図64は、本発明の電子線装置に使用可能な電子ビーム偏向器90の詳細な構造を示す水平断面図である。図65は図64のA−A線に沿う側面図である。図64に示すように、電子ビーム偏向器90は、写像投影光学部の光軸に垂直な平面内において、電界と磁界とを直交させた構造、即ちE×B構造である。ここで電界Eは、凹面状の曲面を持つ電極90a、90bにより発生される。電極90a、90bが発生する電界は、それぞれ制御部93a及び93bにより制御される。一方、電界発生用の電極90a及び90bと直交するように、電磁コイル91a及び91bを配置させ、磁界を発生させる。電界発生用の電極90a及び90bは、点対称(同心円型)である。
磁界の均一性を向上させるために、平行平板形状を有するポールピースを持たせて磁路を形成する。A−A線に沿う縦断面における電子ビームの挙動は、図65に示される。照射された電子ビーム91a及び91bは、電極90a及び90bが発生する電界と、電磁コイル91a及び91bが発生する磁界とによって偏向された後、試料表面に対し垂直方向に入射する。
電子ビーム91a及び91bの電子ビーム偏向部90への入射位置及び角度は、電子のエネルギーが決定されると一義的に決定される。更に二次電子92a及び92bが直進するように、電界及び磁界の条件、即ちevB=eEとなるように、電極90a及び90bが発生する電界と、電磁コイル91a及び91bが発生する磁界とを、それぞれの制御部93a及び93b並びに94a及び94bが制御することで、二次電子は、電子ビーム偏向部27を直進して、写像投影光学部へ入射する。ここで、vは電子の速度(m/s)、Bは磁場(T)、eは電荷量(C)、Eは電界(V/m)である。
図66は、本発明における一次電子線の照射方法を説明するための平面図である。図66において1次電子線100は、4本の電子線101、102、103、104により形成される。それぞれの電子線は、50μm幅を走査する。1次電子線101を例に取ると、1次電子線101は、当初は左端にあり、パターン107を有する基板W(試料)上を右端へ走査され、右端へ到達後、すみやかに左端へもどり、その後、改めて右方向へ走査される。基板Wを載置するステージの移動方向は、一次電子線の走査方向に対しほぼ垂直である。
【図面の簡単な説明】
図1は、本発明の実施例1の検査装置の主要構成要素を示す立面図であって、図2の線A−Aに沿って見た図である。
図2は、図1に示す検査装置の主要構成要素の平面図であって、図1の線B−Bに沿って見た図である。
図3Aは、図1のミニエンバイロメント装置の線C−Cに沿に沿う断面図、図3Bは、別の形式のミニエンバイロメント装置の側面図である。
図4は、図1のローダハウジングを示す図であって、図1の線D−Dに沿って見た図である。
図5A及び図5Bは、ウエハラックの拡大図であって、図5Aは側面図で、図5Bは図5Aの線E−Eに沿って見た断面図である。
図6A及び図6Bは主ハウジングの支持方法の第1及び第2の変形例を示す図である。
図7は、図1の検査装置に用いられる本発明の実施例2の電子光学装置の概略構成を示す配置図である。
図8は、図7の電子光学装置の一次光学系に使用されているマルチ開口板の開口の位置関係を示す図である。
図9は、電位印加機構を示す図である。
図10A及び図10Bは、電子ビームキャリブレーション機構を説明する図であって、図10Aは側面図であり、図10Bは平面図である。
図11は、ウエハのアライメント制御装置の概略説明図である。
図12は、本発明による半導体デバイスの製造方法の一実施例を示すフローチャートである。
図13は、図12のウエハプロセッシング工程の中核をなすリソグラフィー工程を示すフローチャートである。
図14Aは、本発明の実施例3の電子線装置の光学系の概略を示す図、図14Bは試料面上の複数ビームによる像を示す拡大図である。
図15は、本発明の実施例3の2次光学系と開口角を示した図。
図16は、試料面10での収差と開口半角αiとの関係を示す図。
図17Aは、マルチエミッタの平面図、図17Bは図17Aの線17B−17Bに沿う断面図。
図18A及び図18Bは、従来の荷電ビーム装置の真空チャンバ及びXYステージを示す図であって、図18Aは正面図、図18Bは側面図である。
図19は、図18A及び図18BのXYステージに使用される排気機構の概略斜視図である。
図20A及び図20Bは本発明の実施例4の荷電ビーム装置の真空チャンバ及びXYステージを示す正面図及び側面図である。
図21は、本発明の実施例5の荷電ビーム装置の真空チャンバ及びXYステージを示す断面図である。
図22は、本発明の実施例6の荷電ビーム装置の真空チャンバ及びXYステージを示す断面図である。
図23は、本発明の実施例7の荷電ビーム装置の真空チャンバ及びXYステージを示す図である。
図24は、本発明の実施例8の荷電ビーム装置の真空チャンバ及びXYステージを示す図である。
図25は、図18乃至図24の実施例の鏡筒に設けられる本発明の実施例9の光学系及び検出系を示す概略配置図である。
図26は、本発明の実施例10の欠陥検査装置の概略構成図である。
図27は、図26の欠陥検査装置で取得される複数の被検査画像及び基準画像の例を示す図である。
図28は、図26の欠陥検査装置によるウェーハ検査のメインルーチンの流れを示すフローチャートである。
図29は、図28のフローチャートの複数の被検査画像データ取得工程(ステップ3304)のサブルーチンの詳細な流れを示すフローチャートである。
図30は、図28における比較工程(ステップ308)のサブルーチンの詳細な流れを示すフローチャートである。
図31は、図26の欠陥検査装置の検出器の具体的構成例を示す図である。
図32は、半導体ウェーハの表面上で部分的に重なり合いながら互いから位置がずらされた複数の被検査領域を概念的に示す図である。
図33は、本発明の実施例11の欠陥検査装置を構成する走査型電子線装置の構成図である。
図34は、本発明の実施例12の電子線装置の主要エレメントを示す配置図である。
図35Aは、図34の装置の開口板の平面図、図35B及び図35Cは開口の配置を示す平面図である。
図36は、図34の電子線装置による試料面上に形成される1次電子線照射点の配置を示す図である。
図37は、本発明の実施例13の電子線装置の概略構成図である。
図38は、本発明の実施例14の電子線装置の光学系を示す概略配置図である。
図39は、図38の電子線装置に使用されるマルチ開口板の例を示す平面図である。
図40は、図38の電子線装置に使用される検出器開口板の例を示す平面図である。
図41A及び図41Bは、図38の電子線装置に使用されるマルチ開口板の他の例を示す平面図である。
図42は、本発明の実施例15の電子線装置の光学系示す配置図である。
図43は、図42の電子線装置の光学系を、2行複数列にウェハ上で並列して配置した状態を示す平面図である。
図44Aは本発明の実施例16の電子線装置の概略配置図であり、図44Bはマルチ開口板の開口を示す平面図であり、図44Cは対物レンズに電圧を印加する構造を示す配置図である。
図45は、図45Aは対物レンズに印加する電圧と電気信号の立上り幅との関係を示すグラフであり、図45Bは電気信号の立上り幅を説明するためのグラフである。
図46は、本発明の実施例17の電子線装置の光学系の概略配置図である。
図47は、本発明の図46の電子線装置の第一の開口板及び第二の開口板におけるそれぞれの開口の配置を示した平面図である。
図48は、本発明の実施例18の電子線装置の概略配置図である。
図49は、図48の電子線装置の一次光学系に使用されているマルチ開口板の開口の位置関係を示す平面図である。
図50Aはチャージアップの評価場所と評価方法を説明する図であり、図50Bは信号強度のコントラストを比較するための図である。
図51は、本発明の実施例19のE×B分離器の光軸に直交する断面図である。
図52は、本発明の実施例20のE×B分離器の光軸に直交する断面図である。
図53Aは図51又は図52のE×B分離器を用いることが可能な本発明の実施例21のウエハの欠陥検査装置の概略配置図、図53Bはマルチ開口板の開口の位置関係を示す図である。
図54は、従来例のE×Bエネルギ・フィルタの構成を示す説明図である。
図55は、本発明の実施例22の荷電ビーム装置の真空チャンバ及びXYステージを示す断面図である。
図56は、図55の荷電ビーム装置に設けた作動排気機構の1例を示す図である。
図57は、図55の荷電ビーム装置のガスの循環配管系を示す図である。
図58は、本発明の実施例23の荷電ビーム装置の光学系及び検出系を示す概略配置図である。
図59は、本発明の電子線装置の概略配置図である。
図60は、図58の電子線装置で用いられる開口板の平面図である。
図61は、本発明の電子線装置の対物レンズのシュミレーションを示す図である。
図62は、図61のシュミレーションにおける結果を示すグラフである。
図63は、検査の手順を示す検査フロー図である。
図64は、電子ビーム偏向器を示す水平断面図である。
図65は、電子ビーム偏向器におけるビームの偏向状態を示す側面図である。
図66は、本発明における一次電子線の照射方法を説明するための平面図である。
Technical field
The present invention relates to an inspection apparatus for inspecting a defect or the like of a pattern formed on a surface of an inspection object using a plurality of electron beams, and more particularly, to an inspection apparatus for detecting a defect of a wafer in a semiconductor manufacturing process. Irradiates the object to be inspected, captures secondary electrons that change according to the surface properties of the surface, forms image data, and inspects patterns and the like formed on the surface of the inspection object based on the image data at high throughput. The present invention relates to an inspection apparatus that performs inspection and a device manufacturing method for manufacturing a device with high yield using such an inspection apparatus.
The present invention relates to a charged particle beam apparatus that irradiates a sample with a charged particle beam and detects secondary charged particles generated from an irradiation point of the sample, and a device manufacturing method for performing a defect inspection of a device using the apparatus.
The present invention relates to an apparatus for irradiating a sample placed on an XY stage with a charged beam, a defect inspection apparatus or an exposure apparatus using the apparatus, and further relates to a semiconductor manufacturing method using the apparatus.
The present invention relates to a defect inspection apparatus and method for inspecting a defect of a sample by comparing an image of the sample such as a semiconductor wafer with a reference image prepared in advance, and a semiconductor using such a defect inspection apparatus. The present invention relates to a method for manufacturing a device.
The present invention relates to an electron beam apparatus for performing various inspections on a sample by irradiating the sample with an electron beam and measuring a secondary electron beam from the irradiation point, and in particular, is formed on a semiconductor wafer. The present invention relates to an electron beam apparatus for performing a defect inspection, CD (critical dimension) measurement, alignment accuracy measurement, potential measurement, and the like on a pattern of an integrated circuit having a minimum line width of 0.1 μm or less with high throughput.
According to the present invention, a plurality of aperture images obtained by irradiating an electron beam emitted from an electron gun onto an aperture plate having a plurality of apertures are made incident on a sample, and secondary electrons emitted from the sample are emitted from a primary optical system. An electron beam device that separates and enters a secondary optical system, magnifies the secondary optical system and projects an image on a detector surface, and evaluates a wafer during a manufacturing process using the electron beam device. And a device manufacturing method.
The present invention relates to an electron beam apparatus and a high-throughput electron beam apparatus for performing defect inspection, line width measurement, alignment accuracy measurement, potential measurement, high-speed operation analysis during device operation, and the like of a pattern having a minimum line width of 0.1 μm or less. The present invention relates to a device manufacturing method for improving a yield by evaluating a wafer in the middle of a process using the same.
The present invention relates to an electron beam apparatus and a method for manufacturing a device using the electron beam apparatus. More specifically, the present invention relates to a defect inspection, a line width measurement, an alignment accuracy measurement, and a surface inspection of a sample having a device pattern having a minimum line width of 0.1 μm or less. Electron beam apparatus capable of performing potential measurement or high-precision time resolution measurement with high throughput and high reliability, and a method of manufacturing a device capable of improving a yield rate by evaluating a wafer in the process using the electron beam apparatus About.
SUMMARY OF THE INVENTION An object of the present invention is to provide an electron beam apparatus capable of performing electro-optical focusing in a short time, and a semiconductor device manufacturing method using the apparatus.
The present invention relates to an electron beam apparatus and a method of manufacturing a device using the electron beam apparatus. More specifically, the present invention can perform defect inspection of a sample having a device pattern having a minimum line width of 0.1 μm or less with high throughput and high reliability. The present invention relates to an electron beam apparatus and a method for manufacturing a device capable of improving a yield by evaluating a wafer during a process using the electron beam apparatus.
The present invention relates to an electron beam apparatus for evaluating a pattern or the like formed on the surface of a sample and a device manufacturing method for evaluating a sample during or after a process using the electron beam apparatus. An electron beam apparatus capable of performing high-throughput and high-reliability evaluation of defect inspection, CD measurement, potential contrast measurement, and high-time-resolved potential measurement of a device or the like on a sample having a pattern of 1 μm or less; The present invention relates to a device manufacturing method for evaluating a sample during or after a process using such an electron beam apparatus.
The present invention relates to an ExB separator and a semiconductor wafer inspection apparatus using the ExB separator. More specifically, an E × B separator capable of increasing the area around the optical axis where a uniform magnetic field intensity and a uniform electric field intensity can be obtained, and a semiconductor device using the E × B separator The present invention relates to an inspection apparatus capable of performing a wafer defect inspection, a pattern line width measurement, a pattern overlay accuracy measurement, a potential measurement with high time resolution, and the like with high throughput and high reliability.
The present invention relates to an apparatus for irradiating a sample placed on an XY stage with a charged beam, and more particularly, to a charged beam provided with a differential pumping mechanism around a lens barrel without providing a differential pumping mechanism on the XY stage. The present invention relates to an apparatus and a defect inspection apparatus or an exposure apparatus using the apparatus, and further relates to a semiconductor manufacturing method using the apparatus.
The present invention relates to an apparatus for evaluating a wafer or the like on which a pattern with a minimum line width of 0.1 μm or less is formed with high throughput and high reliability, and also relates to a method for manufacturing a device with high yield using such an apparatus.
In the semiconductor process, the design rule is approaching the era of 100 nm, and the production form is shifting from small-kind mass production represented by DRAM to multi-kind small production such as SOC (Silicon on chip). As a result, the number of manufacturing steps increases, and it is essential to improve the yield for each step, and defect inspection due to the process becomes important. The present invention relates to an apparatus used for inspecting a wafer after each step in a semiconductor process, and relates to an inspection method and an apparatus using an electron beam or a device manufacturing method using the same.
Background art
As for the prior art of the inspection apparatus related to the present invention, an apparatus using a scanning electron microscope (SEM) is already commercially available. This device scans a narrowly focused electron beam with a very narrow raster width, detects secondary electrons emitted from the inspection object with the scanning by a secondary electron detector, and forms an SEM image. The defect is extracted by comparing the SEM images of the same locations of different dies.
Many proposals have been made to improve the throughput by using a plurality of electron beams, that is, multi-beams.However, the disclosed methods are to explain how to make a multi-beam and how to make a multi-beam. No device has been completed that completes the entire defect inspection device as a system.
A scanning electron microscope is used for detecting a defect in a mask pattern for manufacturing a semiconductor device or a pattern formed on a semiconductor wafer. The scanning electron microscope scans the surface of a sample with a single narrowly focused electron beam and detects secondary electrons emitted from the sample. Therefore, it takes a long time to inspect the entire sample. In order to solve such a problem, electrons from a plurality of electron sources are imaged on a sample surface through a deceleration electric field lens and scanned, and secondary electrons emitted from the sample surface are deflected by a Wien filter, There has been proposed an idea that leads to a plurality of detectors (see Japanese Journal of Applied Physics, Vol. 28, No. 10, October, 1989, pp. 2058-2064).
A device that exposes the surface of a sample such as a semiconductor wafer or the like to a pattern such as a semiconductor circuit by irradiating a charged beam such as an electron beam or inspects a pattern formed on the surface of the sample, or a charged beam. In a device that performs ultra-precision processing on a sample by irradiating the sample, a stage that accurately positions the sample in a vacuum is used.
When very high precision positioning is required for such a stage, a structure in which the stage is supported in a non-contact manner by a hydrostatic bearing is employed. In this case, the degree of vacuum in the vacuum chamber is maintained by forming a differential exhaust mechanism for exhausting the high-pressure gas in the range of the static pressure bearing so that the high-pressure gas supplied from the static pressure bearing is not directly exhausted to the vacuum chamber. Is done.
One example of a prior art stage is shown in FIG. 18AB. In FIG. 18AB, a distal end portion of a lens barrel 2001 of a charged beam apparatus that generates a charged beam and irradiates the sample, that is, a charged beam irradiation unit 2002 is attached to a housing 2008 configuring the vacuum chamber C. The inside of the lens barrel is evacuated by a vacuum pipe 2010, and the chamber C is evacuated by a vacuum pipe 2011. The charged beam is emitted from the tip 2002 of the lens barrel 2001 to the sample S such as a wafer placed thereunder.
The sample S is detachably held on the sample stage 2004. The sample stage 2004 is attached to the upper surface of a Y-direction movable section 2005 of an XY stage (hereinafter simply referred to as a stage) 2003. The Y-direction movable section 2005 is slidably disposed on the X-direction movable section 2006, and the X-direction movable section 2006 is slidably disposed on the stage table 2007.
A plurality of static pressure bearings 2009a are attached to the Y-direction movable portion 2005 on surfaces (both left and right sides and a lower surface in FIG. 18A) facing the guide surface 6a of the X-direction movable portion 2006, and the guide surfaces 2006a and Can be moved in the Y direction (the left-right direction in FIG. 18B) while maintaining a small gap therebetween. Similarly, a plurality of static pressure bearings 2009b are attached to the X-direction movable portion 2006, and can move in the X-direction (the left-right direction in FIG. 18A) while maintaining a small gap between the static-pressure bearing 2009b and the guide surface 2007a.
Further, a differential pumping mechanism is provided around the static pressure bearing so that the high-pressure gas supplied to the static pressure bearing does not leak into the vacuum chamber C. This is shown in FIG. Double grooves 2018 and 2017 are formed around the static pressure bearing 2009, and these grooves are constantly evacuated by a vacuum pipe and a vacuum pump (not shown). With such a structure, the Y-direction movable portion 2005 is supported in a non-contact state in a vacuum and can move freely in the Y-direction. These double grooves 2018 and 2017 are formed on the surface of the movable portion 2005 on which the static pressure bearing 2009 is provided so as to surround the static pressure bearing. Since the structure of the static pressure bearing may be a known structure, a detailed description thereof will be omitted.
The X-direction movable portion 2006 on which the Y-direction movable portion 2005 is mounted has a concave shape that is open upward as shown in FIG. 18AB, and has the same static pressure bearing and groove as the Y-direction movable portion 2005. And is supported in a non-contact manner with respect to the stage table 2007, and can freely move in the X direction. By combining the movement of the Y-direction movable unit 2005 and the X-direction movable unit 2006, the sample S is moved to an arbitrary position in the horizontal direction with respect to the tip of the lens barrel, that is, the charged beam irradiation unit 2002, and the charged beam is moved to a desired position of the sample. Can be irradiated.
2. Description of the Related Art Conventionally, a defect inspection apparatus for inspecting a defect of a sample such as a semiconductor wafer by irradiating the sample with primary electrons to detect a secondary electron has been used in a semiconductor manufacturing process or the like. As such a defect inspection apparatus, there is a technique for applying an image recognition technology to automate and improve the efficiency of the defect inspection. In this technique, pattern image data of a region to be inspected on a sample surface obtained by detecting secondary electrons and reference image data of a sample surface stored in advance are matched by a computer, and based on the calculation result, The presence or absence of a defect in the sample is automatically determined.
In recent years, particularly in the field of semiconductor manufacturing, patterns have become increasingly finer, and the need to detect minute defects has increased. Under such circumstances, further improvement in recognition accuracy is also required in a defect inspection apparatus to which the above-described image recognition technology is applied.
Conventionally, a method of continuously moving a sample stage and scanning an electron beam in a direction perpendicular to the moving direction is known (JP-A-10-134575). Also, a method of irradiating and scanning a primary electron beam in an oblique direction with respect to the sample surface, but in a two-dimensional but uniaxial direction on the sample surface, at an equal interval is known in the art. is there. It is also known to divide an electron from each of a plurality of electron guns into a plurality of parts, scan each beam in one direction, and continuously move a sample table in a direction perpendicular to the direction to perform an inspection or the like.
2. Description of the Related Art As an electron beam apparatus used for defect inspection of a mask pattern for manufacturing a semiconductor device or a pattern formed on a semiconductor wafer, an electron beam emitted from a single electron gun is irradiated to an aperture plate having a plurality of apertures. 2. Description of the Related Art An electron beam apparatus for inspecting a pattern for defects on a sample by projecting a plurality of aperture images onto a sample and projecting secondary electrons emitted from the sample onto a detector surface using a secondary optical system is known. It is.
However, the above-mentioned conventional device does not consider the angle dependence of the electron beam emitted from the electron gun, and treats the intensity of the electron beam as being uniform regardless of the irradiation angle. That is, the electron beam emitted from the electron gun emits a high-brightness electron beam in the direction of the optical axis, but does not consider the problem that the brightness (intensity) of the electron beam gradually decreases as the distance from the optical axis increases.
The detection rate of secondary electrons emitted from the sample is high for secondary electrons emitted near the optical axis, but low for secondary electrons emitted from a position away from the optical axis. However, the conventional electron beam apparatus described above does not consider such a problem.
2. Description of the Related Art An electron beam apparatus using a plurality of electron beams for performing a defect inspection and a line width measurement in a circuit having a fine circuit pattern such as a super LSI circuit is known. Such an electron beam apparatus using a multi-beam requires a great deal of time when a single electron beam is used for creating and inspecting a fine circuit pattern. In order to solve the conventional disadvantage that did not give a satisfactory throughput. was suggested.
In relation to such a multi-beam electron beam apparatus, for example, in an electron beam apparatus in which a large number of electron emitters are arranged in a matrix, the distance between detectors of reflected electrons or secondary electrons is extremely small, so that adjacent irradiation areas It is known to dispose a perforated mask between the sample surface and the detection surface in order to solve the drawback that reflected electrons or secondary electrons are likely to jump in from the sensor and the detection accuracy cannot be increased.
Further, when scanning a pattern on a sample with one electron beam to inspect a defect of about 0.1 micron in the pattern, it takes a long time to scan, so that a disadvantage that throughput is reduced is solved. There is also known an electron beam apparatus in which a plurality of electron beams are formed by irradiating a mask having a plurality of openings with an electron beam emitted from an electron gun.
In the case of performing a defect inspection or the like of a sample having a device pattern with a minimum line width of 0.1 μm or less, the optical method has reached its limit in terms of resolution due to diffraction of light, and therefore, an inspection / evaluation apparatus using an electron beam. Has been proposed. When an electron beam is used, the resolution is improved, but the throughput is extremely reduced, so that there is a problem from the viewpoint of productivity. In order to improve productivity, an electron beam apparatus using a multi-beam, that is, an electron beam emitted from a single electron gun is applied to a plurality of openings, and the electron beam passing through those openings is used to irradiate the surface of a sample (hereinafter referred to as a sample). An electron beam apparatus that scans a surface (referred to as a surface) and guides secondary electrons generated from each image to a plurality of detectors to inspect a sample is already known.
When the pattern formed on the surface of a sample such as a semiconductor wafer is evaluated with high accuracy using the result of scanning by an electron beam, it is necessary to consider a change in the height of the sample. This is because the distance between the pattern on the surface of the sample and the objective lens that focuses the electron beam on the pattern changes due to the height of the sample, and the resolution deteriorates due to the shift of the focusing condition. This is because it is not possible to make an evaluation.
In order to solve this problem, light is obliquely incident on the sample surface, the reflected light is used to measure the height of the sample, and the measurement result is used to focus the electron beam on the sample. An electron beam apparatus that focuses the electron optical system by controlling the current and voltage supplied to the components of the electron optical system by feeding back the electron beam has been proposed.
However, in the method in which light is obliquely incident on the sample, an optical component mainly composed of an insulator for reflecting incident light is arranged in a space between the sample surface and the lower surface of the electron optical system. There must be. For this purpose, it is necessary to increase the distance between the sample surface and the lower surface of the electron optical system more than necessary. On the other hand, if the distance is increased, problems such as aberration of the electron optical system cannot be ignored. Therefore, it is necessary to simultaneously focus the electron optical system and eliminate problems such as aberrations of the electron optical system, but such a method has not yet been proposed.
In addition, focusing of the electron optical system needs to be performed in consideration of not only the distance between the sample surface and the lower surface of the electron optical system, but also the charge state on the sample surface and the space charge effect of the electron beam. Therefore, if parameters related to focusing of the electron optical system are not measured optically, an error may occur.
Furthermore, when performing focusing by adjusting the exciting current of the magnetic lens included in the electron optical system, the time from setting this exciting current to a predetermined value until the focal length of the electron optical system is stably determined, that is, Since it is necessary to take a long settling time, there is a problem that it is difficult to perform focusing at high speed. In addition, when focusing the electron optical system by changing the excitation voltage of the electrostatic lens, the high voltage applied to the electrostatic lens must be changed. Was. Furthermore, the evaluation using an electron beam has a problem that the throughput is low.
The present invention has been proposed to solve the above-described various problems, and an object of the present invention is to provide an electron beam apparatus capable of performing electro-optical focusing in a short time in an electro-optical system. An object of the present invention is to provide a method for manufacturing a semiconductor device using an apparatus.
In the case of performing a defect inspection or the like of a sample having a device pattern with a minimum line width of 0.1 μm or less, the optical method has reached its limit in terms of resolution due to diffraction of light, and therefore, an inspection / evaluation apparatus using an electron beam. Has been proposed. When an electron beam is used, the resolution is improved, but the throughput is extremely reduced, so that there is a problem from the viewpoint of productivity. An electron beam device using a multi-beam to improve productivity, i.e., irradiating an electron beam emitted from a single electron gun to a plurality of openings, scanning the sample with the electron beam passing through those openings, An electron beam apparatus for inspecting a sample by guiding secondary electrons from an image to a plurality of detectors without crosstalk from each other has been filed.
Various techniques have been reported for an apparatus for observing and evaluating a sample containing an insulating material. Among these technologies, regarding the scanning electron microscope, the charge-up state is evaluated by measuring the beam current of the primary beam, the absorption current to the sample, the amount of reflected electrons from the irradiation device, and the amount of secondary electrons emitted. A device having a charge-up detection function is known.
2. Description of the Related Art Conventionally, an E × B type energy filter that performs energy analysis by causing charged particles to travel straight in a direction orthogonal to an electric field and a magnetic field in an orthogonal field in which an electric field and a magnetic field are orthogonal to each other is known. This filter cancels out the deflecting action of the electron beam by the electric field by the deflecting action of the electron beam by the magnetic field, so that only the charged electrons having a specific energy in the electron beam travel straight.
The configuration shown in FIG. 4 has been proposed as such an E × B type energy filter. In FIG. 4, pole pieces 1 and 1 'are held at ground potential, and electrodes 2 and 2' are electrodes. A voltage + V is applied to the electrode 2 and a voltage -V is applied to the electrode 2 ', and these voltages have the same absolute value and are variable. The charged electrons travel in a direction perpendicular to both the electric and magnetic fields, that is, in a direction perpendicular to the drawing surface.
A device that exposes the surface of a sample such as a semiconductor wafer or the like to a pattern such as a semiconductor circuit by irradiating a charged beam such as an electron beam or inspects a pattern formed on the surface of the sample, or a charged beam. In a device that performs ultra-precision processing on a sample by irradiating the sample, a stage that accurately positions the sample in a vacuum is used.
When very high-precision positioning is required for such a stage, a structure is employed in which the stage is supported in a non-contact manner by a hydrostatic bearing. In this case, the vacuum degree of the vacuum chamber is maintained by forming a differential exhaust mechanism for exhausting the high-pressure gas in the range of the static pressure bearing so that the high-pressure gas supplied from the static pressure bearing is not exhausted directly to the vacuum chamber. are doing.
One example of such a prior art stage is shown in FIG. 18AB. In the stage shown in the figure, a tip of a barrel 2001 of a charged beam apparatus for generating a charged beam and irradiating the sample, that is, a charged beam irradiation unit 2002 is attached to a housing 2008 constituting the vacuum chamber C. The sample S is detachably held on the sample stage 2004. Other structures of the stage in FIG. 18AB will be described later.
A differential pumping mechanism is provided around the static pressure bearing 2009b so that high-pressure gas supplied to the static pressure bearing does not leak into the vacuum chamber C. This is shown in FIG. Double grooves 2017 and 2018 are formed around the static pressure bearing 2009b, and these grooves are constantly evacuated by a vacuum pipe and a vacuum pump (not shown). With such a structure, the Y-direction movable portion 2005 is supported in a non-contact state in a vacuum and can move freely in the Y-direction. These double grooves 2017 and 2018 are formed on the surface of the movable portion 2005 on which the static pressure bearing 2009b is provided so as to surround the static pressure bearing. By combining the movements of the Y-direction movable section 2005 and the X-direction movable section 2006, the sample S is moved to an arbitrary position in the horizontal direction with respect to the tip of the lens barrel, that is, the charged beam irradiation section 2002, and is moved to a desired position of the sample. A charged beam can be irradiated.
However, in the stage where the above-mentioned static pressure bearing and differential pumping mechanism are combined, the differential pumping mechanism is provided, so the structure is complicated and large compared with the hydrostatic bearing type stage used in the atmosphere, There is a problem that the reliability is low and the cost is high.
As a method for correcting magnification chromatic aberration and rotational chromatic aberration in an electron optical system, a method using a symmetric magnetic doublet lens is known. Since no rotational chromatic aberration occurs in the electrostatic lens system, the chromatic aberration of magnification is corrected using a symmetric doublet lens.
2. Description of the Related Art As semiconductor devices become highly integrated and patterns become finer, high-resolution and high-throughput inspection apparatuses are required. In order to examine a defect of a wafer substrate with a design rule of 100 nm, a resolution of 100 nm or less is required, and an inspection amount is increased due to an increase in the number of manufacturing processes due to high integration of devices, so that a high throughput is required. In addition, as devices become more multi-layered, inspection devices are also required to have a function of detecting a contact failure (electrical defect) of a via connecting an interlayer wiring. Currently, optical defect inspection equipment is mainly used, but in terms of resolution and contact failure inspection, defect inspection equipment that uses electron beams instead of optical defect inspection equipment will become the mainstream of inspection equipment in the future. It is expected to be. However, the electron beam type defect inspection apparatus also has a weak point, which is inferior to the optical type in terms of throughput.
For this reason, there is a demand for the development of an inspection apparatus capable of detecting electrical defects with high resolution and high throughput. It is said that the resolution in the optical system is limited to 1/2 of the wavelength of the light used, and is about 0.2 μm in the case of practically used visible light.
On the other hand, in the method using an electron beam, a normal scanning electron beam method (SEM method) is practically used, and the resolution is 0.1 μm and the inspection time is 8 hours / sheet (20 cm wafer). An important feature of the electron beam method is that it can also inspect for electrical defects (such as disconnection of wiring, poor conduction, and poor conduction of vias). However, since the inspection time is very slow, development of a defect inspection apparatus having a high inspection speed is expected.
In general, inspection equipment is expensive and throughput is lower than other processing equipment, so it is currently used after important processes, such as after etching, film formation, or CMP (chemical mechanical polishing) planarization. ing.
A scanning (SEM) inspection apparatus using an electron beam will be described. The SEM type inspection apparatus irradiates the sample in a line by scanning the electron beam while narrowing the electron beam (this beam diameter corresponds to the resolution). On the other hand, the observation region is irradiated with the electron beam in a planar shape by moving the stage in a direction perpendicular to the scanning direction of the electron beam. The scanning width of the electron beam is generally several 100 μm. A detector (scintillator + photomultiplier (photomultiplier) or a semiconductor type detector (PIN diode type)) detects secondary electrons from the sample generated by irradiation of the narrowed electron beam (referred to as primary electron beam). Etc.).
The coordinates of the irradiation position and the amount of secondary electrons (signal intensity) are combined to form an image and stored in a storage device, or an image is output on a CRT (CRT). The above is the principle of a scanning electron microscope (SEM), and a defect of a semiconductor (usually Si) wafer in the process is detected from an image obtained by this method. The inspection speed (corresponding to the throughput) is determined by the amount (current value) of the primary electron beam, the beam diameter, and the response speed of the detector. A beam diameter of 0.1 μm (may be considered the same as the resolution), a current value of 100 nA, and a detector response speed of 100 MHz are the current maximum values. In this case, the inspection speed is said to be about 8 hours per 20 cm diameter wafer. ing. In this case, the inspection speed is said to be about 8 hours per 20 cm diameter wafer. The fact that the inspection speed is extremely slow (less than 1/20) as compared to light is a major problem (defect).
On the other hand, an SEM (multi-beam SEM) using a plurality of electron beams is known as a method for improving the inspection speed, which is a drawback of the SEM method. This method can increase the inspection speed by the number of electron beams, but it obliquely enters multiple electron beams and extracts multiple secondary electron beams from the sample in an oblique direction. The detector also picks up only those emitted obliquely, and it is difficult to separate the secondary electrons from multiple electron beams because the image has shadows and the secondary electron signal is There is a problem of intermixing.
Summary of the Invention
In the defect inspection apparatus to which the SEM is applied, since the beam size is small, the pixel size is naturally small, and the raster width is small, much time is required for the defect inspection. In addition, if the beam current is increased in order to increase the throughput, the wafer having an insulator on the surface is charged and a good SEM image cannot be obtained.
In addition, in the device using the multi-beam, not only the electron optical system but also the entire configuration of the device is unknown, and the interaction between the electron optical system and other subsystems has hardly been clarified until now. Was. Further, the diameter of a wafer to be inspected has been increased, and there has been a demand for a subsystem to be able to cope with the increase.
The present invention has been made in view of the above problems, and one problem to be solved by the present invention is to use an electron optical system using a multi-beam and to configure the electron optical system and an inspection device. It is an object of the present invention to provide an inspection apparatus in which the throughput is improved in harmony with other constituent devices.
Another object to be solved by the present invention is to provide an inspection apparatus capable of solving an electrification problem which has been a problem in SEM and capable of inspecting an inspection object with high accuracy.
Still another object of the present invention is to provide a device manufacturing method with a good yield by performing an inspection of an inspection object such as a wafer using the inspection apparatus as described above.
The present invention provides an apparatus that irradiates an inspection target on which a pattern is formed with an electron beam and inspects the pattern of the inspection target. This inspection apparatus includes an electron source, an objective lens, an E × B separator, and at least one stage of magnifying lens, forms a plurality of primary electron beams, irradiates the object to be inspected, and irradiates the primary electron beam. The emitted secondary electrons are accelerated by the objective lens, separated by the E × B separator, and a secondary electron image is projected by the at least one-stage magnifying lens. An inspection apparatus that further detects a secondary electron image projected by the electron optical system, a stage device that holds the inspection target and relatively moves with respect to the electron optical system, and the stage device A working chamber that accommodates and can be controlled in a vacuum atmosphere, a loader that supplies an inspection target onto the stage device in the working chamber, and a loader that is disposed in the working chamber, A potential application mechanism for applying a potential; and an alignment control device for controlling alignment by observing the surface of the inspection object for positioning the inspection object with respect to the electron optical system. The vacuum chamber is supported via a vibration isolation device that isolates vibration from the floor.
In the above-described inspection apparatus, the loader may be configured to independently control the atmosphere between a first loading chamber and a second loading chamber, and the inspection object may be placed between the inside and outside of the first loading chamber. And a second transfer unit provided in the second loading chamber for transferring the inspection target between the inside of the first loading chamber and the stage device. The inspection apparatus may further include a partitioned mini-environment space for supplying an inspection object to the loader.
The apparatus may further include a laser interferometer for detecting coordinates of the inspection target on the stage device, and the alignment control device may determine coordinates of the inspection target using a pattern present on the inspection target. In this case, the positioning of the inspection target may include a coarse positioning performed in the mini-environment space and an XY direction positioning and a rotation direction positioning performed on the stage device. Another invention of the present application is a device manufacturing method for detecting a defect in a wafer during or after a process using an inspection apparatus.
The conventional device cannot prevent crosstalk between a plurality of electron beams and cannot efficiently detect secondary electrons from the sample surface. An object of the present invention is to provide a charged particle beam apparatus that can prevent crosstalk and efficiently guide emitted secondary electrons to a detector.
The charged particle beam apparatus 1000 of the present invention includes at least one or more primary optical systems that irradiate a sample with a plurality of primary charged particle beams, and at least one or more secondary optical systems that guide secondary charged particles to at least one or more detectors. A secondary optical system, wherein the plurality of primary charged particle beams are applied to positions separated from each other by a distance resolution of the secondary optical system. Further, the primary optical system is provided with a function of scanning the primary particle beam at intervals wider than the irradiation interval of the primary charged particle beam.
In the stage shown in FIG. 18A or B in which the above-described hydrostatic bearing and differential pumping mechanism are combined, when the stage moves, the guide surfaces 2006a and 2007a facing the hydrostatic bearing 2009 are connected to the high-pressure gas atmosphere of the hydrostatic bearing. Reciprocate between vacuum environments in the chamber. At this time, on the guide surface, a state in which the gas is adsorbed while being exposed to the high-pressure gas atmosphere and the adsorbed gas is released when exposed to the vacuum environment is repeated. For this reason, every time the stage moves, a phenomenon occurs in which the degree of vacuum in the chamber C is deteriorated, and the exposure, inspection, processing, and the like using the above-described charged beam cannot be performed stably, and the sample is contaminated. There was a problem.
One problem to be solved by the present invention is to provide a charged beam device capable of preventing a decrease in the degree of vacuum and stably performing a process such as inspection or processing using a charged beam. Another problem to be solved by the present invention is to provide a non-contact support mechanism using a static pressure bearing and a vacuum seal mechanism using a differential exhaust, and to provide a pressure difference between a charged beam irradiation area and a support section of the static pressure bearing. Is to provide a charged beam device that generates the following.
Another problem to be solved by the present invention is to provide a charged beam device in which gas released from the component surface facing the hydrostatic bearing is reduced. Still another object of the present invention is to provide a defect inspection apparatus for inspecting a sample surface using the above charged beam apparatus, or an exposure apparatus for drawing a pattern on the surface of the sample.
Still another object of the present invention is to provide a semiconductor manufacturing method for manufacturing a semiconductor device using the above charged beam device.
The present invention provides an apparatus 2000 for placing a sample on an XY stage, moving the sample to an arbitrary position in a vacuum, and irradiating the sample surface with a charged beam. In this apparatus, the XY stage is provided with a non-contact support mechanism using a static pressure bearing and a vacuum sealing mechanism using a differential pump, and a portion on the sample surface where the charged beam is irradiated, and a static pressure of the XY stage. A partition having a small conductance is provided between the bearing and the bearing support so that a pressure difference is generated between the charged beam irradiation area and the hydrostatic bearing support.
According to the present invention, the non-contact support mechanism using the static pressure bearing is applied to the support mechanism of the XY stage on which the sample is mounted, and the static pressure is applied so that the high-pressure gas used for the static pressure bearing does not enter the vacuum chamber. By providing a vacuum seal mechanism by operating exhaust around the bearing, the stage device can exhibit high-precision positioning performance in a vacuum, and further, a partition for reducing conductance between the stage device and the charged beam irradiation position 2100 is provided. By the formation, even if the gas adsorbed on the slide portion surface is released each time the slide portion of the stage moves from the high-pressure gas portion to the vacuum environment, the released gas does not easily reach the charged beam irradiation position. Therefore, the pressure at the charged beam irradiation position does not easily rise. In other words, by adopting the above configuration, the degree of vacuum at the charged beam irradiation position on the sample surface can be stabilized, and the stage can be driven with high precision. Can be performed with high accuracy.
The present invention is characterized in that, in the charged beam device 2200, the partition has a built-in differential pumping structure. According to the present invention, a partition is provided between the static pressure bearing support and the charged beam irradiation area, and a vacuum exhaust path is arranged inside the partition to have a differential pumping function. It is almost impossible for the gas released from the gas to pass through the partition to the charged beam irradiation area side. Thereby, the degree of vacuum at the charged beam irradiation position can be further stabilized.
The present invention is characterized in that in the charged beam device 2300, the partition has a cold trap function. Generally 10-7In the pressure range above Pa, the main component of the residual gas in vacuum and the gas released from the material surface is water molecules. Therefore, if water molecules can be efficiently discharged, a high degree of vacuum is easily maintained stably. Therefore, if a cold trap cooled to about -100 ° C. to −200 ° C. is provided in the above-mentioned partition part, the released gas generated on the hydrostatic bearing side can be frozen and collected by the cold trap. It becomes difficult for the released gas to pass to the side, and it is easy to stably maintain the degree of vacuum in the charged beam irradiation area. It is needless to say that this cold trap is not only effective for water molecules, but also effective for removing organic gas molecules such as oils, which are factors inhibiting clean vacuum.
The present invention is characterized in that in the charged beam device 2400, the partitions are provided at two places near a charged beam irradiation position and near a static pressure bearing. According to the present invention, since the partition for reducing the conductance is formed at two places near the charged beam irradiation position and near the static pressure bearing, the inside of the vacuum chamber is charged beam irradiation chamber, static pressure bearing chamber. And three intermediate chambers through a small conductance. Then, the vacuum exhaust system is configured so that the pressure of each chamber becomes the charged beam irradiation chamber, the intermediate chamber, and the static pressure bearing chamber in ascending order.
With this configuration, even if the pressure rise due to the released gas occurs in the static pressure bearing chamber, the pressure is originally set to be high, so that the pressure fluctuation rate can be suppressed low. Therefore, the pressure fluctuation to the intermediate chamber is further suppressed by the partition, and the pressure fluctuation to the charged beam irradiation chamber is further reduced by the further partition, and the pressure fluctuation can be reduced to a level that is substantially no problem. It becomes.
The present invention is characterized in that in the charged beam device, the gas supplied to the static pressure bearing of the XY stage is dry nitrogen or a high-purity inert gas. Further, the XY stage is characterized in that at least the surface of the part facing the hydrostatic bearing is subjected to a surface treatment for reducing the emission gas. As described above, on the slide portion of the stage exposed to the high-pressure gas atmosphere in the static pressure bearing portion, gas molecules contained in the high-pressure gas are adsorbed on the surface thereof. The released gas molecules are separated from the surface and become a released gas, which deteriorates the degree of vacuum. In order to suppress the deterioration of the degree of vacuum, it is necessary to reduce the amount of adsorbed gas molecules and to quickly exhaust the adsorbed gas molecules.
For this purpose, the high-pressure gas supplied to the static pressure bearing is changed to dry nitrogen from which moisture is sufficiently removed or a high-purity inert gas (for example, high-purity nitrogen gas), and a gas component which is easily adsorbed on the surface and hardly desorbed ( It is effective to remove organic substances and moisture) from the high-pressure gas. An inert gas such as nitrogen has a remarkably low adsorption rate to the surface and a remarkably high desorption speed from the surface as compared with moisture and organic substances. Therefore, if a high-purity inert gas from which moisture and organic components are removed as much as possible is used as the high-pressure gas, even if the slide section moves from the static pressure bearing section to a vacuum environment, the amount of released gas is small and the amount of released gas is small. Since the decay is fast, deterioration of the degree of vacuum can be reduced. Therefore, it is possible to suppress a rise in pressure when the stage moves.
It is also effective to apply a surface treatment to the components of the stage, especially the surfaces of the components reciprocating between a high-pressure gas atmosphere and a vacuum environment, so as to reduce the energy of adsorption to gas molecules. As the surface treatment, when the base material is a metal, TiC (titanium carbide), TiN (titanium nitride), nickel plating, passivation treatment, electrolytic polishing, composite electrolytic polishing, glass bead shot, and the like are considered. When the material is SiC ceramic, it is possible to coat a dense SiC layer by CVD or the like. Therefore, it is possible to further suppress the rise in pressure when the stage moves.
The present invention resides in a wafer defect inspection apparatus for inspecting a defect on a semiconductor wafer surface using the above-described apparatus. In this case, it is possible to realize an inspection apparatus in which the stage positioning performance is high accuracy and the degree of vacuum in the irradiation area of the charged beam is stable, so that an inspection apparatus with high inspection performance and no risk of contaminating the sample is provided. can do.
The present invention resides in an exposure apparatus that draws a circuit pattern of a semiconductor device on a semiconductor wafer surface or a reticle using the above-described apparatus. In this case, it is possible to realize an exposure apparatus in which the positioning performance of the stage is high and the degree of vacuum in the charged beam irradiation area is stable, so that an exposure apparatus with high exposure precision and no contamination of the sample is provided. be able to.
The present invention resides in a semiconductor manufacturing method for manufacturing a semiconductor using the above-described apparatus. In this case, a fine semiconductor circuit can be formed by manufacturing a semiconductor using a device that has a high stage positioning performance and a stable degree of vacuum in the charged beam irradiation area.
In the prior art, a position shift occurs between the image of the secondary electron beam acquired by irradiating the inspection area on the sample surface with the primary electron beam and a reference image prepared in advance, thereby lowering the accuracy of defect detection. There was a problem to make it. This positional shift is a particularly serious problem when the irradiation area of the primary electron beam shifts with respect to the wafer and a part of the inspection pattern is missing from the detection image of the secondary electron beam. Optimization techniques alone cannot cope. This can be a fatal drawback, especially for inspection of high definition patterns.
SUMMARY OF THE INVENTION The present invention has been made in view of the above circumstances, and has as its object to provide a defect inspection apparatus that prevents a decrease in defect inspection accuracy due to a positional shift between an image to be inspected and a reference image. Further, the present invention provides a semiconductor manufacturing method for improving the yield of device products and preventing shipment of defective products by performing a defect inspection of a sample using the defect inspection apparatus as described above in a semiconductor device manufacturing process. Another purpose is to provide
In order to solve the above-mentioned problem, a defect inspection apparatus 3000 of the present invention is a defect inspection apparatus for inspecting a defect of a sample. A defect of the sample is obtained by comparing each of the image acquisition means to be acquired, the storage means for storing the reference image, and the plurality of images of the inspection area acquired by the image acquisition means with the reference image stored in the storage means. And a defect judging means for judging. Here, any sample that can detect defects can be selected as a sample to be inspected, but the present invention can provide excellent effects when a semiconductor wafer is targeted.
In the present invention, the image acquisition unit acquires images of a plurality of inspection regions displaced from each other while partially overlapping each other on the sample, and the defect determination unit compares the acquired images of the inspection regions with the acquired images of the inspection regions. The defect of the sample is determined by comparing with a reference image stored in advance. As described above, the present invention is capable of acquiring a plurality of images of the inspection area at different positions, so that an inspection image having a small displacement with respect to the reference image can be selectively used in a subsequent process. A decrease in defect detection accuracy can be suppressed. In addition, even if the sample and the image acquisition means are normally in a positional relationship such that a part of the inspection pattern is missing from the image area to be inspected, the image of the plurality of inspected areas shifted from each other is covered. Since there is a very high possibility that the entire inspection pattern will enter any one of the regions, it is possible to prevent an error in defect detection due to such a partial omission of the pattern.
The comparing means performs, for example, a so-called matching operation between each of the acquired images of the plurality of inspection regions and the reference image, and at least one image of the plurality of inspection regions substantially differs from the reference image. If not, it is determined that the sample has no defect. Conversely, when there is a substantial difference between the images of all the inspection areas and the reference image, it is determined that the sample has a defect, thereby performing defect detection with high accuracy.
A preferred embodiment of the present invention further includes charged particle irradiation means 3100 for irradiating each of the plurality of regions to be inspected with the primary charged particle beam and emitting a secondary charged particle beam from the sample. By detecting the secondary charged particle beam emitted from the inspection area, images of the plurality of inspection areas are sequentially acquired. Here, the charged particle beam is preferably an electron beam.
More preferably, the charged particle irradiation means includes a particle source for emitting primary charged particles, and a deflecting means for deflecting the primary charged particles, by deflecting the primary charged particles emitted from the particle source by the deflecting means. The primary charged particles are sequentially radiated to a plurality of regions to be inspected. In this aspect, since the position of the input image can be easily changed by the deflecting means, a plurality of images to be inspected having different positions can be acquired at high speed.
A further aspect of the present invention is characterized in that it has a primary optical system for irradiating a sample with a primary charged particle beam and a secondary optical system for guiding secondary charged particles to a detector. A semiconductor manufacturing method according to another aspect of the present invention includes a step of inspecting a wafer during processing or a finished product for a defect using the defect inspection apparatus according to each of the above aspects.
Other aspects and effects of the present invention will become more apparent from the following description. In the conventional technique as described above, since only about three small electrons are generated from one electron gun, it is necessary to arrange a large number of lens barrels. Also, in the above device, the electron optical system required a partially hemispherical detection electrode. Further, in the conventional technique, since a small inspection area is sequentially inspected, it is necessary to frequently change the inspection area to which the electron beam is applied. And the time for the movement is wasted, and the time required for the entire inspection also takes a considerably long time.
SUMMARY OF THE INVENTION An object of the present invention is to provide an electron beam apparatus capable of performing an efficient inspection that has solved the problems in the conventional technology as described above. That is, the electron beam device 4000 according to the present invention includes a primary electron beam irradiation device that irradiates a plurality of primary electron beams on a sample surface, and a plurality of primary electron beam irradiation points formed on the sample surface. A secondary electron detector for detecting a secondary electron beam from the sample, and detecting the secondary electron beam from a predetermined area of the sample surface while moving the sample, wherein the primary electron beam Primary electron beam irradiation points formed on the sample surface by the irradiation device are arranged in N rows in the moving direction of the sample, and in M columns in a direction perpendicular thereto, and the first row of the primary electron beam irradiation points To N-th row are sequentially shifted by a fixed amount in the direction perpendicular to the sample moving direction.
More specifically, the primary electron beam irradiation apparatus forms an electron gun and a plurality of electron beams that form the N-row and M-column primary electron beam irradiation points by receiving electrons emitted from the electron gun. An aperture plate having a plurality of apertures, the apertures being located within a predetermined electron density range of electrons emitted from the electron gun. More specifically, each of the primary electron beam irradiation points is scanned in a direction perpendicular to the moving direction of the sample by (interval between columns) / (number of rows N) + α. (Here, α is a width in which the overlap scanning is performed together with the primary electron beam irradiation point in the adjacent row, and may be -1% to + 20%, but is usually about 10% or less of the scan width. ). By doing so, the electron beam irradiation width in the direction perpendicular to the moving direction of the sample can be widened, and the sample can be inspected continuously with the wide electron beam irradiation width. Here, M and N are independent integers of 1 or more.
The secondary electron beam detected by the secondary electron detector is used for required measurements such as measurement of a defect on a sample surface, measurement of a wiring width of an integrated circuit formed on the sample surface, measurement of a potential contrast, and measurement of alignment accuracy. Can be
In the electron beam device as described above, the primary electron beam irradiation device includes a plurality of the electron guns, and a plurality of the corresponding aperture plates, and each of the electron guns and the corresponding aperture plate includes: A plurality of primary electron beam irradiation systems configured to form the plurality of primary electron beams for irradiating the sample surface; The primary electron beam of the secondary electron beam irradiation system may be prevented from interfering with the primary electron beam, and a plurality of the secondary electron detectors may be provided corresponding to each of the primary electron beam irradiation systems. By doing so, the specimen can be moved and inspected with a wider scanning width, so that the inspection efficiency can be further increased.
The present invention provides an electron beam apparatus that irradiates a sample with a multi-beam and detects secondary electrons from the sample with a multi-detector. In the electron beam apparatus, the intensity of the beam on the optical axis of the primary electron and the intensity of the beam off the optical axis are different. It is an object of the present invention to provide an electron beam apparatus that solves the problem and that makes each beam of primary electrons have substantially the same beam intensity.
In addition, the present invention provides an electron beam apparatus 4100 for irradiating a sample with a multi-beam and detecting secondary electrons from the sample with a multi-detector, in which detection efficiency of secondary electrons emitted from near the optical axis on the sample is improved. It is an object of the present invention to provide an electron beam apparatus that can solve the problem that the detection efficiency of secondary electrons from a position away from the optical axis is higher than that of the sample and that can make the detection efficiency of secondary electrons from the sample almost uniform . Still another object of the present invention is to provide a method for evaluating a device during a manufacturing process using the above-described apparatus.
In order to solve the above-mentioned problem, a plurality of aperture images obtained by irradiating an electron beam emitted from an electron beam source onto an aperture plate having a plurality of apertures are made incident on a sample, and secondary electrons emitted from the sample are emitted. In an electron beam device that separates from the primary optical system and makes it incident on the secondary optical system, expands the secondary optical system and projects it on the detector surface, the electron from the position of the image of the electron beam source created by the lens of the primary optical system A single aperture plate is provided at a position shifted toward the source, and the position of the aperture plate in the optical axis direction is set so that the difference in beam intensity from each aperture incident on the sample surface is minimized.
In this way, by minimizing the difference in beam intensity between each of the multi-beams incident on the sample surface, the difference in beam intensity between the beam near the optical axis and the beam farther from the optical axis is reduced. As a result, the light can be uniformly incident on the sample surface, so that the inspection and measurement accuracy can be improved.
In addition, by reducing the difference in intensity between the beams incident on the sample surface, the number of beams can be increased and a multi-beam can be irradiated over a wide range, so that the inspection and measurement efficiency can be improved.
According to the present invention, a plurality of aperture images obtained by irradiating an electron beam emitted from an electron beam source onto an aperture plate having a plurality of apertures are incident on a sample, and secondary electrons emitted from the sample are converted into primary electrons. In an electron beam device that separates from the optical system and makes it incident on the secondary optical system, magnifies it with the secondary optical system and projects it on the detector surface, the electron beam from the position of the image of the electron beam source created by the lens of the primary optical system A single aperture plate is provided at a position shifted to the source side, and the amount of the displacement is such that the difference between the plurality of apertures is a detection amount of secondary electrons obtained when a sample having no pattern is placed on the sample surface. Try to minimize it.
In this way, by minimizing the amount of secondary electrons detected between the openings in the detector of the secondary optical system, it is possible to suppress the variation in the detection rate of secondary electrons in the secondary optical system, and furthermore, High-precision inspection and measurement can be performed.
The present invention is characterized in that a wafer during a manufacturing process is evaluated by using the above-mentioned electron beam apparatus. By using the electron beam apparatus of the present invention for wafer evaluation during the manufacturing process, it is possible to perform wafer evaluation with higher accuracy and higher efficiency.
An electron beam emitted from one electron gun irradiates an aperture plate having a plurality of openings to create a plurality of electron beams, and the electron beams from each of these openings are reduced by a primary optical system and projected onto a sample surface. In a scanning device, there is a problem that each electron beam is not projected at a desired position due to distortion of the primary optical system. In addition, there is a field of view astigmatism in the primary optical system for reducing the electron beam and projecting it on the sample surface, so that the size and shape of the electron beam are different between near and off the optical axis of the primary optical system. is there.
In addition, secondary electrons are projected to a desired position in the detector group due to the presence of aberration in the secondary optical system for projecting secondary electrons emitted from the sample to the detector group. There is also a problem that can not be.
The present invention has been proposed in order to solve the above problems of the conventional electron beam apparatus, one object of the present invention is to correct the distortion of the primary optical system and the aberration of the secondary optical system, It is an object of the present invention to provide an electron beam apparatus capable of reducing astigmatism of a primary optical system. Another object of the present invention is to perform various kinds of evaluations of a wafer during a process using such an electron beam apparatus. An object of the present invention is to provide a device manufacturing method for improving the yield of devices.
In order to achieve the above object, the present invention is directed to irradiating an aperture plate having a plurality of openings with an electron beam emitted from an electron gun, and converting a reduced image of a primary electron beam passing through the plurality of openings into a primary optical system. In a device that projects and scans on a sample using a secondary electron beam emitted from the sample by a secondary optical system and projects the same on a detector, the distortion of the primary optical system is corrected. The positions of the plurality of openings are set.
According to the present invention, an electron beam emitted from an electron gun irradiates a first multi-aperture plate having a plurality of openings, and a reduced image of a primary electron beam passing through the plurality of openings is formed on a sample using a primary optical system. An apparatus for projecting and scanning a secondary electron beam emitted from the sample by a secondary optical system and detecting the secondary electron beam with a detector including a plurality of detection elements, wherein a plurality of openings are formed. In an electron beam apparatus in which two multi-aperture plates are arranged in front of the detector, the positions of the openings formed in the second multi-aperture are set so as to correct the distortion of the secondary optical system. .
According to the present invention, an electron beam emitted from an electron gun irradiates an aperture plate having a plurality of openings, and a reduced image of a primary electron beam passing through the plurality of openings is projected on a sample using a primary optical system. And scanning, in an apparatus for projecting an image of the secondary electron beam emitted from the sample to a detector by a secondary optical system, the shape of the plurality of openings so as to correct the visual field astigmatism of the primary optical system Set.
According to the present invention, an electron beam emitted from an electron gun irradiates an aperture plate having a plurality of openings, and a reduced image of a primary electron beam passing through the openings is converted into a primary optical system including an E × B separator. An apparatus for projecting and scanning on a sample by using the secondary electron beam emitted from the sample, projecting the image of the secondary electron beam on a detector by a mapping optical system, and acquiring image data by multi-channel, Is formed on the sample side with respect to the main deflection surface of the E × B separator, and images of primary electron beams from the plurality of apertures are formed on the main deflection surface of the E × B separator.
The electron beam device may be one of a group consisting of a defect inspection device, a line width measurement device, an alignment accuracy measurement device, a potential contrast measurement device, a defect review device, and a strobe SEM device.
The electron beam apparatus of the present invention is configured to irradiate the sample with electron beams from a plurality of electron guns, and to emit a secondary electron beam emitted from the sample to a plurality of electron guns provided in correspondence with the plurality of electron guns. The detection may be performed by the detector. Further, the electron beam apparatus of the present invention can be used to evaluate a wafer in the process.
In a known technique, a specific method of detecting secondary electrons with a plurality of detectors is not clear, and it is not clear whether a sample can be inspected and evaluated with high resolution. In addition, since the electron beam in the primary optical system is irradiated obliquely to the sample surface, and the space between the electrostatic objective lens and the sample is not axially symmetric, the electron beam cannot be narrowed down. .
Furthermore, a technique of separating secondary electrons from a sample by an E × B separator and guiding the separated electrons to a detector is already known, but in this case, deflection of an electron beam deflected by an electric field of the E × B separator is known. Since the amount and the deflection direction are different between the low energy electron beam and the high energy electron beam, there is a problem that chromatic aberration occurs. Further, when the E × B separator is provided, there is a problem that it is difficult to secure a space for disposing the deflector near the test sample.
One problem to be solved by the present invention is to provide an electron beam apparatus of a projection optical system with an E × B separator, and to inspect and evaluate a specimen by using a plurality of electron beams. Is to provide a specific electron beam apparatus capable of performing the above with high throughput and with high reliability. Another object to be solved by the present invention is to provide an electron beam device capable of narrowing an electron beam. Another problem to be solved by the present invention is to provide an electron beam device that can correct chromatic aberration caused by using an E × B separator.
Still another problem to be solved by the present invention is to provide an apparatus capable of arranging optical systems of an electron beam apparatus in two rows and plural columns and performing inspection and evaluation of a sample with high throughput and high reliability. To provide. Still another problem to be solved by the present invention is that by using both an E × B separator and a deflector, it is possible to arrange both the E × B separator and the deflector at optimal positions. It is to provide an electron beam device. Yet another object of the present invention is to provide a method of manufacturing a device for evaluating a sample in the course of a process using the above-described electron beam apparatus.
The above problem is solved by the following means. That is, one of the inventions of the present application is a single electron gun that emits an electron beam, an aperture plate having a plurality of holes, a plurality of lenses, and at least two E × B separators that are spaced apart from each other. A primary optical system for irradiating an electron beam from the electron gun onto a sample surface to be inspected, and a secondary electron emitted from the sample, A secondary optical system that separates the primary plate from the primary optical system and makes it incident on a secondary electron detection device for detection, and irradiates an electron beam from the electron gun to the aperture plate to form a plurality of holes. Are formed, the positions of the images of the plurality of holes are matched with the respective positions of the E × B separator, and the direction of the electron beam deflected by the electric field of the respective E × B separator is the sample. The directions are opposite to each other when viewed from above. With this configuration, it is possible to perform inspection, evaluation, and the like of a sample with high throughput and high reliability using a plurality of electron beams. Further, it is possible to correct the chromatic aberration caused by the E × B separator, and it is also possible to narrow down the electron beam, so that high inspection accuracy can be secured.
Further, in another aspect of the invention of the electron beam apparatus, the deflection amount of the electron beam deflected by the electric field of the E × B separator is opposite to the deflection amount due to the magnetic field when viewed on the sample surface, and You may comprise so that an absolute value may be equal. The electron beam devices as described above may be arranged in two rows and plural columns so that the paths of the secondary electrons deflected by the E × B separator do not interfere with each other. As a result, sample inspection / evaluation can be performed with high throughput and with high reliability.
According to another aspect of the present invention, there is provided a single electron gun for emitting an electron beam, an aperture plate having a plurality of holes, a plurality of lenses, and an E × B separator for inspecting the electron beam from the electron gun. The primary optical system that irradiates the surface of the sample to be irradiated, and the secondary electrons emitted from the sample are separated from the primary optical system by the E × B separator and incident on the secondary electron detector. A secondary optical system for detecting the position of the plurality of holes by irradiating the aperture plate with an electron beam from the electron gun to form images of a plurality of holes, The electron beam is deflected by making the position coincide with the position of the separator and superimposing a scanning voltage on the electric field of the E × B separator. With this configuration, the E × B separator and the deflector can also be used, and both can be arranged at the optimum positions.
In the electron beam apparatus according to the first invention and another invention, the electron beam apparatus may be any one of a defect inspection device, a line width measurement device, a defect review device, an EB tester device, and a potential contrast measurement device. Still another invention of the present application is to manufacture a device by evaluating a wafer in the process using the electron beam apparatus.
SUMMARY OF THE INVENTION An object of the present invention is to provide an electron beam apparatus capable of performing electro-optical focusing in a short time, and a semiconductor device manufacturing method using the apparatus. In order to achieve this object, the present invention provides a method in which a primary optical system irradiates a sample with a plurality of primary electron beams, and a secondary electron beam emitted from the sample is passed through an objective lens by an secondary optical system using an EXB separator. An electron beam device that expands the interval between a plurality of secondary electron beams with at least one stage lens after the injection, and detects with a plurality of detectors,
An electrical signal corresponding to the intensity of the secondary electron beam obtained when the pattern edge parallel to the first direction is scanned in the second direction by separately supplying at least three different excitation voltages to the objective lens. An electron beam apparatus characterized by measuring at least three data representing a rising width of the electron beam. Thus, focusing of the electron optical system can be performed in a short time.
The above-mentioned electron beam apparatus is arranged as a lens barrel so as to face a plurality of samples, and a primary optical system of each lens barrel irradiates a plurality of primary electron beams on the sample to a position different from other lens barrels. May be. Thereby, throughput can be improved.
Further, it is preferable that the electron beam apparatus is configured to determine the excitation condition of the objective lens in a state where the pattern on the wafer is charged.
The present invention also provides a method in which a primary optical system irradiates a sample with a plurality of primary electron beams, and a secondary electron beam emitted from the sample is injected into a secondary optical system by an EXB separator after passing through an objective lens. Provided is an electron beam device in which a distance between a plurality of secondary electron beams is enlarged by at least one lens and detected by a plurality of detectors.
In this electron beam device, the objective lens includes a first electrode to which a first voltage close to the ground is applied, and a second electrode to which a second voltage higher than the first voltage is applied. The focal length of the objective lens is changed by changing the first voltage applied to the one electrode, and the excitation unit that excites the objective lens greatly changes the focal length of the objective lens. For changing the voltage applied to the second electrode for changing the focal length in a short time. The present invention further provides a method of manufacturing a semiconductor device, wherein a wafer is evaluated during or after a process by using the above-described electron beam apparatus.
It is not always clear whether an electron beam device capable of actually detecting secondary electrons with a plurality of detectors and inspecting and evaluating a sample with high resolution can be put to practical use. Further, in such an electron beam apparatus, a mode (hereinafter, referred to as a standard mode) in which the throughput is large but the resolution is relatively low and only a relatively large defect can be detected. It is necessary that two different modes, a detectable mode (hereinafter referred to as a high resolution mode), can be used in one device. However, a practical device having such a function has not yet been developed.
Further, when these two modes are used in one apparatus, it is necessary to change the scanning width of the multi-beam and to change the magnification of the electrostatic lens of the secondary optical system. If the width is reduced from the standard mode, there arises a problem that a scanning gap occurs between the multiple beams, and that the beam size in the secondary optical system does not match the pixel size of the detector. An object of the present invention is to solve such a problem.
In order to solve the above problem, one of the inventions of the present application is to form an electron beam emitted from a single electron gun into a multi-beam by an aperture plate having a plurality of holes, and to convert the multi-beam into at least two-stage electrostatic force. A primary optical system that scans a sample to be inspected with a lens reduced, and a secondary electron emitted from the sample is separated from the primary optical system by an E × B separator after passing through an electrostatic objective lens. And a secondary optical system which is then enlarged by at least one stage of electrostatic lens and made incident on a plurality of detection devices, wherein the sample is provided in a mode having a large throughput but a relatively low resolution and a mode having a small throughput but a high resolution. Is evaluated so that the sample is evaluated with at least two types of pixel dimensions. With this configuration, it is possible to perform inspection, evaluation, and the like of a sample with high throughput and high reliability using a plurality of electron beams. Also, two modes, a standard mode and a high-resolution mode, can be used by one device.
In another aspect of the invention of the electron beam apparatus, the reduction ratio of the multi-beam in the primary optical system is related to the enlargement ratio of the electrostatic lens in the secondary optical system.
In another aspect of the invention of the electron beam apparatus, the crossover image in the primary optical system is formed on the main surface of the electrostatic objective lens in the mode where the throughput is large but the resolution is relatively low. ing.
In still another aspect of the invention of the electron beam apparatus, the magnification of the secondary optical system is adjusted by an electrostatic lens provided on the detector side with respect to the aperture aperture arranged in the secondary optical system. I have to. According to the present invention, a device in the process of being evaluated is evaluated by using an electron beam apparatus as described above to manufacture a device.
In a conventional scanning electron microscope, since the sample surface is scanned with a thin electron beam, that is, a beam, there is a problem that when a sample having a large area is evaluated, the throughput is greatly reduced. Further, in the above-described known charge-up detection function, it is necessary to measure various currents with a high time resolution, and the state of charge-up cannot always be detected correctly.
The present invention has been made in view of the above problems, and one problem to be solved by the present invention is to provide an electron beam apparatus capable of improving a throughput and evaluating a sample with higher reliability. That is. Another problem to be solved by the present invention is to provide an electron beam apparatus that simultaneously improves the throughput by simultaneously irradiating a sample with a plurality of electron beams and improves the reliability of evaluation by improving a charge-up detection function. It is to be. Still another object of the present invention is to provide a device manufacturing method which can evaluate a sample during or after a process with a high manufacturing yield by using the above-mentioned electron beam apparatus.
One invention of the present application is a primary optical system that generates a primary electron beam, converges, scans and irradiates a sample, and a secondary electron emitted from an electron beam irradiation portion of the sample is injected. A secondary optical system having a one-stage lens, and a detector for detecting the secondary electrons, accelerating the secondary electrons emitted from the electron beam irradiation unit, and exposing the primary optical system to an E × B separator. Separated from the secondary optical system, and the secondary electron image is enlarged by the lens and detected by a detector, in the electron beam device, the primary optical system generates a plurality of primary electron beams Simultaneously irradiating a sample, a plurality of the detectors are provided corresponding to the number of the primary electron beams, a retarding voltage applying device for applying a retarding voltage to the sample, and charging of the sample Charge up to check up status Configured to include a 査 function, a.
The electron beam apparatus according to the present invention determines the optimum retarding voltage based on the information on the charge-up state from the charge-up investigation function and applies the same to the sample, or changes the irradiation amount of the primary electron beam. It may further have a function of causing the user to perform the function.
An electron beam apparatus according to another invention of the present application has an optical system that irradiates a sample with a plurality of electron beams, and a charge-up investigation function, and the charge-up investigation function is such that the sample is irradiated with a primary electron beam. When an image is formed by detecting generated secondary electrons with a plurality of detectors, the pattern distortion or pattern blur of a specific portion of the sample is evaluated. As a result, when the pattern distortion or pattern blur is large, the charge-up is large. It is configured to evaluate.
In the electron beam apparatus according to each of the inventions, the charge-up investigation function can apply a variable retarding voltage to the sample, and the pattern density of the sample greatly changes while at least two retarding voltages are applied. A device may be provided which forms an image near the boundary where the image is displayed and displays the image so that the operator can evaluate pattern distortion or pattern blur.
Still another object of the present invention is to provide a method of manufacturing a device, characterized in that a defect of a wafer during or after a process is detected using the above-mentioned electron beam apparatus.
Even if the conventional E × B type energy filter having the configuration shown in FIG. 54 is used as an E × B separator of an inspection apparatus that evaluates a semiconductor wafer by obtaining image data using an electron beam, it is possible to use one. The area around the optical axis in which the next electron beam travels substantially without aberration is not very large.
One reason for this is that the structure of the conventional E × B energy filter is complex and the symmetry is not good enough. That is, since the symmetry is not good, calculation of aberrations requires three-dimensional electric field analysis and three-dimensional magnetic field analysis, which complicates the calculation. Therefore, it takes a long time to design the lens to optimize the aberration. Another reason is that in a conventional E × B energy filter, the area where the electric and magnetic fields are orthogonal to the optical axis and their intensity distributions are nearly uniform is narrow.
The present invention has been made in view of the problems of the conventional example described above, and a first object of the present invention is to simplify the structure and facilitate the calculation of aberrations, and furthermore, the magnetic and electric field strengths are uniform. An object of the present invention is to provide an E × B separator having a large area around the optical axis. A second object of the present invention is to provide an electron beam apparatus using an E × B separator which achieves the first object, and a semiconductor device manufacturing method including evaluating a semiconductor wafer using the electron beam apparatus. It is to provide.
In order to achieve the first object, an E × B separator according to the present invention for generating an electric field and a magnetic field perpendicular to the optical axis and separating at least two electron beams having different traveling directions is provided. An electrostatic deflector provided with a pair of parallel plate-shaped electrodes for generating an electric field, wherein an interval between the electrodes is set shorter than a length of an electrode orthogonal to the electric field; And a toroidal or saddle type electromagnetic deflector for deflecting the electron beam in a direction opposite to the deflector. Further, in the above-described E × B separator, the electrostatic deflector may have at least six electrodes for generating an electric field, and may be configured to generate a rotatable electric field.
Further, in the above-mentioned E × B separator, the toroidal or saddle type electromagnetic deflector has two sets of electromagnetic coils for generating a magnetic field in both directions of an electric field and a magnetic field. Is preferably adjusted so that the direction of deflection by the electromagnetic deflector is opposite to the direction of deflection by the electrostatic deflector.
Furthermore, in the above-mentioned E × B separator, it is preferable to dispose an electrostatic deflector inside the saddle type or toroidal type electromagnetic deflector, thereby forming the electromagnetic deflector in two divided states, These can be mounted on the outer periphery of the electrostatic deflector and integrated, thereby facilitating the manufacture of the ExB separator.
The present invention also evaluates the processing state of a semiconductor wafer by irradiating a semiconductor wafer with a plurality of primary electron beams and detecting a secondary electron beam from the wafer with a plurality of detectors to obtain image data. In the inspection apparatus, an inspection apparatus using the above-described EXB separator for separating a primary electron beam from a secondary electron beam is also provided.
An object of the present invention is to provide a charged beam apparatus which has a simple structure and can be made compact without a differential pumping mechanism of an XY stage. Another problem to be solved by the present invention is to provide a charged beam provided with a differential pumping mechanism for evacuating the inside of the housing accommodating the XY stage and evacuating the area of the sample surface to be irradiated with the charged beam. It is to provide a device. Still another object of the present invention is to provide a defect inspection apparatus for inspecting a sample surface using the above charged beam apparatus, or an exposure apparatus for drawing a pattern on the surface of the sample. Still another object of the present invention is to provide a semiconductor manufacturing method for manufacturing a semiconductor device using the above charged beam device.
In the apparatus for irradiating a sample mounted on an XY stage with a charged beam according to the present invention, the XY stage is housed in a housing and supported by a static pressure bearing in a non-contact manner with the housing. The housing in which is charged is evacuated, and a differential exhaust mechanism is provided around the portion of the charged beam device that irradiates the charged beam onto the sample surface, and evacuates an area of the sample surface irradiated with the charged beam. Is provided.
According to the charged beam apparatus of the present invention, the high-pressure gas for the hydrostatic bearing leaked into the vacuum chamber is first exhausted by the vacuum exhaust pipe connected to the vacuum chamber. By providing a differential evacuation mechanism for evacuating the region irradiated with the charged beam around the portion irradiating the charged beam, the pressure of the charged beam irradiating region is significantly reduced from the pressure in the vacuum chamber. It is possible to stably achieve a degree of vacuum at which processing of a sample can be performed without any problem. That is, a stage having a structure similar to that of a static pressure bearing type stage generally used in the atmosphere (a stage supported by a static pressure bearing without a differential pumping mechanism) is used to charge the sample on the stage. Beam processing can be performed stably.
In the charged beam apparatus according to the present invention, the gas supplied to the static pressure bearing of the XY stage is dry nitrogen or a high-purity inert gas, and the dry nitrogen or the high-purity inert gas is a housing for accommodating the stage. After being evacuated from the chamber, it is pressurized and supplied to the static pressure bearing again.
According to the present invention, the residual gas component in the vacuum housing becomes an inert gas of high purity, so that the surface of the sample or the surface of the structure in the vacuum chamber formed by the housing may be contaminated with moisture, oil, or the like. In addition, even if inert gas molecules are adsorbed on the sample surface, they are quickly separated from the sample surface if exposed to the differential pumping mechanism or the high vacuum part of the charged beam irradiation area. The influence on the degree of vacuum can be minimized, and the processing of the sample by the charged beam can be stabilized.
The present invention resides in a wafer defect inspection device for inspecting a defect on a surface of a semiconductor wafer using the charged beam device. This makes it possible to inexpensively provide an inspection apparatus in which the stage positioning performance is high and the degree of vacuum in the irradiation area of the charged beam is stable. The present invention resides in an exposure apparatus for drawing a circuit pattern of a semiconductor device on a semiconductor wafer surface or a reticle using the charged beam apparatus. This makes it possible to provide an inexpensive exposure apparatus in which the stage positioning performance is high accuracy and the degree of vacuum in the charged beam irradiation area is stable.
The present invention is a semiconductor manufacturing method for manufacturing a semiconductor using the charged beam device, the positioning performance of the stage is high accuracy, by manufacturing a semiconductor by a device in which the degree of vacuum in the charged beam irradiation area is stable, A fine semiconductor circuit can be formed.
In the case of a symmetric doublet lens, for example, when a reduction lens system is formed, two stages of lenses are required, and the dimensional ratio of each lens needs to be the same as the reduction ratio. For example, if a 1/10 reduction system is to be made, the smaller lens cannot be smaller than the size determined by processing accuracy and the like. For example, if the bore diameter is 5 mmφ and the lens gap is about 5 mm, the larger lens will have a bore diameter of about 5 mm. 50 mmφ and the lens gap are also 50 mm, and it is necessary to produce a lens having a considerably large size. Further, when the magnification is changed by an actual apparatus, there are problems such as the symmetric doublet condition being out of order.
SUMMARY OF THE INVENTION The present invention has been made in view of the above problems, and has as its object to provide an electron optical system in which magnification can be adjusted with a lens system having two or more stages and chromatic aberration of magnification can be corrected with a single lens. It is another object of the present invention to provide a method of performing a wafer evaluation for discovering a cause of a decrease in the yield in device manufacturing at an early stage using the above-described apparatus.
The present invention relates to an electron beam apparatus that focuses a plurality of electron beams by a lens system including a condenser lens and forms an image on a sample with an objective lens, wherein the cross-section of the electron beam generated by a lens in front of the objective lens is provided. An electron beam apparatus characterized in that the over position is set at a position near the lens system side of the objective lens. Specifically, the crossover position is on the lens system side from the main surface of the objective lens. By setting the crossover position as described above, it is possible to reduce aberrations, particularly chromatic aberration, occurring in the electron beam imaged on the sample.
The plurality of electron beams are a plurality of electron beams emitted from a single electron gun and formed through a plurality of openings, a plurality of electron beams emitted from a plurality of electron guns, or a single electron beam. It may be a plurality of electron beams emitted from a plurality of emitters formed on the gun. The present invention also provides a device manufacturing method characterized in that a wafer in the middle of a manufacturing process is evaluated using the electron beam apparatus as described above.
According to the present invention, a plurality of primary electron beams are made to vertically enter a sample surface through an E × B filter (Wien filter) while scanning a plurality of electron beams in a one-dimensional direction (x direction). Is separated from the primary electron beam by an E × B filter, extracted in a direction oblique to the axis of the primary electron beam, and further formed into an image or focused on a detection system by a lens system. The stage is moved in the vertical direction (y direction) with respect to the scanning direction (x direction) of the primary electron beam to obtain a continuous image.
When the primary electron beam passes through the E × B filter, a condition (Wien condition) is set in which the force of the electron beam received from the electric field and the intensity received from the magnetic field become equal in opposite directions (Wien condition), and the primary electron beam goes straight.
On the other hand, the secondary electron beam is bent from the axial direction of the primary electron beam because the direction of the electric field and the force of the magnetic field acting on the secondary electron becomes the same because the direction is opposite to that of the primary electron beam. As a result, the primary electron beam and the secondary electron beam are separated. When the electron beam passes through the E × B filter, the aberration in the case where the electron beam is bent is larger than when the beam is straight ahead. Therefore, a detector corresponding to each primary electron beam that requires high accuracy is provided. The secondary electrons from the corresponding primary electron beam always enter the corresponding detector by the imaging system. For this reason, it is possible to eliminate mixing of signals. As a detector, a scintillator and a photomultiplier (photomultiplier) are used. Further, a PIN diode (semiconductor detector) or the like can be used. In the present invention, each of the 16 primary electron beams had a beam diameter of 0.1 μm and a beam current of 20 nA, and a current value approximately three times that of a commercially available device was obtained.
Electron gun (electron beam source)
In the present invention, a thermionic beam source is used as the electron beam source. The electron emission (emitter) material is LaB6It is. As long as the material has a high melting point (low vapor pressure at high temperature) and a small work function, other materials can be used. Two methods are used to obtain a plurality of electron beams. One is a method in which one electron beam is extracted from one emitter (one projection) and passed through a thin plate (opening plate) having a plurality of holes to obtain a plurality of electron beams. One method is to form a plurality of projections on one emitter and directly extract a plurality of electron beams from the projections. In each case, the property that the electron beam is easily emitted from the tip of the projection is used. Other types of electron beam sources, for example, a thermal field emission type electron beam, can also be used.
A thermionic electron beam source is a method of emitting electrons by heating an electron-emitting material. A thermal field emission electron beam source emits electrons by applying a high electric field to the electron-emitting material, and further emits an electron beam. This method stabilizes electron emission by heating the emission part.
Vacuum exhaust system
In the present invention, the vacuum evacuation system includes a vacuum pump, a vacuum valve, a vacuum gauge, a vacuum pipe, and the like, and evacuates the electron optical system, the detector, the sample chamber, and the load lock chamber according to a predetermined sequence. In each section, a vacuum valve is controlled so as to achieve a required degree of vacuum. The degree of vacuum is constantly monitored, and in the event of an abnormality, emergency control of the isolation valve and the like is performed by the interlock function to secure the degree of vacuum. As the vacuum pump, a turbo molecular pump is used for main exhaust, and a Roots type dry pump is used for roughing. Inspection place (electron beam irradiation part) pressure is 10-3-10-5Pa, preferably 10 which is one digit lower.-4-10-6Pa is practical.
Control system
In the present invention, the control system mainly includes a main controller, a control controller, and a stage controller. The main controller is provided with a man-machine interface, through which the operation of the operator is performed (input of various instructions / commands, recipes, etc., an instruction to start inspection, switching between automatic and manual inspection modes, manual inspection mode). Input of all necessary commands at the time). The main controller also communicates with the host computer in the factory, controls the evacuation system, transports samples such as wafers, controls positioning, transmits commands to other control controllers and stage controllers, and receives information. .
Also, an image signal is acquired from an optical microscope, a stage vibration correction function for correcting a deterioration of an image by feeding back a fluctuation signal of a stage to an electron optical system, and a sample observation position in a Z direction (axial direction of a secondary optical system). It has an automatic focus correction function that detects the displacement, feeds it back to the electron optical system, and automatically corrects the focus. The transmission and reception of a feedback signal and the like to the electronic optical system and the transmission and reception of a signal from the stage are performed via a control controller and a stage controller, respectively.
The controller mainly controls the electron beam optical system (controls a high-precision power supply for an electron gun, a lens, an aligner, a Wien Hilter, and the like). Specifically, each operation mode, such as ensuring that a constant electron current is always applied to the irradiation area even when the magnification changes, and automatic voltage setting for each lens system and aligner corresponding to each magnification (Interlocking control) such as automatic voltage setting for each lens system and aligner corresponding to.
The stage controller mainly controls the movement of the stage, and enables precise movement in the X and Y directions on the order of μm (error of about ± 0.5 μm). In this stage, the control of the rotation direction (θ control) is also performed within an error accuracy of about ± 0.3 seconds.
Inspection procedure
In the present invention, the inspection procedure (FIG. 63) is performed as follows. In general, a defect inspection apparatus using an electron beam is expensive and has a lower throughput than other processing apparatuses. Therefore, at present, important steps that are considered to be most necessary for inspection (for example, etching, film formation, or It is used after CMP (Chemical Mechanical Polishing) flattening processing and the like.
The wafer to be inspected is positioned on an ultra-precision XY stage through an atmospheric transfer system and a vacuum transfer system, and then fixed by an electrostatic chuck mechanism or the like. Thereafter, a defect inspection or the like is performed according to the flow of FIG. First, the position of each die and the height of each location are detected and stored by an optical microscope as necessary. The optical microscope also acquires an optical microscope image of a place where a defect or the like is desired to be observed, and is also used for comparison with an electron beam image.
Next, the information of the recipe according to the type of the wafer (after which process, the size of the wafer is 20 cm or 30 cm, etc.) is input to the apparatus, and then the inspection location is specified, the electron optical system is set, the inspection conditions are set, and the like. After that, the defect inspection is usually performed in real time while obtaining the image. Inspection of cell comparison, die comparison, and the like is performed by a high-speed information processing system equipped with an algorithm, and the result is output to a CRT or the like or stored in a memory as necessary. Defects include particle defects, shape abnormalities (pattern defects), and electrical defects (such as disconnection of wiring or vias and poor conduction), and the like. Classification of critical defects that would be impossible) can be automatically performed in real time.
The detection of an electrical defect is achieved by detecting a contrast abnormality. For example, a place where conduction is poor is normally positively charged by electron beam irradiation (about 500 eV), and the contrast is lowered, so that it can be distinguished from a normal place. The electron beam irradiating means in this case refers to low-energy electron beam generating means (thermal electron generation, UV / photoelectron) provided separately from the normal inspection electron beam irradiating means and provided separately to enhance the contrast due to the potential difference. . This low-energy electron beam is generated and irradiated before the inspection target area is irradiated with the electron beam for inspection.
In the case of the projection system in which the electron beam for inspection can be positively charged by itself, it is not necessary to separately provide a low potential electron beam generating means depending on the specification. In addition, defect detection can be performed based on a difference in contrast caused by, for example, applying a positive or negative potential to a sample such as a wafer with respect to a reference potential (caused by a difference in ease of flow depending on the forward or reverse direction of the element). It can also be used for line width measurement equipment and alignment accuracy measurement.
Cleaning electrodes
When the electron beam apparatus of the present invention operates, the target substance is released and attracted to the high-pressure region by proximity interaction (charge of particles near the surface), so that various electrodes used for forming and deflecting the electron beam are used. Organic material is deposited. Since the insulator gradually deposited due to the charging of the surface adversely affects the formation of the electron beam and the deflection mechanism, the deposited insulator must be periodically removed. Periodic removal of the insulator is performed by using an electrode near the region where the insulator is deposited in a vacuum, such as hydrogen, oxygen, fluorine, or a compound HF or O containing them.2, H2O, CMFNBy generating a plasma such as that described above and maintaining the plasma potential in the space at a potential at which sputtering occurs on the electrode surface (several kV, for example, 20 V to 5 kV), only organic substances are removed by oxidation, hydrogenation, and fluorination.
Embodiment of the Invention
First Embodiment A first embodiment of the present invention will be described with reference to FIGS. 1 and 2 as a semiconductor inspection apparatus for inspecting a substrate having a pattern formed on a surface, that is, a wafer, as an inspection target. 1 and 2, main components of the semiconductor inspection apparatus 1 are shown by an elevation and a plane.
The semiconductor inspection apparatus 1 according to the first embodiment includes a cassette holder 10 that holds a cassette containing a plurality of wafers, a mini-environment device 20, a main housing 30 that defines a working chamber, and a mini-environment device 20. A loader housing 40 disposed between the main housing 30 and defining two loading chambers; a loader 60 for loading a wafer from the cassette holder 10 onto a stage device 50 disposed in the main housing 30; And an electro-optical device 70 mounted on the vacuum housing, which are arranged in a positional relationship as shown in FIGS. The semiconductor inspection apparatus 1 further includes a precharge unit 81 arranged in the vacuum main housing 30, a potential application mechanism 83 (shown in FIG. 8) for applying a potential to the wafer, and an electron beam calibration mechanism 85 (FIG. 8). 10) and an optical microscope 871 constituting an alignment control device 87 for positioning the wafer on the stage device.
The cassette holder 10 includes a plurality of cassettes c (for example, closed cassettes such as SMIF and FOUP manufactured by Assist Co., Ltd.) in which a plurality of (for example, 25) wafers are stored in parallel in a vertical direction. In this embodiment, two are held. As the cassette holder, one having a structure suitable for transporting a cassette by a robot or the like and automatically loading the cassette into the cassette holder 10, and one having an open cassette structure suitable for manual loading when manually loading the cassette. Can be arbitrarily selected and installed. In this embodiment, the cassette holder 10 is of a type in which the cassette c is automatically loaded, and includes, for example, an elevating table 11 and an elevating mechanism 12 for moving the elevating tail 11 up and down. 2 can be automatically set in the state shown by the chain line in FIG. 2, and after setting, it is automatically rotated to the state shown in the solid line in FIG. 2 to rotate the first transfer unit in the mini-environment device. Pointed at the axis. Further, the lifting table 11 is lowered to a state shown by a chain line in FIG. As described above, the cassette holder used for automatic loading or the cassette holder used for manual loading may be appropriately used with a known structure. Description is omitted.
In another embodiment shown in FIG. 3B, a plurality of 300 mmφ substrates W are stored in a groove-type pocket (not shown) fixed to the box body 501, and are transported and stored. The substrate carrying box 24 is connected to a rectangular cylindrical box body 501 and a substrate carrying-out door automatic opening / closing device, and has a substrate carrying-in / out door 502 capable of opening and closing an opening on a side surface of the box body 501, and is opposed to the opening. A cover 503 for covering the filters and the opening / closing portion for attaching and detaching the fan motor, a groove-shaped pocket (not shown) for holding the substrate W, an ULPA filter 505, a chemical filter 506, It is configured by a fan motor 507. In this case, the substrate W is loaded and unloaded by the robotic first transfer unit 612 of the loader 60.
The substrate or wafer stored in the cassette c is a wafer to be inspected, and such an inspection is performed after or during the process of processing a wafer in a semiconductor manufacturing process. Specifically, a substrate that has been subjected to a film forming process, CMP, ion implantation, or the like, a wafer having a wiring pattern formed on its surface, or a wafer having no wiring pattern formed thereon is housed in a cassette. Since a large number of wafers accommodated in the cassette c are arranged side by side in parallel with each other in the up-down direction, the first transfer unit is used to hold the wafer at an arbitrary position with a first transfer unit described later. Arm can be moved up and down.
1 to 3, a mini-environment device 20 includes a housing 22 defining a mini-environment space 21 to be controlled in atmosphere, and a gas such as clean air in the mini-environment space 21. A gas circulating device 23 for circulating and controlling the atmosphere, a discharging device 24 for collecting and discharging a part of the air supplied into the mini-environment space 21, and a gas circulating device 23 are provided in the mini-environment space 21. And a pre-aligner 25 for roughly positioning a substrate, ie, a wafer, to be inspected.
The housing 22 has a top wall 221, a bottom wall 222, and a peripheral wall 223 surrounding four circumferences, and has a structure that blocks the mini-environment space 21 from the outside. In order to control the atmosphere in the mini-environment space, a gas circulation device 23 is attached to the top wall 221 in the mini-environment space 21 as shown in FIG. A gas supply unit 231 for cleaning and flowing the clean air in a laminar flow directly downward through one or more gas outlets (not shown), and disposed on the bottom wall 222 in the mini-environment space. A collection duct 232 for collecting the air flowing down toward the bottom, and a conduit 233 connecting the collection duct 232 and the gas supply unit 231 to return the collected air to the gas supply unit 231. I have.
In this embodiment, the gas supply unit 231 takes in about 20% of the supplied air from the outside of the housing 22 for cleaning, but the ratio of the gas taken in from the outside can be arbitrarily selected. . The gas supply unit 231 includes a HEPA or ULPA filter having a known structure for producing clean air. The laminar downward flow of the clean air, that is, the downflow, is mainly supplied so as to flow through a transport surface of a first transport unit described later disposed in the mini-environment space 21, and is generated by the transport unit. Dust that may be attached is prevented from adhering to the wafer.
Therefore, the downflow jet port does not necessarily need to be located at a position close to the top wall as shown in the figure, but may be located above the transport surface of the transport unit. Also, there is no need to flow over the entire mini-environment space. In some cases, cleanliness can be ensured by using ion wind as clean air. Further, a sensor for observing the cleanliness may be provided in the mini-environment space, and the apparatus may be shut down when the cleanliness deteriorates. An entrance 225 is formed in a portion of the peripheral wall 223 of the housing 22 adjacent to the cassette holder 10. A shutter device having a known structure may be provided near the entrance 225 to close the entrance 225 from the mini-environment device side. The down flow of the laminar flow created near the wafer may be, for example, a flow rate of 0.3 to 0.4 m / sec. The gas supply unit may be provided outside the mini-environment space instead of inside.
The discharge device 24 includes a suction duct 241 disposed below the transfer unit at a position below the wafer transfer surface of the transfer unit, a blower 242 disposed outside the housing 22, a suction duct 241 and the blower 242. And a conduit 243 connecting the two. The discharge device 24 sucks a gas containing dust that may flow around the transport unit and may be generated by the transport unit by the suction duct 241, and the outside of the housing 22 through the conduits 243 and 244 and the blower 242. To be discharged. In this case, the air may be discharged into an exhaust pipe (not shown) drawn near the housing 22.
The aligner 25 disposed in the mini-environment space 21 has an orientation flat (referred to as a flat portion formed on the outer periphery of a circular wafer, hereinafter referred to as an orientation flat) formed on the wafer or an outer peripheral edge of the wafer. One or more V-shaped notches or notches are optically or mechanically detected to detect the axis O of the wafer.1-O1Are positioned in advance in the rotational direction around with a precision of about ± 1 degree. The pre-aligner constitutes a part of a mechanism for determining coordinates of an inspection object according to the invention described in the claims, and is in charge of coarse positioning of the inspection object. Since the pre-aligner itself may have a known structure, a description of its structure and operation will be omitted.
Although not shown, a collection duct for a discharge device may be provided below the pre-aligner to discharge air containing dust discharged from the pre-aligner to the outside.
1 and 2, a main housing 30 that defines a working chamber 31 includes a housing body 32 which is mounted on a vibration isolator or vibration isolator 37 disposed on a base frame 36. It is supported by the mounted housing support device 33. The housing support device 33 includes a rectangular frame structure 331. The housing main body 32 is disposed and fixed on the frame structure 331, and has a bottom wall 321 mounted on the frame structure 331, a top wall 322, and a peripheral wall connected to the bottom wall 321 and the top wall 322 and surrounding four circumferences. 323 to isolate the working chamber 31 from the outside. In this embodiment, the bottom wall 321 is made of a relatively thick steel plate so as not to generate distortion due to a load by a device such as a stage device placed on the bottom wall 321. However, other structures may be used. Good. In this embodiment, the housing body and the housing support device 33 are assembled in a rigid structure, and the vibration is prevented from being transmitted to the rigid structure by the vibration isolator 37 from the floor on which the base frame 36 is installed. It is supposed to. An entrance / exit 325 for taking in / out a wafer is formed in a peripheral wall of the peripheral wall 323 of the housing main body 32 adjacent to a loader housing to be described later.
The vibration isolator may be an active type having an air spring, a magnetic bearing, or the like, or a passive type having these components. Since each of them may have a known structure, the description of the structure and function of itself is omitted. The working chamber 31 is maintained in a vacuum atmosphere by a vacuum device (not shown) having a known structure. A control device 2 for controlling the operation of the entire apparatus is arranged below the base frame 36.
1, 2, and 4, the loader housing 40 includes a housing main body 43 that defines a first loading chamber 41 and a second loading chamber 42. The housing main body 43 has a bottom wall 431, a top wall 432, a peripheral wall 433 surrounding four circumferences, and a partition wall 434 for partitioning the first loading chamber 41 and the second loading chamber 42. Can be isolated from the outside. The partition wall 434 has an opening, ie, an entrance 435, for exchanging wafers between the two loading chambers. Entrance ports 436 and 437 are formed in a portion of the peripheral wall 433 adjacent to the mini-environment device and the main housing.
The housing body 43 of the loader housing 40 is mounted on and supported by the frame structure 331 of the housing support device 33. Therefore, vibration of the floor is not transmitted to the loader housing 40. An entrance 436 of the loader housing 40 and an entrance 226 of the housing 22 of the mini-environment device are aligned, and there is a shutter device for selectively blocking communication between the mini-environment space 21 and the first loading chamber 41. 27 are provided. The shutter device 27 includes a sealing member 271 that is fixed in close contact with the side wall 433 around the entrances 226 and 436, and a door 272 that prevents air flow through the entrance in cooperation with the sealing member 271. And a driving device 273 for moving the door.
The entrance 437 of the loader housing 40 and the entrance 325 of the housing main body 32 are aligned with each other, and a shutter device 45 for selectively preventing the communication between the second loading chamber 42 and the working chamber 31 from being sealed is provided therein. Is provided. The shutter device 45 surrounds the entrances 437 and 325 and closely contacts the side walls 433 and 323 to seal and fix the sealing members 451 and 451 to cooperate with the sealing members 451 to flow the air through the entrance and exit. It has a door 452 for blocking and a driving device 453 for moving the door.
Further, the opening formed in the partition wall 434 is provided with a shutter device 46 which is closed by a door 461 to selectively prevent the communication between the first and second loading chambers from being sealed. These shutter devices 27, 45 and 46 are adapted to hermetically seal each chamber when in the closed state. Since these shutter devices may be known ones, detailed description of their structures and operations is omitted. The method of supporting the housing 22 of the mini-environment device 20 is different from the method of supporting the loader housing. In order to prevent vibrations from the floor from being transmitted to the loader housing 40 and the main housing 30 via the mini-environment device. In addition, a cushioning material for vibration isolation may be disposed between the housing 22 and the loader housing 40 so as to hermetically surround the entrance.
In the first loading chamber 41, a wafer rack 47 that supports a plurality of (two in this embodiment) wafers in a horizontal state with a vertical space therebetween is provided. As shown in FIG. 5, the wafer rack 47 includes columns 472 fixed in an upright state at four corners of a rectangular substrate 471 and separated from each other, and each column 472 is formed with two-stage supporting portions 473 and 474, respectively. Then, the peripheral edge of the wafer W is placed and held on the supporting portion. The distal ends of the arms of the first and second transfer units, which will be described later, are brought closer to the wafer from between adjacent columns, and the arm grips the wafer.
The loading chambers 41 and 42 are brought into a high vacuum state (with a vacuum degree of 10) by a vacuum exhaust device (not shown) having a known structure including a vacuum pump (not shown).-5-10-6Pa), the atmosphere can be controlled. In this case, the first loading chamber 41 is maintained in a low vacuum atmosphere as a low vacuum chamber, and the second loading chamber 42 is maintained in a high vacuum atmosphere as a high vacuum chamber, thereby effectively preventing wafer contamination. By adopting such a structure, a wafer which is housed in the loading chamber and subsequently subjected to defect inspection can be transferred into the working chamber without delay. By adopting such a loading chamber, the defect inspection throughput is improved together with the principle of the multi-beam type electronic device described later, and the degree of vacuum around the electron source, which is required to be kept in a high vacuum state, is further improved. The state of vacuum can be as high as possible.
The first and second loading chambers 41 and 42 are respectively connected to a vacuum exhaust pipe and a vent pipe (not shown) for an inert gas (for example, dry pure nitrogen). Thereby, the atmospheric pressure state in each loading chamber is achieved by inert gabent (injecting inert gas to prevent oxygen gas other than inert gas from adhering to the surface). Since the apparatus itself for performing such inert gas venting may have a known structure, a detailed description thereof will be omitted.
In the inspection apparatus of the present invention using an electron beam, a typical lanthanum hexaboride (LaB) used as an electron source of an electron optical system described later.6) Etc., once heated to a temperature high enough to emit thermoelectrons, it is important not to make contact with oxygen etc. as much as possible in order not to shorten the life, but an electron optical system is arranged By performing the above-described atmosphere control before the wafer is loaded into the working chamber, the operation can be performed more reliably.
The stage device 50 includes a fixed table 51 arranged on the bottom wall 301 of the main housing 30, a Y table 52 that moves on the fixed table in the Y direction (a direction perpendicular to the plane of FIG. 1), and An X table 53 that moves in the X direction (the horizontal direction in FIG. 1), a rotary table 54 that can rotate on the X table, and a holder 55 that is disposed on the rotary table 54 are provided. The wafer is releasably held on the wafer mounting surface 551 of the holder 55. The holder may have a known structure capable of releasably holding the wafer mechanically or by an electrostatic chuck method.
The stage device 50 operates the plurality of tables as described above by using a servomotor, an encoder, and various sensors (not shown), so that the wafer held by the holder on the mounting surface 551 is electro-optically moved. Positioning can be performed with high accuracy in the X, Y, and Z directions (up and down directions in FIG. 1) with respect to the electron beam emitted from the apparatus, and further around the vertical axis (the θ direction) on the wafer support surface. It has become. The positioning in the Z direction may be performed, for example, so that the position of the mounting surface on the holder can be finely adjusted in the Z direction. In this case, the reference position of the mounting surface is detected by a position measuring device (laser interferometer that uses the principle of an interferometer) using a fine-diameter laser, and the position is controlled by a feedback circuit (not shown). Instead, the position of the notch or the orientation flat of the wafer is measured, the plane position and the rotation position of the wafer with respect to the electron beam are detected, and the rotation table is rotated and controlled by a stepping motor capable of controlling a minute angle.
Servo motors 521 and 531 and encoders 522 and 532 for the stage device are arranged outside the main housing 30 in order to minimize the generation of dust in the working chamber. Note that the stage device 50 may have a known structure used in, for example, a stepper, and a detailed description of its structure and operation will be omitted. Further, since the laser interference distance measuring device may have a known structure, a detailed description of the structure and operation thereof will be omitted.
Signals obtained by inputting the rotational position of the wafer with respect to the electron beam and the X and Y positions in advance to a signal detection system or an image processing system to be described later can be standardized. Further, the wafer chuck mechanism provided in the holder is adapted to apply a voltage for chucking the wafer to the electrodes of the electrostatic chuck, and to apply three voltages (preferably equally spaced in the circumferential direction) on the outer peripheral portion of the wafer. (Located between them). The wafer chuck mechanism includes two fixed positioning pins and one pressing crank pin. The clamp pin is capable of realizing automatic chucking and automatic release, and constitutes a conduction part of voltage application.
In this embodiment, the table that moves in the left-right direction is the X table and the table that moves in the vertical direction is the Y table in FIG. 2, but the table that moves in the left-right direction is the Y table in FIG. The table may be an X table.
The loader 60 includes a robot-type first transfer unit 61 disposed in the housing 22 of the mini-environment device 20 and a robot-type second transfer unit 63 disposed in the second loading chamber 42. Have. The first transport unit 61 has an axis O with respect to the drive unit 611.1-O1Has a multi-articulated arm 612 that is rotatable about the arm. Although any structure can be used as the multi-joint arm, this embodiment has three portions that are rotatably attached to each other. One portion of the arm 612 of the first transport unit 61, that is, the first portion closest to the driving unit 611, is a shaft rotatable by a driving mechanism (not shown) having a known structure provided in the driving unit 611. 613.
The arm 612 has an axis O1-O1About the axis O as a whole due to the relative rotation between the parts.1-O1Can be expanded and contracted in the radial direction. A gripping device 616 for gripping a wafer such as a mechanical chuck or an electrostatic chuck having a known structure is provided at a distal end of a third portion farthest from the shaft 613 of the arm 612. The drive unit 611 is vertically movable by a lifting mechanism 615 having a known structure.
In the first transfer unit 61, the arm extends in one of the directions M1 or M2 of the two cassettes c in which the arm 612 is held by the cassette holder, and one wafer accommodated in the cassette c is transferred. It is placed on the arm or gripped and taken out by a chuck (not shown) attached to the tip of the arm. Thereafter, the arm contracts (as shown in FIG. 2), rotates to a position where the arm can extend in the direction M3 of the pre-aligner 25, and stops at that position. Then, the arm is extended again, and the wafer held by the arm is placed on the pre-aligner 25. After receiving the wafer from the pre-aligner in the opposite direction, the arm further rotates and stops at a position (direction M3) where it can extend toward the second loading chamber 41, and the wafer receiver 47 in the second loading chamber 41 is stopped. Hand over the wafer to
When mechanically gripping the wafer, the wafer is gripped at the peripheral portion (range of about 5 mm from the peripheral edge) or the back surface. This is because devices (circuit wiring) are formed on the entire surface of the wafer except for the peripheral portion, and gripping this portion causes breakage of the device and generation of defects.
The second transfer unit 63 also has basically the same structure as the first transfer unit, and is different only in that the transfer of the wafer is performed between the wafer rack 47 and the mounting surface of the stage device. Therefore, detailed description is omitted.
In the loader 60, the first and second transfer units 61 and 63 transfer the wafer from the cassette held by the cassette holder onto the stage device 50 disposed in the working chamber 31 and vice versa. It is performed while maintaining the state, and the arm of the transfer unit moves up and down simply by taking out the wafer from the cassette and inserting it into it, placing the wafer on the wafer rack and taking it out therefrom, and stage device for the wafer. Only when placing on and removing from. Therefore, a large wafer, for example, a wafer having a diameter of 30 cm can be moved smoothly.
Next, transfer of a wafer from the cassette c supported by the cassette holder to the stage device 50 disposed in the working chamber 31 will be described in order.
As described above, the cassette holder 10 has a structure suitable for manually setting a cassette, and a structure suitable for automatically setting a cassette. In this embodiment, when the cassette c is set on the elevating table 11 of the cassette holder 10, the elevating table 11 is lowered by the elevating mechanism 12, and the cassette c is aligned with the entrance 225.
When the cassette is aligned with the entrance 225, a cover (not shown) provided on the cassette is opened, and a cylindrical cover is arranged between the cassette c and the entrance 225 of the mini-environment, so that the inside of the cassette and the mini-environment are arranged. Isolate the environment space from the outside. Since these structures are publicly known, a detailed description of their structures and operations will be omitted. When a shutter device for opening and closing the entrance 225 is provided on the mini-environment device 20 side, the shutter device operates to open the entrance 225.
On the other hand, the arm 612 of the first transfer unit 61 is stopped in a state facing either the direction M1 or M2 (the direction of M1 in this description), and when the entrance 225 is opened, the arm extends and enters the cassette at the tip. One of the accommodated wafers is received. In this embodiment, the vertical position adjustment between the arm and the wafer to be taken out of the cassette is performed by the vertical movement of the drive unit 611 and the arm 612 of the first transfer unit 61. It may be moved up and down or both.
When the reception of the wafer by the arm 612 is completed, the arm contracts, the shutter device is operated to close the doorway (if there is a shutter device), and then the arm 612 moves to the axis O.1-O1And can be extended in the direction M3. Then, the arm extends to place the wafer placed on the tip or held by the chuck on the pre-aligner 25, and the pre-aligner adjusts the direction of rotation of the wafer (the direction around the central axis perpendicular to the wafer plane). Position within a predetermined range. When the positioning is completed, the transfer unit 61 receives the wafer from the pre-aligner 25 at the tip of the arm, and then contracts the arm, so that the arm can be extended in the direction M4. Then, the door 272 of the shutter device 27 moves to open the entrances 223 and 236, and the arm 612 extends to place the wafer on the upper or lower side of the wafer rack 47 in the first loading chamber 41. Before the shutter device 27 opens and the wafer is transferred to the wafer rack 47 as described above, the opening 435 formed in the partition wall 434 is closed by the door 461 of the shutter device 46 in an airtight state.
In the process of transferring the wafer by the first transfer unit, clean air flows in a laminar flow (as a down flow) from the gas supply unit 231 provided on the housing of the mini-environment device, and dust is transferred during the transfer. Prevents adhesion to the upper surface of the wafer. Part of the air around the transfer unit (in this embodiment, air that is mainly contaminated with about 20% of the air supplied from the supply unit) is sucked from the suction duct 241 of the discharge device 24 and discharged out of the housing. The remaining air is collected through a collection duct 232 provided at the bottom of the housing and returned to the gas supply unit 231 again.
When a wafer is loaded by the first transfer unit 61 into the wafer rack 47 in the first loading chamber 41 of the loader housing 40, the shutter device 27 closes and the inside of the loading chamber 41 is sealed. Then, the first loading chamber 41 is filled with an inert gas to expel air, and then the inert gas is also discharged, so that the loading chamber 41 is evacuated. The vacuum atmosphere of the first loading chamber may be a low vacuum. When a certain degree of vacuum is obtained in the loading chamber 41, the shutter device 46 is operated to open the entrance 434 sealed by the door 461, and the arm 632 of the second transfer unit 63 is extended to hold the wafer by the gripping device at the tip. One wafer is received from the receiver 47 (placed on the front end or gripped by a chuck attached to the front end). When the reception of the wafer is completed, the arm contracts, and the shutter device 46 operates again to close the entrance 435 with the door 461.
Before the shutter device 46 is opened, the arm 632 is in a posture in which it can be extended in the direction N1 of the wafer rack 47 in advance. Also, as described above, the doors 452 of the shutter device 45 close the entrances 437 and 325 before the shutter device 46 is opened, and the communication between the second loading chamber 42 and the working chamber 31 is blocked in an airtight state. The inside of the second loading chamber 42 is evacuated.
When the shutter device 46 closes the entrance 435, the inside of the second loading chamber is evacuated again, and the inside of the second loading chamber is evacuated to a higher degree of vacuum than in the first loading chamber. Meanwhile, the arm of the second transfer unit 61 is rotated to a position where it can extend toward the stage device 50 in the working chamber 31. On the other hand, in the stage device in the working chamber 31, the Y table 52 is0-O0Is the rotation axis O of the second transport unit 632-O2X axis passing through1-X1The X table 53 is moved upward to a position almost coincident with the position shown in FIG. 2, and the X table 53 is moved to a position approaching the leftmost position in FIG. 2, and stands by in this state. When the vacuum state of the second loading chamber becomes substantially the same as the vacuum state of the working chamber, the door 452 of the shutter device 45 moves to open the entrances 437 and 325, and the arm extends, and the tip of the arm holding the wafer is moved to the inside of the working chamber 31. Approach the stage device. Then, the wafer is mounted on the mounting surface 551 of the stage device 50. When the mounting of the wafer is completed, the arm contracts, and the shutter device 45 closes the entrances 437 and 325.
The operation up to the transfer of the wafers in the cassette c to the stage device has been described above. However, in order to return the processed wafers placed on the stage device from the stage device to the cassette c, reverse the above. Perform the operation of and return. Further, since a plurality of wafers are placed on the wafer rack 47, while the wafer is transferred between the wafer rack and the stage device by the second transfer unit, the cassette and the wafer rack are transferred by the first transfer unit. The wafer can be transported between the two, and the inspection process can be performed efficiently.
Specifically, when there is a processed wafer A and an unprocessed wafer B in the wafer rack 47 of the second transfer unit, first, the unprocessed wafer B is moved to the stage device 50 to start the processing. During this processing, the processed wafer A is moved from the stage device 50 to the wafer rack 47 by the arm, and the unprocessed wafer C is extracted from the wafer rack 47 by the same arm and positioned by the pre-aligner. Move to the rack 47. In this manner, in the wafer rack 47, the processed wafer A is replaced with the unprocessed wafer C while the wafer B is being processed.
In addition, depending on how to use such an apparatus for performing inspection and evaluation, a plurality of stage devices 50 are arranged in parallel, and a plurality of wafers are similarly moved by moving wafers from one wafer rack 47 to each device. It can also be processed.
In FIG. 6, a modification of the method of supporting the main housing is indicated by. In the modification shown in FIG. 6A, the housing support device 33a is made of a thick rectangular steel plate 331a, and the housing main body 32a is mounted on the steel plate. Therefore, the bottom wall 321a of the housing main body 32a has a thinner structure than the bottom wall of the embodiment. In the modification shown in FIG. 6B, the housing body 32b and the loader housing 40b are suspended and supported by the frame structure 336b of the housing support device 33b. The lower ends of the plurality of vertical frames 337b fixed to the frame structure 336b are fixed to four corners of a bottom wall 321b of the housing main body 32b, and the bottom wall supports the peripheral wall and the top wall. The vibration isolator 37b is disposed between the frame structure 336b and the base frame 36b.
The loader housing 40 is also suspended by a suspension member 49b fixed to the frame structure 336. In the modified example of the housing main body 32b shown in FIG. 6B, since the housing main body 32b is suspended and supported, it is possible to lower the center of gravity of the main housing and various devices provided therein. In the method of supporting the main housing and the loader housing including the above-described modification, vibration from the floor is not transmitted to the main housing and the loader housing.
In another variant, not shown, only the main part of the main housing is supported from below by the housing support device, and the loader housing can be arranged on the floor in the same way as the adjacent mini-environment device. In yet another variant, not shown, only the housing body of the main housing is suspended from the frame structure and the loader housing can be arranged on the floor in the same way as the adjacent mini-environment device.
The electron optical device 70 (Example 1, FIG. 1) includes a lens barrel 71 fixed to the housing main body 32, in which a primary electron optical system (hereinafter, referred to as a schematic diagram in FIGS. 7 and 8) is provided. An electron optical system including a primary optical system (simply a primary optical system) 72, a secondary electron optical system (hereinafter simply a secondary optical system) 74, and a detection system 76 are provided. The primary optical system 72 is an optical system that irradiates the surface of the wafer W to be inspected with an electron beam, and includes an electron gun 721 that emits an electron beam and an electrostatic lens that focuses the primary electron beam emitted from the electron gun 721. That is, a condenser lens 722, a multi-aperture plate 723 disposed below the condenser lens 722 and formed with a plurality of openings to form a primary electron beam into a plurality of primary electron beams, that is, a multi-beam, and reduce the primary electron beam. A reduction lens 724, which is an electrostatic lens, a Wien filter or an E × B separator 725, and an objective lens 726, which are arranged in order with the electron gun 721 at the top as shown in FIG. The primary electron beam emitted from the electron gun is arranged so that the optical axis is vertical to the surface of the inspection target S.
In order to eliminate the influence of the field curvature aberration of the reduction lens 724 and the objective lens 726, a plurality (nine in this embodiment) of apertures 723a formed in the multi-aperture plate 723 have optical axes as shown in FIG. It is formed on the circumference of a centered circle, and is arranged so that the distance Lx in the X direction of the projected image on the X axis of the opening is the same.
The secondary optical system 74 includes magnifying lenses 741 and 742, which are two-stage electrostatic lenses that pass secondary electrons separated from the primary optical system by the E × B deflector 724, and a multi-aperture detection plate 743. I have. The openings 743a formed in the multi-aperture detection plate 743 correspond one-to-one with the openings 723a formed in the multi-aperture plate 723 of the primary optical system.
The detection system 76 includes a plurality (nine in this embodiment) of detectors 761 arranged in close proximity to the respective openings 743a of the multi-aperture detection plate 743 of the secondary optical system 74, and each of the detectors 761. An image processing unit 763 electrically connected via an A / D converter 762 is provided.
Next, the operation of the electro-optical device having the above configuration (Example 2, FIG. 7) will be described. The primary electron beam emitted from the electron gun 721 is focused by the condenser lens 722 of the primary optical system 72 to form a crossover at the point P1. On the other hand, the primary electron beam focused by the condenser lens 722 is formed into a plurality of primary electron beams through a plurality of openings 723a of the multi-aperture plate, is reduced by the reduction lens 724, and is projected to the position P2. After focusing at the position P2, focusing is further performed on the surface of the wafer W by the objective lens 726. On the other hand, the primary electron beam is deflected by a deflector 727 disposed between the reduction lens 724 and the objective lens 726 so as to scan the surface of the wafer W.
The sample S is irradiated at a plurality of points by a plurality of focused primary electron beams (in this embodiment, nine), and secondary electrons are emitted from the plurality of irradiated points. The secondary electrons are attracted by the electric field of the objective lens 726, are narrowly focused, are deflected by the E × B separator 725, and are input to the secondary optical system 74. The image due to the secondary electrons is focused at a position P3 closer to the deflector 725 than the position P2. This is because the primary electron beam has energy of 500 eV on the wafer surface, while the secondary electron has energy of only several eV.
The image of the secondary electron focused at the position P3 is focused on the corresponding opening 743a of the multi-aperture detection plate 743 by the two-stage magnifying lenses 741 and 742, and the image is arranged corresponding to each opening 743a. Detector 761 detects. The detector 761 converts the detected electron beam into an electric signal representing the intensity. The electric signal converted in this manner is output from each detector 761, is converted into a digital signal by the A / D converter 762, and is input to the image processing unit 763. The image processing unit 763 converts the input digital signal into image data. Since a scanning signal for deflecting the primary electron beam is supplied to the image processing unit 763, the image processing unit displays an image representing the surface of the wafer. The quality of the detected (evaluated) pattern of the wafer W is detected by comparing this image with a standard pattern preset in a setting device (not shown) by a comparator (not shown). Further, the pattern to be measured on the wafer W is moved to a position near the optical axis of the primary optical system by registration, and a line width evaluation signal is taken out by line scanning, and the signal is appropriately calibrated to form a signal on the surface of the wafer. The line width of the formed pattern can be measured.
When the primary electron beam that has passed through the opening of the multi-aperture plate 723 of the primary optical system is focused on the surface of the wafer W, and the secondary electrons emitted from the wafer are imaged on the detector 761, the primary optical system is used. Special attention must be paid to minimize the effects of the three aberrations, i.e., distortion, axial chromatic aberration, and visual field astigmatism.
Regarding the relationship between the intervals between the primary electron beams and the secondary optical system, if the interval between the primary electron beams is separated by a distance larger than the aberration of the secondary optical system, crosstalk between the multiple beams can be reduced. Can be eliminated.
The precharge unit 81 is disposed in the working chamber 31 adjacent to the lens barrel 71 of the electron optical device 70, as shown in FIG. This inspection system is a system that inspects the device pattern formed on the wafer surface by scanning and irradiating the substrate to be inspected, that is, the wafer, with an electron beam. Is used as the information on the wafer surface, the wafer surface may be charged (charged up) depending on conditions such as the material of the wafer and the energy of the irradiation electrons. Further, there is a possibility that a strongly charged portion or a weakly charged portion may occur on the wafer surface. If the charge amount on the wafer surface is uneven, the secondary electron information is also uneven, and accurate information cannot be obtained. Therefore, in the present embodiment, in order to prevent the unevenness, the precharge unit 81 having the charged particle irradiation unit 811 is provided. Before irradiating inspection electrons on a predetermined portion of a wafer to be inspected, charged particles are irradiated from a charged particle irradiation section 811 of the precharge unit to eliminate charging unevenness in order to eliminate charging unevenness. The charge-up of the wafer surface is detected in advance by forming an image of the wafer surface, evaluating the image, and operating the precharge unit 81 based on the detection. Further, in this precharge unit, the primary electron beam may be irradiated with blurring.
In FIG. 9, a potential application mechanism 83 is provided on a stage mounting table on which a wafer is mounted based on the fact that secondary electron information (secondary electron generation rate) emitted from the wafer depends on the potential of the wafer. The generation of secondary electrons is controlled by applying a potential of ± several volts. This potential application mechanism also serves the purpose of decelerating the energy originally possessed by the irradiation electrons and bringing the irradiation electron energy to the wafer at about 100 to 500 eV.
As shown in FIG. 9, the potential application mechanism 83 includes a voltage application device 831 electrically connected to the mounting surface 541 of the stage device 50, a charge-up investigation and voltage determination system (hereinafter, investigation and determination system) 832 And The investigation and determination system 832 includes a monitor 833 electrically connected to the image forming unit 763 of the detection system 76 of the electronic optical device 70, an operator 834 connected to the monitor 833, and a CPU 835 connected to the operator 834. Have. The CPU 835 supplies a signal to the voltage application device 831 and the deflector 727. The potential applying mechanism is designed to search for a potential at which a wafer to be inspected is unlikely to be charged, and apply the potential.
As a method of inspecting an electrical defect of an inspection sample, it is possible to utilize a difference in voltage between the originally electrically insulated portion and the portion when the portion is in a conductive state. First, by applying a charge to the sample in advance, the voltage of the part that is originally electrically insulated and the part of the part that is originally electrically insulated, By generating a potential difference with the voltage and then irradiating the beam of the present invention, data having a potential difference is acquired, and the acquired data is analyzed to detect that the power is on.
In FIG. 10, the electron beam calibration mechanism 85 includes a plurality of Faraday cups 851 and 852 for beam current measurement, which are installed at a plurality of positions on the rotating table on the side of the wafer mounting surface 541. I have. The Faraday cup 851 is for a thin beam (about φ2 μm) and for the Faraday cup 852 for a thick beam (about φ30 μm). In the Faraday cup 851 for a narrow beam, the beam profile was measured by step-feeding the rotary table. The Faraday cup 852 for a thick beam measures the total current amount of the beam. The Faraday cups 851 and 852 are arranged such that the upper surface is at the same level as the upper surface of the wafer W mounted on the mounting surface 541. In this way, the primary electron beam emitted from the electron gun is constantly monitored. This is because the electron gun cannot always emit a constant electron beam, and the amount of emission changes during use.
The alignment control device 87 is a device that positions the wafer W with respect to the electron optical device 70 by using the stage device 50, and roughly aligns the wafer by wide-field observation using the optical microscope 871 (rather than by the electron optical system). The measurement is performed at a low magnification, a high magnification adjustment using the electron optical system of the electron optical device 70, a focus adjustment, an inspection area setting, a pattern alignment, and the like are performed. The reason for inspecting the wafer at a low magnification using the optical system is to automatically inspect the pattern of the wafer. Observing the pattern of the wafer in a narrow field of view using an electron beam and aligning the wafer. This is because it is necessary to easily detect the alignment mark with an electron beam when performing the operation.
The optical microscope 871 is provided in a housing (it may be provided movably in the housing), and a light source for operating the optical microscope is also provided in the housing (not shown). The electron optical system for performing high-magnification observation shares the electron optical system (the primary optical system 72 and the secondary optical system 74) of the electron optical device 70. FIG. 11 is a schematic diagram showing the configuration. In order to observe the observation point on the wafer at a low magnification, the observation point on the wafer is moved into the field of view of the optical microscope by moving the X stage 53 of the stage device 50 in the X direction. The wafer is visually recognized in a wide field of view with the optical microscope 871, and the position to be observed on the wafer is displayed on the monitor 873 via the CCD 872, and the observation position is roughly determined. In this case, the magnification of the optical microscope may be changed from a low magnification to a high magnification.
Next, the stage device 50 is moved by a distance corresponding to the distance δx between the optical axis of the electron optical device 70 and the optical axis of the optical microscope 871, and the observation point on the wafer predetermined by the optical microscope is adjusted by the electronic microscope. Move to the viewing position. In this case, the axis O of the electron optical device3-O3And the optical axis O of the optical microscope 8714-O4(In this embodiment, both are assumed to be displaced only in the direction along the X-axis, but may be displaced in the Y-axis direction). By moving by the value δx, the observed point can be moved to the visual recognition position. After the movement of the observation point to the viewing position of the electron optical device is completed, the observation point is SEM imaged at a high magnification by the electron optical system, and an image is stored or displayed on the monitor 765.
After the observation point of the wafer is displayed on the monitor at a high magnification by the electron optical system in this way, the position deviation of the rotation direction of the wafer with respect to the rotation center of the rotary table 54 of the stage device 50 by a known method, that is, the electron optical system Optical axis O of3-O3Is detected in the rotation direction of the wafer with respect to, and the positional shift of the predetermined pattern with respect to the electron optical device in the X-axis and Y-axis directions is detected. Then, the operation of the stage device 50 is controlled based on the detected value and the data of the inspection mark provided on the wafer or the data related to the shape of the pattern of the wafer, and the wafer is aligned.
Next, an embodiment of a method for manufacturing a semiconductor device according to the present invention will be described with reference to FIGS. FIG. 12 is a flowchart showing one embodiment of a method for manufacturing a semiconductor device according to the present invention. The manufacturing process of this embodiment includes the following main processes.
(1) Wafer manufacturing process for manufacturing a wafer (or wafer preparation process for preparing a wafer)
(2) A mask manufacturing process for manufacturing a mask used for exposure (or a mask preparing process for preparing a mask)
(3) Wafer processing step for performing necessary processing on the wafer
(4) A chip assembling process in which chips formed on a wafer are cut out one by one and made operable.
(5) Chip inspection process for inspecting the resulting chip
Each of the above main steps is further composed of several sub-steps.
Among these main steps, the wafer processing step (3) has a decisive effect on the performance of the semiconductor device. In this step, designed circuit patterns are sequentially stacked on a wafer to form a large number of chips that operate as memories and MPUs. This wafer processing step includes the following steps.
(A) A thin film forming step of forming a dielectric thin film to be an insulating layer, a wiring portion, or a metal thin film to form an electrode portion (using CVD, sputtering, or the like)
(B) an oxidation step of oxidizing the thin film layer and the wafer substrate
(C) A lithography step of forming a resist pattern using a mask (reticle) for selectively processing a thin film layer, a wafer substrate, and the like.
(D) An etching step of processing a thin film layer or a substrate according to a resist pattern (for example, using a dry etching technique)
(E) Ion / impurity implantation / diffusion process
(F) Resist stripping process
(G) Step of inspecting the processed wafer
It should be noted that the wafer processing step is repeated as many times as necessary to manufacture a semiconductor device that operates as designed.
FIG. 13 is a flowchart showing a lithography step which is the core of the wafer processing step shown in FIG. This lithography step includes the following steps.
(A) A resist coating step of coating a resist on a wafer on which a circuit pattern has been formed in the previous step
(B) Step of exposing the resist
(C) a developing step of developing the exposed resist to obtain a resist pattern
(D) Annealing step for stabilizing the developed resist pattern
The above-described semiconductor device manufacturing process, wafer processing process, and lithography process are well known and need not be further described.
When the defect inspection method and the defect inspection apparatus according to the present invention are used in the inspection step (G), even a semiconductor device having a fine pattern can be inspected with a high throughput, so that 100% inspection can be performed, and the product yield can be improved. It is possible to prevent defective products from being shipped.
According to the present invention, the following effects can be obtained.
(A) Since the components of the inspection apparatus using a plurality of electron beams, that is, multiple beams, can be functionally combined, the inspection object can be processed with high throughput.
(B) By providing a sensor for observing cleanliness in the environment space, the inspection of the inspection object can be performed while monitoring dust in the space.
(C) Since the precharge unit is provided, the wafer made of an insulator is hardly affected by the charging.
FIG. 14A is a diagram schematically illustrating an optical system of an electron beam apparatus 1000 according to the third embodiment of the present invention. The primary electron beams emitted from the multi-emitters 1001, 1002, and 1003 are reduced and projected on an image plane 1005 by a condenser lens 1004, further reduced by a lens 1006 and an objective lens 1008, and reduced and projected on a sample surface 1010. Although only one row of the multi-emitter is shown in FIG. 14A, a plurality of rows are provided as shown in FIG. 17A. FIG. 17A is a 3 × 3 emitter, and FIG. 17B is a cross-sectional view taken along line 17B-17B of FIG. 17A. 17A and 17B, reference numeral 1021 denotes a Si substrate; 1022, a Mo emitter; 1023, an Au extraction electrode;3N4It is an insulating film. The number of emitters can be appropriately selected. The lens is formed by arranging two to three plane electrodes having an opening with a diameter of 2 to 10 mm at intervals of 2 to 10 mm in the optical axis direction and applying different voltages to each electrode, and exhibits a convex lens effect.
Secondary electrons emitted from the sample surface 1010 illuminated by the primary electron beams emitted from the multi-emitters 1001, 1002, 1003 are accelerated by the accelerating electric field applied between the sample surface 1010 and the objective lens 1008, and are increased. The secondary electrons emitted at the emission angle are also narrowed down to be incident on the objective lens 1008, pass through the aperture stop 1007, and form an image on the same image plane 1005 as the primary beam by the lens 1006.
An E × B separator 1009 is provided at the position of the image plane 1005, and secondary electrons passing through the lens 1006 are separated from the primary optical system. The E × B separator 1009 has a structure in which an electric field and a magnetic field are perpendicular to each other in a plane perpendicular to the normal line of the sample surface 1010 (upward in the drawing). The relationship is set so that the primary electrons go straight.
The separated secondary electrons are optically enlarged by the lenses 1011 and 1012, and form a plurality of images on the detection surface 1013. On the detection surface 1013, detectors 1014, 1015, and 1016 corresponding to the primary electron beams from the multi-emitters 1001, 1002, and 1003 are provided, and the secondary electrons emitted from the sample surface irradiated by the respective electron beams are provided. Is detected. The multi-emitters 1001, 1002, and 1003 are arranged with their positions slightly shifted in the Z-axis direction in order to correct the curvature of field of the primary optical system. That is, the emitter 1001 on the optical axis is provided at the position farthest from the sample, and the emitter 1002 far from the optical axis is closer to the sample than the position of the emitter 1001 by the value of the field curvature, and the emitter 1002 further away from the optical axis. 1003 is further shifted to a position closer to the sample.
The primary electron beam from the multi-emitter is scanned by the electrostatic deflector 1017 to irradiate the entire surface of the sample. Further, in conjunction with the scanning of the primary electron beam, the electrostatic deflector 1018 provided in the secondary optical system is also scanned, and the secondary electrons always enter predetermined detectors 1014, 1015, and 1016 regardless of the scanning position. Is controlled to That is, the secondary electrons by the primary electron beams from the emitters 1001, 1002, and 1003 are controlled to be incident on the detectors 1014, 1015, and 1016, respectively. The detector or the like is an electrode on a curved surface having a number of holes on the front side of a PIN diode to which a voltage of about 20 kV is applied, and a voltage of about 1 kV is applied to this electrode. Due to the convex lens action of the electric field due to the voltage of 20 kV leaking from the hole, all the secondary electrons coming near the hole pass through the hole and enter the detector. The shape of the curved surface is a shape for correcting the field curvature of the secondary optical system.
Next, the relationship between the irradiation position intervals of a plurality of primary electron beams and the secondary optical system will be described. FIG. 15 is a diagram showing a secondary optical system and an aperture angle. As shown in FIG. 15, it is assumed that secondary electrons within the acceptance angle α1 are formed on the image plane 1005 via the objective lens 1008, the aperture 1007, and the lens 1006. At this time, the half angle of the aperture on the image plane 1005 is αi, and the apparent angles α0 and αi viewed from the objective lens 1008 are αi / α0 = 1 / M, where M is the magnification of the secondary optical system. Become. The angles α0 and α1 are (α1 / α0) = V8 / Vini, where V8 is the beam potential at the objective lens 1008 and Vini is the initial energy of secondary electrons.
FIG. 16 shows the relationship between the aberration on the sample surface 1010 and the half aperture αi. In FIG. 16, δS is a spherical aberration, δcoma is a coma aberration, δC is a chromatic aberration, and δtotal is a total thereof.
Now, if an aberration of 20 μm is allowed, the aperture half angle αi needs to be 5.3 mrad or less. Further, the initial energy Vini of the secondary electrons to be detected is sufficient in consideration of 0.1 eV to 10 eV. When the magnification M is 5 and the beam potential V8 at the objective lens 1008 is 20 kV, α1 = 1185 mrad = 67 0.9 °.
Since 90% or more of secondary electrons can be taken in from an acceptance angle of 0 ° to 60 ° (see, for example, FIG. 6 in US Pat. No. 5,412,210), the aperture half angle αi of the secondary optical system, that is, the resolution, is reduced. If it is about 5.3 mrad and the size of the detector is about four times 20 μm in terms of the sample surface, 90% or more of secondary electrons can be collected without crosstalk. Further, if the interval between the multi-emitters is also about 100 μm, crosstalk between the emitters does not pose a problem. It is not necessary to collect 90% or more of the secondary electrons. If the S / N ratio can be sufficiently obtained by collecting 50% or more, the secondary electrons emitted at an angle smaller than 45 ° may be collected in the detector. This is because the secondary electron yield η is expressed as follows.
η = ∫0 45 °sinθcosθdθ / ∫0 90 °sinθcosθdθ = 0.5
In this way, the primary electron beams are applied to positions farther apart from each other than the distance resolution of the secondary optical system. FIG. 14B is an enlarged view of the electron beam irradiation surface viewed from above. In FIG. 14B, the distance N is the resolution of the sample surface conversion through the lenses 1008, 1011, and 1012. In FIG. 14B, when the distance N is equal to or greater than the distance between two identifiable points, a multi-beam without crosstalk can be obtained, and high throughput can be obtained. The electron beam apparatus configured as described above can be used for defect inspection of semiconductor devices and measurement of minute distances.
In the chip inspection process shown in the flow chart showing an example of the method for manufacturing the semiconductor device shown in FIGS. 12 and 13, the use of the electron beam apparatus in FIG. It is possible to prevent defective products from being shipped.
As is clear from the above description, according to the electron beam apparatus of FIG. 14A, most of the secondary charged particles emitted from the sample can be detected without generating crosstalk, so that the defect inspection with a high S / N ratio can be performed. Alternatively, the pattern line width can be measured.
Further, even if the aberration of the secondary optical system is set to about 20 μm on the sample surface, a sufficient detection result can be obtained. The vertical shape makes it easier to form a plurality of charged particle beams.
Further, a decelerating electric field is applied to the primary optical system and an accelerating electric field is applied to the secondary optical system between the sample surface and the first-stage lens of the secondary optical system. The secondary charged particles emitted easily and in a wide angle range can be formed into a thin particle bundle at the position of the first lens, and the secondary charged particles can be detected efficiently, so that a signal with a good S / N ratio is obtained and the measurement accuracy is improved. .
18A and 18B are cross-sectional views showing a conventional vacuum chamber and stage (moving stage) of a charged beam device, FIG. 19 is a schematic perspective view of a conventional exhaust mechanism, and FIGS. 20A and B are embodiments of the present invention. FIG. 21 is a schematic sectional view of a charged beam device (stage and the like) 2000 of FIG. 4, FIG. 21 is a schematic sectional view of a charged beam device (stage and the like) 2100 of a fifth embodiment of the present invention, and FIG. 23 is a schematic sectional view of a device (stage or the like) 2200, FIG. 23 is a schematic sectional view of a charged beam device (stage or the like) 2300 of Embodiment 7 of the present invention, and FIG. 24 is a charged beam device (stage or the like) of Embodiment 8 of the present invention. FIG. 18 to 24, similar components are designated by the same reference numerals.
20A and 20B show a charged beam device 2000 according to the fourth embodiment. On the upper surface of the Y-direction movable portion 2005 of the stage 2003, a partition plate 2014 that is extended substantially horizontally in the + Y direction and the −Y direction (the left-right direction in FIG. 20B) is attached, and between the upper surface of the X-direction movable portion 2006 The throttle unit 2050 having a small conductance is always configured. A similar partition plate 2012 is also formed on the upper surface of the X-direction movable portion 2006 so as to project in the ± X direction (the left-right direction in FIG. Is formed. The stage table 2007 is fixed on the bottom wall in the housing 2008 by a known method.
For this reason, even if the sample stage 2004 moves to any position, the throttle portions 2050 and 2051 are always formed. Therefore, even if gas is released from the guide surfaces 2006a and 2007a during the movement of the movable portions 2005 and 2006, the throttle portion 2050 is formed. Since the movement of the released gas is hindered by the and 2051, the pressure rise in the space 2024 near the sample irradiated with the charged beam can be suppressed to a very small value.
On the side and lower surfaces of the movable portion 2003 of the stage and on the lower surface of the movable portion 2006, a differential exhaust groove as shown in FIG. 19 is formed around the hydrostatic bearing 2009, and the groove is evacuated by this groove. Therefore, when the throttle portions 2050 and 2051 are formed, gas released from the guide surface is mainly exhausted by these differential exhaust portions. Therefore, the pressure in the space 2013 or 2015 inside the stage is higher than the pressure in the chamber C. Therefore, if the space 2013 or 2015 is not only evacuated by the differential evacuation grooves 2017 or 2018, but if a place for evacuating is provided separately, the pressure of the space 2013 or 2015 can be reduced, and the pressure increase near the sample 2024 can be reduced. It can be even smaller. Evacuation passages 2011-1 and 2011-2 for this purpose are provided. The exhaust passage penetrates through the stage table 2007 and the housing 2008 and communicates with the outside of the housing 2008. Further, the exhaust passage 2011-2 is formed in the X-direction movable portion 2006 and opens on the lower surface of the X-direction movable portion 2006.
When the partition plates 2012 and 2014 are installed, it is necessary to increase the size of the chamber so that the chamber C and the partition plate do not interfere with each other. It is possible. In this embodiment, the partition plate is made of rubber or bellows, and the end of the partition plate in the moving direction is the X-direction movable portion 2006 for the partition plate 2014, and the inner wall of the housing 2008 for the partition plate 2012. It is conceivable to adopt a configuration in which each of them is fixed to each of them.
FIG. 21 shows a charged beam apparatus 2100 according to the fifth embodiment of the present invention. In the fifth embodiment, a cylindrical partition 2016 is formed around the distal end portion of the lens barrel, that is, around the charged beam irradiation unit 2002 so that an aperture portion is formed between the column and the upper surface of the sample S. In such a configuration, even if gas is released from the XY stage and the pressure in the chamber C rises, the inside 2024 of the partition is partitioned by the partition 2016 and exhausted by the vacuum pipe 2010, so that the inside of the chamber C A pressure difference is generated between the inside of the partition and the inside 2024 of the partition, so that the pressure rise in the space 2024 inside the partition can be suppressed low. The gap between the partition 2016 and the sample surface changes depending on how much the pressure inside the chamber C and the pressure around the irradiation unit 2 is maintained, but it is appropriate to be about several tens μm to several mm. The inside of the partition 2016 and the vacuum pipe are communicated by a known method.
Further, in the charged beam irradiation apparatus, a high voltage of about several kV may be applied to the sample S, and if a conductive material is placed near the sample, discharge may occur. In this case, if the material of the partition 2016 is made of an insulating material such as ceramics, no discharge occurs between the sample S and the partition 2016.
The ring member 2004-1 disposed around the sample S (wafer) is a plate-shaped adjustment component fixed to the sample stage 2004, and is used even when a charged beam is applied to the end of the sample such as a wafer. The height is set to be the same as that of the wafer so that a minute gap 2052 is formed over the entire periphery of the leading end of the partition 2016. Thus, no matter what position of the sample S is irradiated with the charged beam, a constant minute gap 2052 is always formed at the tip of the partition 2016, and the pressure in the space 2024 around the tip of the lens barrel can be kept stable. it can.
FIG. 22 shows a charged beam apparatus 2200 according to Embodiment 6 of the present invention. A partition 2019 incorporating a differential pumping structure is provided around the charged beam irradiation unit 2002 of the lens barrel 2001. The partition 2019 has a cylindrical shape, and has a circumferential groove 2020 formed therein, and an exhaust passage 2021 extends upward from the circumferential groove. The exhaust passage is connected to a vacuum pipe 2023 via an internal space 2022. The lower end of the partition 2019 forms a minute gap of about several tens μm to several mm with the upper surface of the sample S.
In such a configuration, even when gas is released from the stage as the stage moves and the pressure in the chamber C rises and the gas tries to flow into the tip portion, that is, the charged beam irradiation unit 2002, the partition 2019 and the sample S are in contact with each other. Since the conductance is made very small by narrowing the gap, the gas is hindered from flowing in and the amount of gas flowing in is reduced. Further, since the inflowing gas is exhausted from the circumferential groove 2020 to the vacuum pipe 2023, almost no gas flows into the space 2024 around the charged beam irradiation unit 2002, and the pressure of the charged beam irradiation unit 2002 is increased to a desired level. The vacuum can be maintained.
FIG. 23 shows a charged beam apparatus 2300 according to the seventh embodiment of the present invention. A partition 2026 is provided around the chamber C and the charged beam irradiation unit 2002, and separates the charged beam irradiation unit 2002 from the chamber C. The partition 2026 is connected to a refrigerator 2030 via a support member 2029 made of a material having good heat conductivity such as copper or aluminum, and is cooled to about -100 ° C to 200 ° C. The member 2027 is for inhibiting heat conduction between the cooled partition 2026 and the lens barrel, and is made of a material having poor heat conductivity such as ceramics or resin material. The member 2028 is made of a non-insulating material such as ceramics and is formed at the lower end of the partition 2026 and has a role of preventing the sample S and the partition 2026 from being discharged.
With such a configuration, gas molecules that are going to flow into the charged beam irradiation unit from inside the chamber C are prevented from flowing in by the partition 2026, and even if they flow in, they are frozen and collected on the surface of the partition 2026. The pressure of the charged beam irradiation unit 2024 can be kept low. As the refrigerator, a refrigerator using liquid nitrogen, a He refrigerator, a pulse tube refrigerator, or the like can be used.
FIG. 24 shows a charged beam apparatus 2400 according to the eighth embodiment of the present invention. As shown in FIG. 20, partition plates 2012 and 2014 are provided on both movable parts of the stage 2003. Even if the sample table 2004 is moved to an arbitrary position, the partitions in the stage are separated by these partitions. 2013 and the inside of the chamber C are partitioned via the apertures 2050 and 2051. Further, a partition 2016 similar to that shown in FIG. 21 is formed around the charged beam irradiation unit 2002, and a space 2024 in the chamber C and the charged beam irradiation unit 2002 is partitioned via an aperture 2052. I have. For this reason, even when the gas adsorbed on the stage is released into the space 2013 and the pressure in this portion is increased when the stage moves, the pressure increase in the chamber C is suppressed to a low level, and the pressure increase in the space 2024 is further suppressed to a low level. Can be Thus, the pressure in the charged beam irradiation space 2024 can be kept low. Further, the space 2019 is stably formed at a lower pressure by using a partition 2019 incorporating a differential exhaust mechanism as shown in a partition 2016 or a partition 2026 cooled by a refrigerator as shown in FIG. Will be able to maintain.
FIG. 25 schematically illustrates an optical system and a detection system of a charged beam apparatus 2500 according to the ninth embodiment. The optical system is provided in the lens barrel, but the optical system and the detector are merely examples, and any optical system and detector can be used as needed. The optical system 2060 of the charged beam device includes a primary optical system 2061 for irradiating the sample S mounted on the stage 2003 with a charged beam, a secondary optical system 2071 for receiving secondary electrons emitted from the sample, It has. The primary optical system 2061 includes an electron gun 2062 for emitting a charged beam, lens systems 2063 and 2064 including two-stage electrostatic lenses for focusing the charged beam emitted from the electron gun 2011, a deflector 2065, and a charged beam. 18 is provided with a Wien filter or an E × B separator 2066 for deflecting the optical axis so that its optical axis is perpendicular to the plane of the object, and lens systems 2067 and 2068 each composed of a two-stage electrostatic lens. The optical axis of the charged beam is arranged so as to be inclined with respect to a line perpendicular to the surface of the sample S (sample surface) in order with the electron gun 2061 at the top as shown in FIG. The E × B deflector 2066 includes an electrode 2661 and a magnet 2662.
The secondary optical system 2071 is an optical system into which secondary electrons emitted from the sample S are injected, and is a lens system including a two-stage electrostatic lens arranged above the primary optical system E × B deflector 2066. 2072 and 2073 are provided. The detector 2080 detects the secondary electrons sent via the secondary optical system 2071. Since the structure and function of each component of the optical system 2060 and the detector 2080 are the same as those of the related art, detailed description thereof will be omitted.
The charged beam emitted from the electron gun 2011 is shaped by the square aperture of the electron gun, reduced by the two-stage lens systems 2063 and 2064, adjusted in the optical axis by the polarizer 2065, and deflected by the E × B deflector 2066. An image is formed into a square having a side of 1.25 mm on the center plane. The E × B deflector 2066 has a structure in which an electric field and a magnetic field are orthogonal to each other in a plane perpendicular to the normal line of the sample. At other times, it is deflected in a predetermined direction by the mutual relationship between the electric field, the magnetic field and the energy of the electric field. In FIG. 25, settings are made so that the charged beam from the electron gun is perpendicularly incident on the sample S, and secondary electrons emitted from the sample are made to travel straight toward the detector 2080. The shaped beam deflected by the E × B polarizer is reduced to 1 / by the lens systems 2067 and 2068 and projected onto the sample S. Secondary electrons having information of the pattern image emitted from the sample S are enlarged by the lens systems 2067 and 2068 and 2072 and 2073, and the secondary electron image is formed by the detector 2080. The four-stage magnifying lens is a distortion-free lens because the lens systems 2067 and 2068 form a symmetric tablet lens, and the lens systems 2072 and 2073 also form a symmetric tablet lens.
In the inspection step (G) or the exposure step (c) of the flowchart showing an example of the method of manufacturing the semiconductor device in FIGS. 12 and 13, the defect inspection apparatus and the defect inspection method according to the third to eighth embodiments of the present invention With the use of the exposure apparatus and the exposure method, a fine pattern can be inspected or exposed stably with high accuracy, so that the yield of products can be improved and defective products can be prevented from being shipped.
According to the third to eighth embodiments of the electron beam apparatus according to the present invention, the following effects can be obtained.
(A) According to Embodiments 4 and 5 (FIGS. 20 and 21), the stage device can exhibit high-precision positioning performance in a vacuum, and the pressure at the charged beam irradiation position is unlikely to increase. That is, it is possible to perform the processing with the charged beam on the sample with high accuracy.
(B) According to the sixth embodiment (FIG. 22), the gas discharged from the static pressure bearing support portion hardly passes through the partition and does not pass to the charged beam irradiation area side. Thereby, the degree of vacuum at the charged beam irradiation position can be further stabilized.
(C) According to the seventh embodiment (FIG. 23), it becomes difficult for the released gas to pass to the charged beam irradiation area side, and it is easy to stably maintain the degree of vacuum in the charged beam irradiation area.
(D) According to the eighth embodiment (FIG. 24), the inside of the vacuum chamber is divided into three chambers of a charged beam irradiation chamber, a static pressure bearing chamber, and an intermediate chamber via a small conductance. Then, the vacuum exhaust system is configured so that the pressure of each chamber becomes the charged beam irradiation chamber, the intermediate chamber, and the static pressure bearing chamber in ascending order. The pressure fluctuation to the intermediate chamber is further suppressed by the partition, and the pressure fluctuation to the charged beam irradiation chamber is further reduced by another partition, and the pressure fluctuation can be reduced to a level that is not substantially problematic. .
(E) According to the embodiment 5-7 of the present invention, it is possible to suppress a rise in pressure when the stage moves.
(F) According to the eighth embodiment (FIG. 24) of the present invention, it is possible to further suppress the rise in pressure when the stage moves.
(G) According to the embodiment 5-8 of the present invention, it is possible to realize an inspection apparatus in which the positioning performance of the stage is high in accuracy and the degree of vacuum in the irradiation area of the charged beam is stable, and the inspection performance is high. In addition, it is possible to provide an inspection device that does not cause contamination of the sample.
(H) According to Embodiments 5-8 of the present invention, an exposure apparatus in which the positioning performance of the stage is high in accuracy and the degree of vacuum in the charged beam irradiation area is stable can be realized. An exposure apparatus that does not contaminate the sample can be provided.
(I) According to Embodiment 5-8 of the present invention, a semiconductor is manufactured by a device in which the stage positioning performance is high precision and the degree of vacuum in the charged beam irradiation area is stable, thereby forming a fine semiconductor circuit. it can.
Next, a defect inspection apparatus according to embodiments 9-10 of the present invention will be described with reference to FIGS. FIG. 26 shows a schematic configuration of a defect inspection apparatus 3000 according to the tenth embodiment of the present invention. The defect inspection device 3000 is a so-called projection type inspection device, and includes an electron gun 3001 for emitting a primary electron beam, an electrostatic lens 3002 for deflecting and shaping the emitted primary electron beam, and a device for shaping the formed primary electron beam. An E × B deflector 3003 for deflecting the semiconductor wafer 3005 so as to be substantially perpendicular to the electric field E and the magnetic field B in an orthogonal field, an objective lens 3010 for forming an image of the deflected primary electron beam on the wafer 3005, and evacuating to a vacuum. A stage 3004 provided in a sample chamber (not shown) and movable in a horizontal plane with the wafer 3005 mounted thereon, and a secondary electron beam and / or a reflected electron beam emitted from the wafer 3005 by irradiation of the primary electron beam are predetermined. Lens 3006 of the projection system for projecting and forming an image at a magnification of, and forming the formed image as a secondary electron image of the wafer Out to the detector 3007, and controls the entire apparatus, including a control unit 3016 for executing the processing for detecting defects of the wafer 3005, based on the secondary electron image detected by the detector 3007. Although the secondary electron image includes not only secondary electrons but also contributions from scattered electrons and reflected electrons, it is referred to as a secondary electron image here.
Between the objective lens 3010 and the wafer 3005, a deflection electrode 3011 for deflecting the incident angle of the primary electron beam to the wafer 3005 by an electric field or the like is interposed. A deflection controller 3012 for controlling the electric field of the deflection electrode is connected to the deflection electrode 3011. The deflection controller 3012 is connected to the control unit 3016, and controls the deflection electrodes so that an electric field corresponding to a command from the control unit 3016 is generated by the deflection electrodes 3011. Note that the deflection controller 3012 can be configured as a voltage control device that controls the voltage applied to the deflection electrode 3011.
The detector 3007 can have any configuration as long as the secondary electron image formed by the electrostatic lens 3006 can be converted into a signal that can be post-processed. For example, as shown in detail in FIG. 31, the detector 3007 includes a multi-channel plate 3050, a phosphor screen 3052, a relay optical system 3054, and an image sensor 3056 including a large number of CCD elements. be able to. The multi-channel plate 3050 has a large number of channels in the plate, and generates more electrons while the secondary electrons imaged by the electrostatic lens 3006 pass through the channels. That is, the secondary electrons are amplified. The fluorescent screen 3052 converts the secondary electrons into light by emitting fluorescence by the amplified secondary electrons. The relay lens 3054 guides the fluorescence to the CCD image sensor 3056, which converts the intensity distribution of the secondary electrons on the surface of the wafer 3005 into an electric signal for each element, that is, digital image data, and outputs it to the control unit 3016. I do.
The control unit 3016 can be configured by a general-purpose personal computer or the like as illustrated in FIG. The computer includes a control unit main body 3014 for executing various controls and arithmetic processing according to a predetermined program, a CRT 3015 for displaying processing results of the main body 3014, and an input unit 3018 such as a keyboard and a mouse for an operator to input commands. Of course, the control unit 3016 may be configured by hardware dedicated to the defect inspection apparatus, a workstation, or the like.
The control unit main body 3014 includes various control boards such as a CPU, a RAM, a ROM, a hard disk, and a video board (not shown). On a memory such as a RAM or a hard disk, a secondary electronic image storage area 3008 for storing an electric signal received from the detector 7, that is, digital image data of a secondary electronic image of the wafer 3005 is allocated. Further, on the hard disk, there is a reference image storage unit 3013 that previously stores reference image data of a wafer having no defect.
In addition to a control program for controlling the entire defect inspection apparatus, a secondary electronic image data is read from a storage area 3008 on the hard disk, and a defect detection for automatically detecting a defect of the wafer 3005 based on the image data according to a predetermined algorithm. A program 3009 is stored. The defect detection program 3009 automatically detects a defective portion by matching the reference image read from the reference image storage unit 3013 with the actually detected secondary electron beam image, as will be described in detail later. If it is determined that there is a defect, a function of displaying a warning to the operator is provided. At this time, the secondary electronic image 3017 may be displayed on the display unit of the CRT 3015.
Next, the operation of the defect inspection apparatus 3000 according to the tenth embodiment will be described with reference to the flowcharts of FIGS.
First, as shown in the flow of the main routine in FIG. 28, a wafer 3005 to be inspected is set on the stage 3004 (step 3300). This may be a mode in which a large number of wafers stored in a loader (not shown) are automatically set on a stage one by one.
Next, images of a plurality of inspection regions displaced from each other while partially overlapping on the XY plane of the wafer surface are acquired (step 3304). As shown in FIG. 32, the plurality of inspection areas to be acquired are, for example, reference numerals 3032a, 3032b,. . . 3032k,. . . It is understood that these are shifted around the inspection pattern 3030 of the wafer while being partially overlapped. For example, as shown in FIG. 27, 16 images 3032 (inspection images) of the inspection area are acquired. Here, in the image shown in FIG. 27, a rectangular cell corresponds to one pixel (or a block unit larger than the pixel may be used), and a black cell corresponds to an image portion of a pattern on a wafer. Details of this step 3304 will be described later with reference to the flowchart of FIG.
Next, the image data of the plurality of inspection areas acquired in step 3034 are compared and compared with the reference image data stored in the storage unit 3013 (step 3308 in FIG. 28), and are covered by the plurality of inspection areas. It is determined whether or not there is a defect on the wafer inspection surface. In this step, a so-called matching process between image data is performed, and details thereof will be described later with reference to a flowchart of FIG.
If it is determined from the comparison result of step 3308 that there is a defect on the wafer inspection surface covered by the plurality of inspection areas (Yes in step 3312), an operator is warned of the presence of the defect (step 3318). As a warning method, for example, a message notifying the presence of a defect may be displayed on the display unit of the CRT 3015, and at the same time, an enlarged image 3017 of the pattern having the defect may be displayed. Such a defective wafer may be immediately taken out of the sample chamber 3 and stored in a storage location different from the wafer having no defect (step 3319).
As a result of the comparison processing in step 3308, when it is determined that there is no defect in the wafer 3005 (No in step 3312), it is determined whether or not a region to be inspected still remains for the wafer 3005 to be inspected. Is performed (step 3314). If there is an area to be inspected (Yes at Step 3314), the stage 4 is driven, and the wafer 3005 is moved so that another area to be inspected enters the irradiation area of the primary electron beam (Step 3316). . Thereafter, the process returns to step 3302, and the same processing is repeated for the other inspection area.
If there is no area to be inspected (No at Step 3314), or after the step of extracting a defective wafer (Step 3319), whether or not the wafer 3005 currently to be inspected is the final wafer is determined. That is, it is determined whether or not an uninspected wafer remains in the loader (not shown) (step 3320). If it is not the last wafer (step 3320, negative determination), the inspected wafer is stored in a predetermined storage location, and a new uninspected wafer is set on the stage 3004 instead (step 3322). Thereafter, the process returns to step 3302 to repeat the same processing for the wafer. If it is the last wafer (Yes at Step 3320), the inspected wafer is stored in a predetermined storage location, and the entire process is completed.
Next, the flow of the process of step 3304 will be described with reference to the flowchart of FIG. In FIG. 29, first, the image number i is set to the initial value 1 (step 3330). This image number is an identification number sequentially assigned to each of the plurality of inspection area images. Next, the image position (Xi, Yi) is determined for the inspection area of the set image number i (step 3332). The image position is defined as a specific position in the region for defining the inspection region, for example, a center position in the region. At the present time, since i = 1, the image position is (X1, Y1), which corresponds to, for example, the center position of the inspection area 3332a shown in FIG. The image positions of all the image areas to be inspected are determined in advance, and are stored, for example, on the hard disk of the control unit 3316, and are read out in step 3332.
Next, the deflection controller 3312 controls the deflection electrode 3311 so that the primary electron beam passing through the deflection electrode 3011 in FIG. 26 irradiates the inspection image area at the image position (Xi, Yi) determined in step 3332. A potential is applied (step 3334 in FIG. 29).
Next, a primary electron beam is emitted from the electron gun 3001 and is irradiated onto the surface of the set wafer 3005 through the electrostatic lens 3002, the E × B deflector 3003, the objective lens 3010, and the deflection electrode 3011 (step 3336). At this time, the primary electron beam is deflected by the electric field created by the deflection electrode 3011 and is irradiated over the entire inspection image area at the image position (Xi, Yi) on the wafer inspection surface 3034. When the image number i = 1, the inspection area is 3032a.
Secondary electrons and / or reflected electrons (hereinafter, only “secondary electrons”) are emitted from the region to be inspected irradiated with the primary electron beam. Therefore, the generated secondary electron beam is imaged on the detector 3007 at a predetermined magnification by the electrostatic lens 3006 of the magnifying projection system. The detector 3007 detects the formed secondary electron beam, and converts and outputs an electric signal for each detection element, that is, digital image data (step 3338). Then, the digital image data of the detected image number i is transferred to the secondary electronic image storage area 8 (step 3340).
Next, the image number i is incremented by 1 (step 3342), and it is determined whether or not the incremented image number (i + 1) exceeds a fixed value iMAX (step 3344). This iMAX is the number of images to be inspected to be acquired, and is “16” in the above-described example of FIG.
If the image number i does not exceed the fixed value iMAX (No at Step 3344), the process returns to Step 3332 to determine the image position (Xi + 1, Yi + 1) again for the incremented image number (i + 1). This image position is a position shifted from the image position (Xi, Yi) determined in the previous routine by a predetermined distance (ΔXi, ΔYi) in the X direction and / or the Y direction. In the example of FIG. 32, the inspection area is a position (X2, Y2) moved only in the Y direction from (X1, Y1), and is a rectangular area 3032b indicated by a broken line. It should be noted that the value of (ΔXi, ΔYi) (i = 1, 2,... IMAX) is based on data indicating how much the pattern 3030 on the wafer inspection surface 3034 actually deviates from the field of view of the detector 3007 empirically. The number and area of the region to be inspected can be appropriately determined.
Then, the processing of steps 3332 to 3342 is sequentially and repeatedly executed for iMAX inspection areas. As shown in FIG. 32, these inspection areas are partially overlapped on the inspection surface 3034 of the wafer so as to become the inspection image area 3032k at the image position (Xk, Yk) moved k times. Are shifted. In this way, the 16 image data to be inspected illustrated in FIG. 27 are acquired in the image storage area 3008. It can be seen that the acquired images 3032 (inspection images) of a plurality of inspection regions partially or completely include the image 3030a of the pattern 3030 on the wafer inspection surface 3034 as illustrated in FIG.
If the incremented image number i exceeds iMAX (Yes at Step 3344), this subroutine is returned to shift to the comparison step (Step 3308) of the main routine in FIG.
The image data transferred to the memory in step 3340 includes the intensity value of secondary electrons (so-called solid data) for each pixel detected by the detector 3007, but is compared in a subsequent comparison step (step 3308 in FIG. 28). In order to perform the matching calculation with the reference image, the data can be stored in the storage area 3008 in a state where various calculation processes have been performed. Such arithmetic processing includes, for example, normalization processing for matching the size and / or density of the image data with the size and / or density of the reference image data, or an isolated pixel group having a predetermined number of pixels or less as noise. There is a removal process. Furthermore, instead of simple solid data, data compression conversion may be performed on a feature matrix in which the features of the detected pattern are extracted within a range that does not reduce the detection accuracy of the high-definition pattern.
As such a feature matrix, for example, a two-dimensional inspection area including M × N pixels is divided into m × n (m <M, n <N) blocks, and the secondary electrons of the pixels included in each block are divided. There is an m × n feature matrix or the like in which the sum of the intensity values (or a normalized value obtained by dividing the sum by the total number of pixels of the entire inspection area) is used as each matrix component. In this case, the reference image data is also stored in the same expression. The image data referred to in the tenth embodiment of the present invention includes not only solid data but also image data whose features are extracted by an arbitrary algorithm.
Next, the flow of the process of step 3308 will be described with reference to the flowchart of FIG.
First, the CPU of the control unit 3016 reads the reference image data from the reference image storage unit 3013 (FIG. 26) onto a working memory such as a RAM (step 3350). This reference image is represented by reference numeral 3036 in FIG. Then, the image number i is reset to 1 (step 3352), and the inspection image data of the image number i is read from the storage area 3008 onto the working memory (step 3354).
Next, the read reference image data is matched with the data of the image i, and a distance value Di between the two is calculated (step 3356). The distance value Di represents the similarity between the reference image and the image to be inspected i, and the greater the distance value, the greater the difference between the reference image and the image to be inspected. As the distance value Di, any value can be adopted as long as it represents a similarity. For example, when the image data is composed of M × N pixels, the secondary electron intensity (or feature amount) of each pixel is regarded as each position vector component of the M × N dimensional space, and the reference image vector on the M × N dimensional space is considered. And the Euclidean distance or the correlation coefficient between the image i vector and the i vector may be calculated. Of course, it is also possible to calculate a distance other than the Euclidean distance, for example, a so-called city area distance. Furthermore, when the number of pixels is large, the amount of calculation becomes enormous. Therefore, a distance value between image data represented by m × n feature vectors may be calculated as described above.
Next, it is determined whether or not the calculated distance value Di is smaller than a predetermined threshold Th (step 3358). The threshold value Th is experimentally obtained as a criterion for determining a sufficient match between the reference image and the image to be inspected.
When the distance value Di is smaller than the predetermined threshold value Th (Yes at Step 3358), it is determined that the inspection surface 3034 of the wafer 3005 has no defect (Step 3360), and the subroutine is returned. That is, if at least one of the images to be inspected substantially matches the reference image, it is determined that there is no defect. Since it is not necessary to perform matching with all the images to be inspected, high-speed determination can be performed. In the case of the example of FIG. 27, it can be seen that the inspected image in the third row and the third column substantially matches the reference image without any positional displacement.
If the distance value Di is equal to or greater than the predetermined threshold value Th (No in Step 3358), the image number i is incremented by 1 (Step 3362), and it is determined whether or not the incremented image number (i + 1) exceeds a certain value iMAX. (Step 3364).
If the image number i does not exceed the fixed value iMAX (No in Step 3364), the process returns to Step 3354 again, reads out image data for the incremented image number (i + 1), and repeats the same processing.
When the image number i exceeds the fixed value iMAX (Yes at Step 3364), it is determined that the inspection surface 3034 of the wafer 3005 is “defective” (Step 3366), and the subroutine is returned. That is, if all of the images to be inspected do not substantially match the reference image, it is determined that “there is a defect”.
In the defect inspection device 3000 of the present invention, not only the above-described projection type electron beam device but also a so-called scanning type electron beam device can be used. This will be described as a tenth embodiment with reference to FIG.
FIG. 33 is a diagram schematically showing an electron beam apparatus 3100 according to Embodiment 11 of the present invention. In FIG. 33, an electron beam emitted from an electron gun 3061 is focused by a condenser lens 3062 and crossed at a point 3064. To form
Below the condenser lens 3062, a first multi-aperture plate 3063 having a plurality of openings is arranged, thereby forming a plurality of primary electron beams. Each of the primary electron beams formed by the first multi-aperture plate 3063 is reduced by a reduction lens 3065 and projected to a point 3075. After focusing at the point 3075, the sample 3068 is focused by the objective lens 3067. A plurality of primary electron beams emitted from the first multi-aperture plate 3063 are deflected by a deflector 3080 disposed between the reduction lens 3065 and the objective lens 3067 so as to simultaneously scan the surface of the sample 3068. .
As shown in the upper right part of FIG. 33, the multi-aperture plate 3063 has a small aperture arranged on the circumference and is projected in the X direction so that the field curvature aberration of the reduction lens 3065 and the objective lens 3067 does not occur. Have a structure with equal intervals.
The plurality of focused primary electron beams irradiate a plurality of points on the sample 3068, and the secondary electron beams emitted from the plurality of radiated points are attracted to the electric field of the objective lens 3067 to be narrowly focused. Then, the light is deflected by the E × B separator 3066 and is input to the secondary optical system. The secondary electron image focuses on point 3076, which is closer to objective lens 3067 than point 3075. This is because each primary electron beam has energy of 500 eV on the sample surface, whereas the secondary electron beam has energy of only several eV.
The secondary optical system has magnifying lenses 3069 and 3070, and the secondary electron beam passing through these magnifying lenses 3069 and 3070 forms images at a plurality of openings of the second multi-aperture plate 3071. Then, the light is detected by a plurality of detectors 3072 through these openings. As shown in the upper right part of FIG. 33, the plurality of openings formed in the second multi-aperture plate 3071 disposed in front of the detector 3072 and the plurality of openings formed in the first multi-aperture plate 3063 One-to-one correspondence.
Each detector 3072 converts the detected secondary electron beam into an electric signal representing its intensity. The electrical signals output from each of these detectors are amplified by the amplifier 3073, respectively, and then received by the image processing unit 3074 and converted into image data. Since a scanning signal for deflecting the primary electron beam is further supplied to the image processing unit 3074 from the deflector 3080, the image processing unit 3074 displays an image representing the surface of the sample 3068. This image corresponds to one of a plurality of images to be inspected at different positions (FIG. 27) described in the first embodiment. By comparing this image with the reference image 3036, a defect of the sample 3068 can be detected. Further, the pattern to be evaluated on the sample 3068 is moved to a position near the optical axis of the primary optical system by registration, and a line width evaluation signal is taken out by line scanning, and this is appropriately calibrated. The line width of the pattern can be measured.
Here, when the primary electron beam passing through the opening of the first multi-aperture plate 3063 is focused on the surface of the sample 3068 and the secondary electron beam emitted from the sample 3068 is imaged on the detector 3072, Care should be taken to minimize the effects of three aberrations, distortion, field curvature and field astigmatism, which occur in the optical system and the secondary optical system.
Next, regarding the relationship between the intervals between a plurality of primary electron beams and the secondary optical system, crosstalk between a plurality of beams can be obtained by separating the intervals between the primary electron beams by a distance larger than the aberration of the secondary optical system. Can be eliminated.
In the scanning electron beam apparatus 3100 of FIG. 33 as well, the inspection of the sample 3068 is performed according to the flowcharts of FIGS. In this case, the image position (Xi, Yi) in step 3332 in FIG. 29 corresponds to the center position of a two-dimensional image obtained by synthesizing a plurality of line images obtained by scanning the multi-beam. The image position (Xi, Yi) is sequentially changed in a later step. This is performed, for example, by changing the offset voltage of the deflector 3080. The deflector 3080 performs normal line scanning by changing the voltage around the set offset voltage. Needless to say, a deflecting unit separate from the deflector 3080 may be provided to change the image position (Xi, Yi).
The apparatuses according to the above-described tenth and eleventh embodiments can be applied to wafer evaluation in the semiconductor device manufacturing process shown in FIGS. 12 and 13 show a wafer manufacturing process for manufacturing a wafer (or a preparing process for preparing a wafer), a mask manufacturing process for manufacturing a mask used for exposure (or a mask preparing process for preparing a mask), It includes a wafer processing step of performing necessary processing, a chip assembling step of cutting out chips formed on the wafer one by one to make them operable, and a chip inspection step of inspecting the assembled chips.
Among these steps, a step that has a decisive effect on the performance of the semiconductor device is a wafer processing step. In this step, designed circuit patterns are sequentially stacked on a wafer to form a large number of chips that operate as memories and MPUs. This wafer processing step includes the following steps.
{Circle around (1)} A thin film forming step of forming a dielectric thin film to be an insulating layer, a wiring portion, or a metal thin film to form an electrode portion (using CVD or sputtering).
(2) Oxidation process for oxidizing the formed thin film layer and wafer substrate
(3) A lithography process of forming a resist pattern using a mask (reticle) to selectively process thin film layers, wafer substrates, etc.
{Circle around (4)} An etching step of processing a thin film layer or a substrate according to a resist pattern (for example, using a dry etching technique)
5) Ion / impurity implantation diffusion process
(6) Resist stripping process
(7) Inspection process for inspecting the processed wafer
It should be noted that the wafer processing step is repeated by the required number of layers to manufacture a semiconductor device that operates as designed.
The lithography step which is the core of the wafer processing step is shown in the flowchart of FIG. This lithography step includes the following steps.
(1) a resist coating step of coating a resist on the wafer on which the circuit pattern has been formed in the previous step,
(2) an exposure step of exposing the resist,
(3) a developing step of developing the exposed resist to obtain a resist pattern;
(4) an annealing process for stabilizing the developed pattern;
Known processes are applied to the above-described semiconductor device manufacturing process, wafer processing process, and lithography process.
When the defect inspection apparatus 3000 according to each of the above embodiments of the present invention is used in the wafer inspection step (7), even if the semiconductor device has a fine pattern, high accuracy can be obtained without image disturbance of the secondary electron image. Since defects can be inspected in a short time, it is possible to improve the yield of products and prevent shipment of defective products.
The present invention is not limited to the above examples, but can be arbitrarily and suitably changed within the scope of the present invention. For example, although the semiconductor wafer 3005 has been described as an example of a sample to be inspected, the sample to be inspected of the present invention is not limited to this, and an arbitrary sample that can detect a defect by an electron beam can be selected. For example, a mask or the like on which an exposure pattern on a wafer is formed can be used as an inspection target.
In addition, the present invention can be applied not only to an apparatus that performs defect detection using a charged particle beam other than an electron, but also to any apparatus that can obtain an image capable of inspecting a defect of a sample.
Further, the deflection electrode 3011 can be placed not only between the objective lens 3010 and the wafer 3005 but also at any position as long as the irradiation area of the primary electron beam can be changed. For example, there is a space between the ExB deflector 3003 and the objective lens 3010, a space between the electron gun 3001 and the ExB deflector 3003, and the like. Further, the deflection direction may be controlled by controlling the field generated by the E × B deflector 3003. That is, the function of the deflecting electrode 3011 may be shared by the E × B deflector 3003.
In Embodiments 10 and 11, when matching between image data is performed, either matching between pixels or matching between feature vectors is performed. However, both may be combined. For example, first, high-speed matching is performed using a feature vector with a small amount of calculation, and as a result, for an image to be inspected having a high degree of similarity, matching is performed using more detailed pixel data. Can be compatible.
In the tenth and eleventh embodiments of the present invention, the displacement of the image to be inspected is dealt with only by the displacement of the irradiation area of the primary electron beam. However, before or during the matching processing, the optimum matching area is searched on the image data. (For example, regions having high correlation coefficients are detected and matched) and the present invention can be combined. According to this, a large positional deviation of the inspection image can be dealt with by the positional deviation of the irradiation area of the primary electron beam according to the present invention, and a relatively small positional deviation can be absorbed by the subsequent digital image processing. The accuracy of detection can be improved.
Further, as the electron beam apparatus for defect inspection, the configurations shown in FIGS. 26 and 33 have been described, but the electron optical system and the like can be changed as appropriate. For example, the electron beam irradiating means (3001, 3002, 3003) of the defect inspection apparatus shown in FIG. 26 is of a type in which a primary electron beam is incident on the surface of a wafer 3005 from above perpendicularly. The device 3003 may be omitted, and the primary electron beam may be obliquely incident on the surface of the wafer 3005. .
Also, the flow of the flowchart in FIG. 28 is not limited to this. For example, although the defect inspection of the other area is not performed for the sample determined to have a defect in step 3312, the processing flow may be changed so as to cover all the areas and detect the defect. Further, if the irradiation area of the primary electron beam can be enlarged and almost all the inspection area of the sample can be covered by one irradiation, steps 3314 and 3316 can be omitted.
As described above in detail, according to the defect inspection apparatuses of the tenth and eleventh embodiments of the present invention, images of a plurality of inspection areas displaced from each other while partially overlapping on the sample are obtained, and these are obtained. Since the defect of the sample is inspected by comparing the image of the inspected area with the reference image, an excellent effect of preventing a decrease in defect inspection accuracy due to a positional shift between the inspected image and the reference image can be prevented. Is obtained.
Furthermore, according to the device manufacturing method using the tenth and eleventh embodiments of the present invention, the defect inspection of the sample is performed by using the above-described defect inspection apparatus, so that the yield of products is improved and the shipment of defective products is prevented. Excellent effect is obtained.
FIG. 34 is an arrangement diagram showing an electron beam apparatus 4000 according to Embodiment 12 of the present invention. The electron beam apparatus 4000 has an electron gun 4001 for irradiating the sample T with a primary electron beam, and a secondary electron detector 4011 for detecting a secondary electron beam from the sample T. In FIG. 34, reference numeral 4020 denotes an axially symmetric electrode.
The electron beam emitted from the electron gun 4001 is converged by the condenser lens 4002 and forms a crossover at the aperture 4004 that determines the NA (numerical aperture). An aperture plate 4003 is provided below the condenser lens 4002, and a total of eight apertures 4014 are provided in the aperture plate, as shown in FIG. 35A. The aperture 4014 forms an image on the deflection main surface of the E × B separator 4006 by the reduction lens 4005 and is further reduced and projected on the sample surface T by the objective lens 7 to form a primary electron beam irradiation point E (FIG. 36). . Secondary electrons emitted from each primary electron beam irradiation point E on the sample surface T are deflected to the right in FIG. 34 by the E × B separator 4006, enlarged by the secondary optical system 4009, and Is imaged. The sample T is supported on a moving stage (not shown), and is moved in a direction (y direction) perpendicular to the plane of FIG.
As shown in FIG. 35A, the openings 4014 of the aperture plate 4003 are formed in three rows and three columns, but the brightness (electron density) of the electrons emitted from the electron gun is larger than a certain level and the openings 4014 are formed only within a predetermined diameter. Preferably, the third row and the third column are not provided in the illustrated example. The openings in the second and third rows are shifted to the right as viewed in FIG. 35A from the first and second rows, respectively, by 1/3 of the interval D1 between columns. Further, the distances D1 and D2 between the openings 4014 are set such that the distance between the irradiation points E of the primary electron beam on the sample is sufficiently separated. This is because the secondary optical system has a large aberration because the aperture angle is increased in order to improve the detection efficiency, and the secondary electron image may cause crosstalk between the beams on the detector hole group 4010. This is to prevent this.
FIGS. 34B and 34C are plan views of aperture plates 4050 and 4060 in which apertures are arranged on the circumference, respectively. The projection points of the openings 4051, 4052,... Of the aperture plate 4050 in FIG. 34B on the x-axis are equally spaced Lx, and similarly, the projections 4061, 4062,. The projection points are set at equal intervals Lx. In the electron beam apparatus 4000 according to the embodiment of the present invention, the primary electron beams are arranged such that the maximum value of the distance between adjacent primary electron beams two-dimensionally arranged on the sample surface is minimized.
The distances 50a, 50b, 50e, and 50f between two adjacent openings of the opening plate 4050 of FIG. 34B are 47 mm, 63 mm, 63 mm, and 41 mm, respectively, and the distance between two adjacent openings of the opening plate 4060 of FIG. 60a, 60b, and 60f are 56 mm, 57 mm, and 41 mm, respectively. Comparing these two aperture plates, the aperture plate 4060 has a maximum value of the distance between adjacent primary electron beams of 57 mm, which is smaller than 50b (63 mm) of the aperture plate 4050. It can be said that the arrangement of the openings is more appropriate.
The advantages of using an aperture plate having such requirements are that the actual distance between adjacent primary electron beams is substantially equal, symmetry is improved, astigmatism is less likely to occur, and primary electron beams are less likely to occur. Are separated from each other, the blurring of the primary electron beam due to the space charge effect is reduced, and the irradiation is performed near a symmetrical position on the sample, so that the influence of the charging of the sample is reduced.
The primary electron beam is split into a plurality of parts by the small apertures 4014, forms an image on the deflection main surface of the E × B separator 4066 by the reduction lens 4005, and is further reduced and projected on the sample surface T by the objective lens 4007, as shown in FIG. As shown in (1), the irradiation point E of the primary electron beam is formed.
Secondary electrons emitted from each irradiation point E on the sample surface T are accelerated and focused by an electric field applied between the objective lens 4007 and the sample surface, and provided between the objective lens 4007 and the electron gun side lens. The beam is deflected to the right in FIG. 34 by the E × B separator 4006, enlarged by the lens 4009 of the secondary optical system, and formed into an image on the detection aperture plate 4010 having a plurality of apertures. Is detected. The sample T is supported on a stage (not shown), and moves in a direction (y direction) perpendicular to the plane of FIG. 34 by moving the stage.
Further, the distances D1 and D2 between the small openings 4014 are set such that the distance between the irradiation points E of the primary electron beam on the sample T is sufficiently large. If the interval between the irradiation points E is not constant, the smallest value of the interval becomes a problem, so the minimum value of the interval needs to be as large as possible. This is because the secondary optical system has a large aberration because the aperture angle is increased in order to increase the detection efficiency, and the secondary electron image on the detection aperture plate 4010 may cause crosstalk between the secondary electron beams. This is to prevent this.
The electron beam scanning deflectors 4012 and 4013 are configured to scan the irradiation point E of the primary electron beam on the sample T in a left-to-right direction (x direction) as viewed in FIG. The distance S is set to about 1/3 (S = H / 3 + α) of the interval H between the rows of the irradiation points E.
After moving the sample T by the length of the region to be detected in the y direction, the stage is stepped in the x direction to move the sample by 400 μm in the x direction. A raster scan (400 μm + α in the x direction) is performed while continuously moving in the y direction. By repeating this, image data of the entire area to be detected can be obtained.
When inspecting the sample surface T in this electron beam apparatus, the moving stage 4020 continuously moves the sample in the y direction. In the meantime, as described above, the scanning deflectors 4012 and 4014 scan each primary electron beam irradiation point E by H / 3 + α in the x direction. For example, the interval H between the primary electron beam irradiation points E is 150 μm. Then, each primary electron beam irradiation point E scans a width of (150 μm × 1 /) + α, and as a whole, an image in the range of (150 μm × 1 /) × 8 (pieces) (= 400 μ + α). Data is obtained. When the sample is moved by the length of the sample surface in the y-direction, the moving stage moves the sample by 400 μm in the x-direction, and the same scanning is performed by folding back in the y-direction.
The required inspection can be performed by comparing this image data with an image obtained from predetermined pattern data. In the example shown, the processing speed is eight channels, and the inspection can be performed continuously except for the return time. The number of times of folding is 200 mm / 0.4 mm = 500 times when the width of the inspection area (width in the x direction) of the sample surface is 200 mm. Even if each folding takes 0.5 seconds, one sheet is required. The time required for the return scan when inspecting the entire sample is about 4 minutes, which is extremely small.
In the case of performing line width measurement, the scanning deflectors 4012 and 4013 are made octapoles so that they can be scanned also in the y direction, and the beam is moved to the position of the pattern to be measured by deflecting in the x direction. Just fine. When the pattern line width in the x direction is measured, the beam may be moved to the pattern position to be measured by the stage position and the deflection in the y direction, scanned in the x direction, and signal processing similar to the conventional method may be performed. In the case of the alignment accuracy measurement, a pattern may be prepared so that the alignment accuracy can be evaluated, and scanning similar to the line width measurement may be performed.
In the twelfth embodiment (FIG. 34), one having one electron beam irradiation system with one electron gun 4001 was described. However, a plurality of electron guns and their corresponding aperture plates, a secondary electron inspection device, and the like were used. In the above example, a plurality of electron beam irradiation systems are arranged adjacent to each other in the x direction, and a single movement of the sample in the y direction has a width of 400 μm × (the number of electron beam irradiation systems). Can be inspected.
According to the twelfth embodiment (FIG. 34) of the present invention, the sample is continuously moved in a direction perpendicular to the scanning width in a state where the wide scanning width (400 μm width) is covered by the plurality of primary electron beams. Since the inspection of the sample surface is performed, the scanning time on the entire surface of the sample surface can be greatly reduced. Further, since a plurality of primary electron beams are used, the scanning width of each electron beam can be narrowed, so that the chromatic aberration can be suppressed and the irradiation point E on the sample surface can be reduced. Separation can be made sufficiently. Therefore, crosstalk in the secondary optical system can be suppressed.
Since the sample is moved continuously, compared to the conventional electron beam apparatus that stops the sample, scans a small area, and then moves the sample to scan other small areas, the sample is moved. Wasted time spent can be significantly reduced. Further, by using a plurality of electron guns and setting a plurality of electron beam irradiation systems, more efficient inspection can be performed.
According to the twelfth embodiment (FIG. 34) of the present invention, since the irradiation points of a plurality of primary electron beams are two-dimensionally arranged, the distance between irradiations can be increased. In addition, since the distance between the irradiation points projected on one axis (x axis) is all equal, the sample surface can be scanned without any gap. Further, since the electron beam is used, the primary electron beam can be vertically incident, so that the electron beam can be narrowed down.
FIG. 37 is a schematic configuration diagram of an electron beam device 4100 according to Embodiment 13 of the present invention. In FIG. 37, reference numeral 4101 denotes a single electron gun having an integral cathode for emitting an electron beam for inspection, 4103 denotes a condenser lens, 4105 denotes a multi-aperture plate for forming a plurality of electron beams from the electron beams from the condenser lens, Reference numeral 4107 denotes an NA aperture plate provided at an enlarged image position of an electron beam source formed by a condenser lens. Reference numerals 4109 and 4111 denote inspection targets after reducing a plurality of electron beams formed by a multi-aperture plate at a constant reduction ratio. A lens 4115 that forms an image on the surface of the sample 4113 is an E × B separator that separates secondary electrons passing through the lens from primary electrons. Here, the integral cathode refers to one obtained by processing the tip of a cathode material such as single crystal LaBb into various shapes.
The E × B separator 4115 has a structure in which an electric field and a magnetic field are orthogonal to each other in a plane perpendicular to the normal to the sample surface (upward on the paper), and the relationship between the electric field, the magnetic field, and the primary electron energy is primary. It is set so that electrons go straight. Reference numeral 4117 denotes a deflector for simultaneously deflecting a plurality of electron beams formed by the multi-aperture plate 4105 to scan an inspection area on the sample 4113; 4119, a magnifying lens of a secondary optical system; 4121, a deflector 4117 of a primary optical system; The deflector 4123 is a synchronous deflector for letting secondary electrons from the incident point of each beam from the apertures 4105a, 4105b, 4105c and 4105d of the multi-aperture plate 4105 to the corresponding detector regardless of the scanning of the sample. The multi-aperture plate 4125 of the secondary optical system having openings 4123a, 4123b, 4123c, and 4123d corresponding to the multi-aperture plate of the optical system is a group of detectors arranged behind the multi-aperture plate. The detector group 4125 includes an electron multiplier tube that generates a detection signal corresponding to the amount of incident electrons.
In the electron beam apparatus 4100 shown in FIG. 37, the electron beam emitted from the electron gun 4101 is converged by the condenser lens 4103 and irradiates the multi-apertures 4105a to 4105d of the aperture plate 4105 for forming a multi-beam. The electron beam passing through each of the openings 4105a, 4105b, 4105c, and 4105d forms a crossover at the opening position of the NA aperture plate 4107 that determines the numerical aperture of the primary optical system. The electron beam that has passed through the crossover forms a crossover image on the main surface of the objective lens 4111 by the condenser lens 4109. Here, NA is an abbreviation for Numerical Aperture.
The aperture image of each aperture of the multi-aperture plate 4105 is formed on the main surface of the E × B separator 4115 by the condenser lens 4109 and then formed on the surface of the sample 4113 by the objective lens 4111.
On the other hand, the secondary electrons emitted from the sample are separated from the primary electrons by the E × B separator 4115, deflected in the direction of the secondary optical system, enlarged by the magnifying lens 4119 of the secondary optical system, and multiplied by the multi-aperture plate 4123. Are detected by a group of detectors 4125 arranged on the back side of the multi-aperture plate through the aperture of the multi aperture plate.
Here, the current density of the electron beam emitted from the electron gun 4101 is maximum at the center opening 4105d of the multi-aperture plate 4105, and decreases as the distance from the optical axis to 4105c, 4105b, and 4105a. The beam current on the surface is different.
In order to solve this, in one embodiment, the size of the openings 4105a to 4105d of the multi-aperture plate 4105 is finely adjusted so as to be small near the optical axis and gradually increase as the distance from the optical axis increases. To make the beam current passing through each aperture substantially equal for all beams. For this reason, a detector group for detecting each beam current is placed on the surface of the sample 4113 to detect the current of each beam.
As another method for solving the above problem, the position of the NA aperture plate 4107 in the optical axis direction, which determines the degree of aperture of the primary optical system, is determined by setting the position of the NA of the primary optical system in the Gaussian image plane of the enlarged image of the electron beam source. It is provided at a position shifted toward the electron gun 1 from (the focal position of the paraxial ray). That is, in the crossover position formed by the condenser lens 4103, the crossover position (position in the optical axis direction) of the beam passing through each aperture of the multi-aperture plate 4105 differs for each beam due to the spherical aberration of the lens. For example, the crossover position created by the beam from the opening 4105a is the position 4108a, and the crossover position created by the beam from the opening 4105c is 4108c. That is, the Gaussian image plane of the electron beam source formed by the lens of the primary optical system is farthest from the NA aperture plate 4107.
Therefore, by shifting the NA aperture plate 4107 from the Gaussian image plane position toward the electron gun 1 and placing it at the crossover position formed by the outermost aperture 4105a of the multi-aperture plate 4105, the current of the beam passing through the aperture 4107 at the aperture position The density is large and the passage of the beam is not restricted, while the current density of the beam passing through the aperture 4105c near the optical axis is low and the amount of the beam passing is restricted, so that the luminance, ie, the beam current on the surface of the sample 4113 is reduced. Can be reduced. Also in this case, similarly to the previous embodiment, the current of the beam passing through each aperture is detected by arranging a detector group for detecting each beam current at the sample surface position.
Further, the above problem can also be solved by combining the above adjustment of the opening size of the multi aperture plate 4105 and the above adjustment of the position of the NA aperture plate 4107 in the optical axis direction.
In each of the above cases, the aim was to make the beam current incident on the surface of the sample 4113 uniform, but in fact, the detection rate of secondary electrons in the secondary optical system was near the optical axis and at a position far from the optical axis. And there are different problems. Therefore, in still another case of the present invention, a sample without a pattern is placed at the sample position, secondary electrons from the sample surface without the pattern are detected by the detector group 4125, and the difference between the outputs of the detectors is determined. By determining the position of the NA aperture plate 4107 in the optical axis direction so as to minimize the variation, it is possible to correct the variation in the secondary electron detection rate of the secondary optical system. When the reduction ratio from the aperture plate to the sample is M and the distance in the z direction of the curvature of field of the optical type is δ mm, the amount of displacement of the aperture plate is δ / (2M), which is usually 1 to 10 mm. .
The variation in the detection rate of the secondary electrons of the secondary optical system is obtained by placing a sample without a pattern at the sample position and detecting secondary electrons from the sample surface without the pattern by the detector group 4125 as described above. In order to minimize the difference between the outputs of the detectors, the aperture size of the multi-aperture plate 4105 of the primary optical system may be finely adjusted so as to be small near the optical axis and gradually increase as the distance from the optical axis increases. Can be modified.
Further, the variation in the detection rate of the secondary electrons of the secondary optical system is obtained by placing a sample without a pattern at the sample position and detecting secondary electrons from the sample surface without the pattern by the detector group 4125 as described above. Then, the aperture size of the multi-aperture plate 4123 of the secondary optical system is finely adjusted to be small near the optical axis and to gradually increase as the distance from the optical axis increases, so that the difference between the outputs of the detectors is minimized. Can also be modified.
Furthermore, this problem can also be solved by combining the above adjustment of the aperture size of the multi-aperture plate 4105, the optical axis direction adjustment of the NA aperture plate 4107, and the above-mentioned adjustment of the aperture size of the multi-aperture plate 4123 of the secondary optical system. Can be. Here, an adjustment method for minimizing the output difference between the detectors 4125 by a control and calculation method (not shown) is used.
In Example 13 in FIG. 37, the evaluation between the beams was performed by simultaneously deflecting all the beams by the deflector 4117, scanning all the beams on the sample 4113, and detecting the signal at that time by the detector. In addition, even when the beams are scanned, the secondary electrons are deflected by the deflector 4121 in synchronization with the scanning of the deflector 4111 so that the secondary electrons from the incident point of each beam are surely incident on the corresponding hole of the multi-aperture plate 4123. Scanned.
By using the electron beam apparatus 4100 according to the thirteenth embodiment of the present invention in the inspection step of inspecting the wafer in the flowchart of FIG. 12, inspection and measurement with higher accuracy and higher throughput can be performed.
The electron beam apparatus 4100 according to the thirteenth embodiment of the present invention can be applied to various inspections and measurements such as a defect inspection of a photomask, a reticle, and a wafer (sample), a line width measurement, an alignment accuracy measurement, and a potential contrast measurement.
According to the electron beam apparatus 4100 of the thirteenth embodiment of the present invention, since a plurality of beams are formed from an integral cathode or a single electron gun, the probability of failure of the electron gun is significantly improved as compared with the case where a plurality of emitters are used. Thus, the reliability of the device is improved. In addition, since the current of each beam of the multi-beam can be made uniform, inspection and measurement with higher accuracy and higher throughput can be performed.
The electron beam apparatus 4100 of the thirteenth embodiment can use an electron gun that emits electrons only in a narrow direction, such as a thermal field emission electron gun.
Since the electron beam device 4100 of the thirteenth embodiment can equalize the current of each beam, it is possible to increase the number of multi-beams and irradiate the multi-beam over a wider range. Therefore, high-throughput inspection and measurement can be performed. In addition, the signal intensity between beams can be made substantially equal.
Embodiment 14 An electron beam apparatus 4200 according to Embodiment 14 of the present invention will be described in detail with reference to FIGS. In the electron beam apparatus 4200 of FIG. 38, the electron beam emitted from the electron gun 4201 is focused by the condenser lens 4202 to form a crossover at the point CO. At this crossover point CO, a stop 4204 having an aperture 4204 for determining NA is arranged.
Below the condenser lens 4202, a first multi-aperture plate 4203 having a plurality of openings is arranged, thereby forming a plurality of primary electron beams. Each of the primary electron beams formed by the first multi-aperture plate 4203 is reduced by the reduction lens 4205 and projected on the main deflection surface 4215 of the E × B separator 4206, and once imaged at the point 4215, the object The sample 4208 is focused by the lens 4207.
In order to correct the field curvature aberration of the reduction lens 4205 and the objective lens 4207, as shown in FIG. 38, the multi-aperture plate 4203 is stepped so that the distance from the condenser lens 4202 increases from the center to the periphery. Structure.
Secondary electron beams emitted from a plurality of points of the sample 4208 irradiated by the plurality of focused primary electron beams are attracted by the electric field of the objective lens 4207, are narrowly focused, and are located just before the E × B separator 4206. , Ie, the point 4216 on the sample side with respect to the main deflection surface of the E × B separator 4206. This is because each primary electron beam has energy of 500 eV on the sample surface, whereas the secondary electron beam has energy of only several ev. The plurality of secondary electron beams emitted from the sample 4208 are deflected to the outside of the axis connecting the electron gun 4201 and the sample 4208 by the E × B separator 4206, separated from the primary electron beam, and transferred to the secondary optical system. Incident.
The secondary optical system has magnifying lenses 4209 and 4210, and the secondary electron beam passing through these magnifying lenses 4209 and 4210 passes through a plurality of openings of the second multi-aperture plate 4211 and a plurality of detectors. An image is formed at 4212. Note that the plurality of openings formed in the second multi-aperture plate 4211 disposed in front of the detector 4212 and the plurality of openings formed in the first multi-aperture plate 4203 correspond one-to-one. .
Each detector 4212 converts the detected secondary electron beam into an electric signal representing its intensity. The electric signals output from the respective detectors are amplified by the amplifiers 4213, respectively, and then received by the image processing unit 4214 to be converted into image data. This image data is used for measuring the defect and the line width of the sample. That is, since a scanning signal for deflecting the primary electron beam is further supplied to the image processing unit 4214, the image processing unit 4214 displays an image representing the surface of the sample 4208.
By comparing this image with a standard pattern, a defect of the sample 4208 can be detected. In addition, the sample 4208 is moved to a position near the optical axis of the primary optical system by registration, and a line scan is performed by line scanning. By extracting a signal and appropriately correcting the signal, the line width of the pattern on the sample 4208 can be measured.
Here, when the primary electron beam that has passed through the opening of the first multi-aperture plate 4203 is focused on the surface of the sample 4208 and the secondary electron beam emitted from the sample 4208 is imaged on the detector 4212, Special care must be taken to minimize the effects of the three aberrations, distortion, field curvature and field astigmatism, which occur in the optical and secondary optics. Hereinafter, means employed in the fourteenth embodiment of the present invention will be described with reference to FIGS.
39 to 41, the size, shape, amount of shift, and the like of the openings formed in the first multi-opening plate 4203 and the second multi-opening plate 4211 are emphasized for easy understanding. It is different from the actual one.
FIG. 39 shows a first example of a first multi-aperture plate 4203 used for the electron beam apparatus according to the present invention. The multi-aperture plate 4203 of this example has a pin-cushion type (pin-cushion type) on a sample surface. In order to correct the pincushion-type distortion aberration, a plurality of apertures are formed in the first multi-aperture plate 4203 and are displaced in a barrel shape. In other words, one opening at each of the four corners of the square 4220 centered on the center X of the first multi-aperture plate 4203, that is, the intersection of the line connecting the electron gun 4201 and the sample 4208 and the first multi-aperture plate 4203. 4221 to 4224 are formed.
39. The vertical and horizontal solid lines in FIG. 39 are lines virtually drawn in parallel to two opposite sides of the square, and when a plurality of openings are uniformly distributed on the first multi-aperture plate 4203. , The openings will be located at the intersections of these solid lines. Actually, in order to minimize the distortion aberration in the primary optical system, each aperture depends on the distance from the center of the first multi-aperture plate 4203 to the center of the first multi-aperture plate 4203 from the intersection of the solid lines. It is designed to be located at a position shifted toward.
FIG. 40 shows an example of the second multi-aperture plate 4211 used in the electron beam device according to the present invention, and pincushion-type (pincushion-type) distortion that may be caused by distortion existing in the secondary optical system. Used to minimize the effects of In FIG. 40 as well, each opening of the second multi-aperture plate 4211 is shifted outward from an ideal position where the openings are uniformly distributed according to the distance from the center Y. The amount of this shift was calculated by performing simulation in a system including the objective lens 4207, the magnifying lenses 4209 and 4210, and the E × B separator 4206. Since the outermost opening does not cause crosstalk even if it is too large, it may be a sufficiently large opening. Although the multi-aperture plates 4203 and 4211 in FIGS. 39 and 40 describe an embodiment in which a plurality of openings are provided in one plate, two or more multi-aperture plates are required in terms of device design. It may be composed of sheets.
As described above, by setting the cross-sectional shape of the first multi-aperture plate 4203 to be stepped, the field curvature generated by the primary optical system can be corrected. Although the secondary optical system also causes field curvature, the second multi-aperture plate 4211 disposed in front of the detector 4212 has a large opening, so that the field curvature due to the secondary optical system can be ignored in practice.
Field astigmatism occurs because the refractive index of the lens differs between the radial direction and the circumferential direction of the lens. FIGS. 41A and 41B show a second example of the first multi-aperture plate 4203 used in the electron beam apparatus according to the present invention in order to reduce the visual field astigmatism. In the multi-aperture plate 4203, each opening has an elongated shape in the radial direction with respect to the center, depending on the distance from the center of the first multi-aperture plate 4203. In FIG. 41B, the shape of each opening is set so that the size of the virtual circle centered on the center of the first multi-aperture plate 4203 differs in the radial direction and the circumferential direction.
Reference numeral 4217 in FIG. 38 denotes a blanking deflector. By applying a narrow pulse to the blanking deflector 4217, an electron beam with a narrow pulse width can be formed. When a narrow pulse formed by this is used, the potential of the pattern formed on the sample 4208 can be measured with high time resolution. Therefore, a so-called strobe SEM (scanning electron microscope) can be used for the electron beam apparatus. ) Function can be added.
Reference numeral 4218 in FIG. 38 indicates an axisymmetric electrode. When a potential lower by several tens of volts than the sample 4208 is applied to the axisymmetric electrode 4218, secondary electrons emitted from the sample 4208 have a pattern of the sample 4208. Depending on the potential, it can flow toward the objective lens 4207 or be driven back to the sample side. Thus, the potential contrast on the sample 4208 can be measured.
An electron beam apparatus 4200 according to Embodiment 14 of the present invention shown in FIGS. 38 to 40 is applied to a defect inspection apparatus, a line width measurement apparatus, an alignment accuracy measurement apparatus, a potential contrast measurement apparatus, a defect review apparatus, or a strobe SEM apparatus. It is possible. Further, the electron beam apparatus 4200 according to the fourteenth embodiment of the present invention can be used to evaluate a wafer in the semiconductor device manufacturing process shown in FIGS.
The lithography process, which is the core of the wafer processing process shown in FIG. 12, includes a resist process of coating a resist on a wafer on which a circuit pattern is formed in a previous process, an exposure process of exposing the resist, and a developing process of developing the exposed resist. And an annealing step (FIG. 13) for stabilizing the pattern of the developed resist. The electron beam apparatus 4200 according to the fourteenth embodiment of the present invention can be used in the wafer inspection process of FIG. 12 for inspecting a further processed wafer.
The invention is not limited to the embodiments described above. For example, electron beam irradiation including an electron gun 4201, a first multi-aperture plate 4203, a primary optical system, a secondary optical system, a second multi-aperture plate 4211, and a detector 4212 so that different positions of the sample 4201 can be simultaneously irradiated. A plurality of detection systems may be provided, a sample may be irradiated with a plurality of primary electron beams emitted from a plurality of electron guns, and a plurality of secondary electron beams emitted from the sample may be received by a plurality of detectors. As a result, the time required for inspection and measurement can be significantly reduced.
As will be understood from the above description, the electron beam apparatus 4200 according to the fourteenth embodiment of the present invention has the following effects.
1. Since the distortion caused by the primary optical system can be corrected and the field astigmatism can also be reduced, it is possible to scan a wide area by irradiating it with a plurality of beams, and perform high-throughput inspection of defects on samples, etc. It is possible to do.
2. Since distortion due to the secondary optical system can be corrected, there is no crosstalk even when multiple primary electron beams are projected and scanned at a small interval on the sample, and the transmittance of secondary electrons can be increased. As a result, a signal having a large S / N ratio can be obtained, so that highly reliable line width measurement and the like can be performed.
3. Since the primary optical system can form an image on the principal deflection surface of the E × B separator 6, the chromatic aberration of the primary electron beam can be reduced, and even when the primary electron beam is used as a multi-beam, the multi-beam can be made thin. It becomes possible to squeeze.
Embodiment 15 An electron beam apparatus 4300 according to Embodiment 15 of the present invention will be described with reference to FIG. The electron beam device 4301 in FIG. 42 includes a primary optical system 4310, a secondary optical system 4330, and a detection device 4340. The primary optical system 4310 is an optical system that irradiates an electron beam to the surface (sample surface) of the sample S, and includes an electron gun 4311 that emits an electron beam, an electrostatic lens 4312 that deflects the electron beam emitted from the electron gun, 42, an aperture plate 4313 having a plurality of two-dimensionally arranged small holes (only 4313a to 4313e are shown in FIG. 42), an electrostatic deflector 4314, an aperture aperture 4315, and passing through the aperture plate. An electrostatic intermediate lens 4316 for deflecting the obtained electron beam, a first ExB separator 4317, an electrostatic intermediate lens 4318 for deflecting the electron beam, an electrostatic deflector 4319, and a second ExB separator Device 4320, an electrostatic objective lens 4321 and an electrostatic deflector 4322. As shown in FIG. 42, they are arranged in order with the electron gun 4311 at the top, and so that the optical axis A of the electron beam emitted from the electron gun is perpendicular to the surface SF of the sample. Accordingly, the structure between the electrostatic objective lens 4321 and the sample S can be made to be axially symmetric, and the electron beam can be narrowed down.
A secondary optical system 4330, an electrostatic magnifying lens 4331 disposed along an optical axis B that is inclined with respect to the optical axis A near the second E × B separator 4320 of the primary optical system 4310; An aperture plate 4332 is provided with a plurality of small holes (only 4332a to 4332e are shown in the figure) arranged two-dimensionally. The detecting device 4340 includes a detector 4341 for each opening of the opening plate 4332. The number of openings (4332a to 4332e) of the opening plate 4332 is the number and arrangement according to the number and arrangement of the small holes (4313a to 4313e) formed in the opening plate 4313 of the primary optical system. Each of the above components may be a known component, and a detailed description of their structure will be omitted.
Next, the operation of the electron beam apparatus 4300 having the above configuration will be described. The electron beam C emitted from the single electron gun 4311 is converged by the electrostatic lens 4312 and irradiates the aperture plate 4313. The electron beam C passes through a plurality of small holes (4313a to 4313e) formed in the aperture plate 4313 and is converted into a plurality of electron beams. These electron beams form a crossover C1 at an aperture aperture 4315 having an aperture. The crossed-over electron beam travels toward the sample S, is converged by an electrostatic intermediate lens 4316 and an electrostatic intermediate lens 4318 provided on the way, is imaged on the main surface of the electrostatic objective lens 4321, and is subjected to the Keller illumination condition. To be satisfied.
On the other hand, the electron beam D forming an image of each small hole of the aperture plate 4313 is converged by the electrostatic intermediate lens 4316 and forms an image on the principal deflection surface FP1 of the first E × B separator 4317, and further the electrostatic intermediate The light is converged by the lens 4318 and forms an image on the principal deflection surface FP2 of the second E × B separator 4320, and finally forms an image on the sample surface SF.
The secondary electrons emitted from the sample surface SF are accelerated and converged by an acceleration electric field for the secondary electrons applied between the electrostatic objective lens 4321 and the sample surface SF, pass through the electrostatic objective lens 4321, The crossover is imaged slightly before the main deflection surface FP2 of the second E × B separator 4320. The formed secondary electrons are deflected by the second E × B separator 4320 so as to move along the optical axis B and enter the electrostatic magnifying lens 4331. The secondary electrons are then magnified by the electrostatic magnifying lens 4331 and are magnified and imaged in the small holes (4332a to 4332e) of the aperture plate 4332.
The sample surface SF and the aperture plate 4332 are in an optically conjugate relationship with respect to the value of 2 eV of the secondary electron intensity, and the secondary electrons emitted from the sample surface SF by the electron beam passing through the small holes 4313a of the aperture plate 4313 are Secondary electrons emitted on the sample surface SF by the electron beam passing through the small hole 4313b through the small hole 4332a of the aperture plate 4332 are supplied to the sample surface SF by the electron beam passing through the small hole 4313c through the small hole 4332b of the aperture plate 4332. The secondary electrons emitted at the sample surface by the electron beam pass through the small holes 4332c of the aperture plate 4332, and the secondary electrons emitted at the sample surface by the electron beam correspond to each small hole of the aperture plate 4313. The light enters the detector 4341 through each small hole.
Between the plurality of electron beams and the electron beam adjacent thereto, the electron beam is deflected by using an electrostatic deflector 4319 and a second E × B separator 4320 so as to have a chief ray trajectory indicated by reference symbol E. Can be deflected to scan between each electron beam. In order to perform deflection scanning with the second E × B separator, if the Wien filter condition of the second E × B separator 4320 is satisfied, the voltage for directing the electron beam is Vw, and the magnetic field is Bw, the Vw is What is necessary is just to give a voltage waveform such that the scanning voltage is superimposed on the DC voltage as the center, and if the electrode that gives the electric field of the second E × B separator 4320 is an 8-pole electrostatic deflector, a two-dimensional electrostatic deflector is used. Scanning becomes possible. Therefore, it is not necessary to newly provide a deflector above the electrostatic objective lens 4321, and the E × B separator and the electrostatic deflector can be arranged at optimal positions.
Next, a problem that a so-called beam blur occurs due to chromatic aberration caused by using a single E × B separator in the related art and a solution thereof will be described. Generally, in an electron beam apparatus using an E × B separator, the aberration is minimized when the position of the image of the aperture and the principal deflection surface of the E × B separator match with respect to the electron beam. In addition, the main deflection surface and the sample surface of the E × B separator are conjugate. Therefore, when an electron beam having an energy width is incident on the E × B separator, the amount of the low energy electron beam deflected by the electric field increases in inverse proportion to the energy, but the amount deflected by the magnetic field is the energy It increases only in inverse proportion to the half power of.
On the other hand, in the case of a high energy electron beam, the amount of the electron beam deflected in the direction deflected by the magnetic field is larger than that in the direction deflected by the electric field. In this case, if an electrostatic lens is provided below the E × B separator and the lens has no aberration, beam blur does not occur. However, in reality, the lens has aberration, which causes beam blur. Therefore, if only a single E × B separator is used, it is not possible to avoid occurrence of beam blur due to chromatic aberration when the energy of the electron beam has a width.
In the present invention, the first and second E × B separators 4317 and 4320 are provided, and the direction of deflection of the first and second E × B separators 4317 and 4320 due to the electric field is changed by the sample. The electric field of each E × B separator is adjusted so that the directions are opposite to each other as viewed on the plane and the absolute values of the magnitudes of the deflections are equal. Therefore, even if the energy of the electron beam has a certain range, the chromatic aberration caused by the E × B separator is canceled out by the first and second E × B separators 4317 and 4320.
In order to perform the defect inspection of the sample surface, the measurement of the line width of the pattern formed on the sample surface, and the like using the electron beam device 4300 having the above configuration, the sample to be inspected is set, and the electron beam device 1 is set in the above-described manner. To work. In this case, image data is created by a scanning signal waveform given to the electrostatic deflector 4319 and the second E × B separator 4320 and an output signal of the secondary electron detector 4341, and the image data is separately obtained. Defect inspection can be performed by comparing with the image data created from the obtained pattern data.
Further, the measured pattern is scanned in the direction perpendicular thereto by the electrostatic deflector 4319 and the second E × B separator 4320, and the line width of the pattern can be measured from the signal waveform of the secondary electrons obtained at that time. . Further, a pattern formed by lithography of the second layer is formed near the pattern formed by lithography of the first layer, and these two patterns are formed at intervals substantially equal to the beam interval of a plurality of electron beams of the electron beam apparatus 4300. By measuring the interval between these two patterns and comparing the measured value with the design value, the alignment accuracy can be evaluated.
In addition, a CRT monitor is connected to part or all of the secondary electron detector 4341, and a scanning electron microscope (SEM) image can be formed on the CRT monitor by inputting the CRT monitor together with a scanning signal waveform. The inspector can observe the type of the defect while viewing the SEM image.
In FIG. 42, a coaxial electrode 4322 is provided between the electrostatic objective lens 4321 and the sample surface SF, and potential contrast can be measured by applying a negative voltage to the electrode 4322. In FIG. 42, in order to blank the electron beam, a voltage is applied to the electrostatic deflector 4314 so that the electron beam is not deflected for a short time and the remaining electron beam is deflected. By removing at 4315, a short pulsed electron beam is obtained. The operation analysis of the device can be performed by irradiating the electron beam of the short pulse on the sample surface SF, activating the device on the sample surface, and measuring the potential of the pattern with good time resolution.
FIG. 43 is a plan view showing a state in which a plurality of sets of the primary optical system and the secondary optical system having the above-described configuration are arranged on the sample S. In this embodiment, six sets of primary optical systems are provided. The optical system 4310 and the secondary optical system 4330 are arranged in two rows and three columns. Circles 4310a to 4310f drawn by solid lines indicate the maximum outer diameters of the primary optical system, and circles 4330a to 4330f drawn by dashed lines indicate the maximum outer diameters of the secondary optical system. In this embodiment, the small holes of the aperture plate 4313 of the primary optical system 4310 are arranged in three rows and three columns, and the small holes of the aperture plate 4332 of the secondary optical system 4330 are similarly arranged in three rows and three columns. Have been. The plurality of sets of optical systems are arranged such that the optical axis B of each secondary optical system 4330 faces the outside of the sample along the direction in which the rows are arranged, so that they do not interfere with each other. The number of rows is preferably about 3 or 4 rows, but may be 2 rows or 4 rows or less.
The electron beam apparatus 4300 according to the fifteenth embodiment of the present invention can be used in the wafer inspection step of FIG. 12 for inspecting a further processed wafer. In other words, when the defect inspection method and the defect inspection apparatus according to the fifteenth embodiment of the present invention are used for the inspection process, even a semiconductor device having a fine pattern can be inspected with a high throughput, so that 100% inspection can be performed. Product shipment can be prevented.
The electron beam device 4300 (FIG. 42) according to Embodiment 15 of the present invention has the following effects.
(1) Throughput is improved because a plurality of electron beams are used.
(2) A plurality of E × B separators are provided, the position of the image of the small hole of the aperture plate and the position of each of the E × B separators are matched, and the light is deflected by the electric field of each of the E × B separators. The electron beam directions are opposite to each other when viewed on the sample surface, so that the chromatic aberration caused by the E × B separator can be corrected, and the electron beam can be narrowed down. Therefore, high inspection accuracy can be ensured.
(3) The electron beam deflecting operation is performed by superimposing the scanning voltage on the electric field of the second ExB separator, so that the second ExB separator and the electrostatic deflector are used together. Therefore, there is no need to newly provide an electrostatic deflector above the electrostatic objective lens 21, and both the E × B separator and the electrostatic deflector can be arranged at optimal positions. As a result, it is possible to improve the detection efficiency of the secondary electrons and reduce the deflection aberration at the same time, and it is also possible to greatly shorten the optical path of the secondary optical system.
(4) Since a plurality of sets of the primary optical system and the secondary optical system of the electron beam apparatus are arranged on the sample, it is possible to inspect a plurality of samples at a time, and the throughput is further improved.
(5) A potential contrast can be evaluated by providing an electrostatic deflector 4322 coaxially between the electrostatic objective lens 4321 and the sample surface SF and applying a negative voltage to the electrostatic deflector 4322. become.
(6) A function of blanking the electron beam is provided to control the voltage of the electrostatic deflector 4314 to form a short-pulse electron beam, and to activate the device on the sample surface to measure the potential of the pattern well. If the measurement is performed with an appropriate time resolution, it becomes possible to analyze the operation of the device.
FIG. 44A is a schematic layout view of an electron beam apparatus 4400 according to Embodiment 16 of the present invention. In FIG. 44A, an electron beam emitted from an electron gun 4401 is focused by a condenser lens 4402 and crossed at a point 4404. Form an over. Below the condenser lens 4402, a first multi-aperture plate 4403 having a plurality of small openings is arranged, thereby forming a plurality of primary electron beams. Each of the primary electron beams formed by the first multi-aperture plate 4403 is reduced by the reduction lens 4405 and projected onto a point 4415. After being focused at the point 4415, the primary electron beam is focused on the sample 4408 by the objective lens 4407. A plurality of primary electron beams emitted from the first multi-aperture plate 4403 are deflected by a deflector 4419 disposed between a reduction lens 4405 and an objective lens 4407, and mounted on an xy stage 4420. The surface of the sample 4408 is simultaneously scanned.
In order to eliminate the influence of the field curvature aberration of the reduction lens 4405 and the objective lens 4407, as shown in FIG. 44B, the first multi-aperture plate 4403 has small apertures 4433 arranged on the circumference, Are set to be at equal intervals Lx.
The plurality of focused primary electron beams irradiate a plurality of points on the sample 4408, and the secondary electron beams emitted from the plurality of illuminated points are attracted by the electric field of the objective lens 4407 to be narrowly focused. Then, the light is deflected by the EXB separator 4406 and is input to the secondary optical system. The secondary electron image focuses on point 4416 closer to objective lens 4407 than point 4415. This is because each primary electron beam has energy of 500 eV on the sample surface, whereas the secondary electron beam has energy of only several eV.
The secondary optical system has magnifying lenses 4409 and 4410, and a secondary electron beam passing through these magnifying lenses passes through a plurality of openings 4443 of a second multi-aperture plate 4411 to detect a plurality of electrons. An image is formed on the container 4412. As shown in FIG. 44B, the plurality of openings 4443 formed in the second multi-aperture plate 4411 and the plurality of openings 4433 formed in the first multi-aperture plate 4403 are arranged in front of the detector 4412. , One-to-one. The plurality of detectors 4412 are arranged to face the plurality of openings of the second multi-aperture plate 4411, respectively.
The detector 4412 converts the detected secondary electron beam into an electric signal indicating its intensity. The electric signals output from the detectors 4412 are respectively amplified by the amplifiers 13 and then converted into image data by the image processing unit 14. Since the scanning signal SS for deflecting the primary electron beam is further supplied to the image processing unit 14, the image processing unit 4414 can generate an image representing the surface of the sample 4408. By comparing this image with a standard pattern, a defect of the sample 4408 can be detected. The rising width detection unit 4430 is disconnected during the process, but operates at a stage of determining an excitation voltage for initial focusing. The operation will be described later.
In addition, the pattern to be measured on the sample 4408 is moved to a position near the optical axis of the primary optical system by registration, and a line width evaluation signal is taken out by line scanning. The line width of the pattern can be measured.
Here, when the primary electron beam that has passed through the opening 4433 of the first multi-aperture plate 4403 is focused on the surface of the sample 4408 and the secondary electron beam emitted from the sample 4408 is imaged on the detector 4412, Special care must be taken to minimize the effects of the three aberrations of the primary optics, distortion, axial chromatic aberration, and field astigmatism. In addition, regarding the relationship between the interval between the plurality of primary electron beams and the secondary optical system, if the interval between the primary electron beams is separated by a distance larger than the aberration of the secondary optical system, crosstalk between the plurality of electron beams will be reduced. Can be eliminated.
The objective lens 4407 is a unipotential lens, as shown in FIG. 44C, and focuses the primary electron beam on the surface of the sample 4408.0A volt is applied, and the upper electrode and the lower electrode of the objective lens 4407 are supplied with an excitation voltage ± 29V, which is a small voltage close to the ground potential from the power supply 4429.0Is applied.
Electron gun 4401, axis aligning deflector 4417, first aperture plate 4403, condenser lens 4402, deflector 4419, Wien filter or EXB separator 4406, objective lens 4407, axially symmetric electrode 4423, and secondary electron detection The device 4412 is housed in a lens barrel 4426 of an appropriate size to constitute one electron beam scanning / detection system. The initial focusing of the electron beam scanning / detection system is performed at an excitation voltage of ± △ V0Is fixed to, for example, −10 volts, and the positive voltage V0Can be implemented by changing
As described above, the electron beam scanning / detection system in the lens barrel 4426 scans the chip pattern on the sample, detects the secondary electron beam emitted from the sample as a result of the scanning, and reduces the intensity thereof. And outputs an electrical signal representing the signal. Actually, since a plurality of chip patterns are formed on the surface of the sample, a plurality of electron beam scanning / detection systems (not shown) having the same configuration as the electron beam scanning / detection system shown in FIG. In this manner, they are arranged so that the distance between them is an integral multiple of the chip size on the sample.
The electron beam scanning / detection system will be further described. The electric signal output from the electron detector 4412 is converted into binarized information in the image processing unit 4414, and the binarized information is converted into image data. As a result, image data of a circuit pattern formed on the surface of the sample is obtained, and the obtained image data is stored in an appropriate storage unit and compared with a reference circuit pattern. This makes it possible to detect a defect or the like of the circuit pattern formed on the sample.
Various reference circuit patterns can be used for comparison with the image data representing the circuit pattern on the sample. For example, it is also possible to use image data obtained from CAD data for producing a circuit pattern that has been scanned to generate the image data.
In the electron beam apparatus shown in FIG. 44A, the excitation voltage ± ΔV to be applied to the upper electrode or the lower electrode of the objective lens 44070Is determined as follows under the control of a control device (not shown) such as a CPU.
First, a pattern edge parallel to a first direction and a pattern edge parallel to a second direction orthogonal to the first direction are formed on any one circuit pattern formed on the surface of the sample 4408. Is specified by reading, for example, from pattern data.
Next, the pattern edge parallel to the first direction is scanned in the second direction by the primary electron beam using the deflector 4419 and the E × B separator 4406, and the secondary electron beam emitted as a result is scanned. An electric signal indicating the intensity is taken out from the electron detector 4412, and a rising width detection unit 4430 measures a rising width p (unit: μm) of the electric signal. Similarly, the pattern edge parallel to the second direction is scanned in the first direction by the primary electron beam using the deflector 4419 and the EXB separator 4406, and the secondary electron beam emitted as a result is scanned. An electric signal indicating the intensity is taken out from the electronic detector 442, and a rise detection section 4430 measures a rise width p of the electric signal. This operation is performed at a voltage of ± △ V0To be performed for at least three voltage values.
The control device (not shown) creates the curves A and B in FIG. 45A based on the data from the rising width detection unit 4430. Curve A shows ± △ V for a pattern edge parallel to the first direction.0The relationship of the rise width p μm to each is shown. Curve B shows ± △ V for a pattern edge parallel to the second direction.0The relationship of the rise width p μm to each is shown.
The “rise width R” of the electric signal is, as shown in the graph of FIG. 45B, the excitation voltage ± ΔV0(And high voltage V0) Is fixed, an electric signal measured when a pattern edge parallel to the first direction (or the second direction) is scanned in the second direction (or the first direction) is: It is expressed as a scanning distance R (unit: μm) required to change from 12% to 88% of the maximum value.
Curve A in FIG. 45A shows the excitation voltage ± ΔV0Is-△ V0In the case of (x), the rising width p is the minimum, and thus, at this time, the rising is the sharpest. Similarly, curve B shows the excitation voltage ± △ V0Is + △ V0In the case of (y), the rising width is the smallest, indicating that the rising is the sharpest. Therefore, the focus condition of the objective lens 7, that is, the voltage ± △ V applied to the upper electrode and the lower electrode0Is {− △ V0(X) + △ V0(Y) It is preferable to set} / 2.
Excitation voltage ± △ V0Since only changes within the range of 0 to ± 20 V, the setting of the objective lens 4407 was actually performed as described above. As a result, the setting of the objective lens 4412 can be performed at a high speed of 10 microseconds. It took only 150 microseconds to acquire curves A and B.
Also, to obtain curves A and B, a large number of ± ΔV0Does not need to be measured, and as shown in FIG.0Are set as −ΔV (1), + ΔV (2), and + ΔV (3), the rise width p is measured, curves A and B are obtained by hyperbolic approximation, and the rise width is obtained. Minimum value of p-ΔV0(X) and + △ V0(Y) may be obtained. In that case, the measurement can be performed in about 45 microseconds.
As described above, curves A and B in FIG. 45A approximate a quadratic or hyperbolic curve. The rise width is p (μm), the objective lens voltage ± △ V0Is q (volts), graphs A and B are obtained by using a, b, and c as constants.
(P2/ A2)-(Qc)2/ B2= 1
Can be expressed as Therefore, three qs (voltage ± △ V0) Value q1, Q2, Q3And the corresponding p (rising width) value p1, P2, P3Is substituted into the above equation, the following three equations (1) to (3) are obtained.
(P1 2/ A2)-(Q1-C)2/ B2= 1 (1)
(P2 2/ A2)-(Q2-C)2/ B2= 1 (2)
(P3 2/ A2)-(Q3-C)2/ B2= 1 (3)
The values of a, b, and c are calculated from these equations (1) to (3), and become the minimum values when q = c.
As described above, the excitation voltage ΔV to the objective lens with respect to the pattern edge parallel to the first direction in which the rising width p is minimized0(X) can be obtained under three lens conditions. In exactly the same way, the objective lens voltage ΔV for the pattern edge parallel to the second direction0(Y) can be obtained.
As shown in curves A and B of FIG. 45A, when a pattern edge extending in the first direction is scanned in the second direction, a pattern edge extending in the second direction is scanned in the first direction. It is common that the rising width is different from when scanning is performed. In such a case, for example, an 8-pole astigmatism correction lens 4421 (FIG. 44) is provided, and by adjusting the voltage applied to the lens 4421, the pattern edge can be shifted in the first direction and the second direction. It is necessary to perform astigmatism correction so that the rise of the electric signal from the electron detector 4415 when scanning in the direction is further reduced. When there is almost no astigmatism, ΔV0(X) or ΔV0Since only one of (y) needs to be obtained, only one of the curves A and B may be obtained.
As described above, focusing is performed in the electron beam scanning / detection system, and thereafter, the process proceeds to a process of evaluating the sample 8. In this method, since the focusing condition is obtained by an electro-optical means instead of the optical Z sensor, there is an advantage that a correct focusing condition can be obtained even when the sample is charged.
A lens barrel (not shown) having the same configuration as the lens barrel 4426 including the electron beam scanning / detection system is arranged in parallel with the lens barrel 4426, and the distance between each other is an integral multiple of the chip size on the sample 4408. When they are arranged at a distance, it is necessary to perform focusing so that the primary electron beam is focused on the sample in each lens barrel. However, such focusing can be performed almost simultaneously, so the throughput budget is only a small value.
Next, the semiconductor device manufacturing method of the present invention will be described. The method of manufacturing a semiconductor device according to the present invention is executed in the method of manufacturing a semiconductor device shown in FIGS.
In the method of manufacturing a semiconductor device according to the present invention, the electron beam apparatus described with reference to FIG. 44 is used to inspect not only a process during processing (wafer inspection process) but also a chip inspection process for inspecting a completed chip (FIG. 12). By using in (1), an image with reduced distortion, blur, and the like can be obtained even in a semiconductor device having a fine pattern, so that a defect in a wafer can be reliably detected.
In the wafer inspection process and the chip inspection process of FIG. 12, by using the electron beam apparatus according to the present invention, even a semiconductor device having a fine pattern can be inspected at a high throughput. Product yield can be improved and defective products can be prevented from being shipped.
The electron beam device 4400 according to the sixteenth embodiment of the present invention has the following effects.
(1) Since it is not necessary to use an optical sensor for measuring the height of the sample surface, it is possible to optimally design the space between the objective lens and the sample only with the electron optical system.
(2) Since the focusing of the electron beam scanning / detection system can be performed only by adjusting the low voltage, the settling time can be shortened, that is, the focusing can be performed in a short time.
(3) Astigmatism correction can be performed in a short time during the focusing operation as needed.
(4) Since a sample in the middle of the process can be evaluated in a short time, the yield of device manufacturing can be improved.
Embodiment 18 An electron beam apparatus 4500 according to Embodiment 18 of the present invention will be described with reference to FIGS. FIG. 46 schematically illustrates an electron beam apparatus 4501 according to the eighteenth embodiment. The electron beam device 4500 includes a primary optical system 4510, a secondary optical system 4530, and a detection device 4540.
The primary optical system 4510 is an optical system that irradiates the surface of the sample S with an electron beam, and includes an electron gun 4511 for emitting an electron beam, an electrostatic lens 4513 for reducing the electron beam emitted from the electron gun, and a two-dimensional A first aperture plate 14 in which a plurality of small holes (only 4514a to 4514i are shown in FIG. 46) is formed, an aperture aperture 4515, and an electron beam passing through the first aperture plate is reduced. An electrostatic lens 4516, an electrostatic deflector 4517, an E × B separator 4518, and an electrostatic objective lens 4519, which are arranged in this order with the electron gun 4511 at the top as shown in FIG. The electron beam emitted from the electron gun is arranged so that the optical axis A of the electron beam is perpendicular to the sample S. Inside the electron gun 4501 is a single crystal LaB6A projection 4512 is formed by polishing the cathode into a shape having many projections.
In order to eliminate the influence of the field curvature aberration of the electrostatic lenses 4513 and 4516 and the electrostatic objective lens 4519, as shown in FIG. Are projected at equal intervals Lx.
The secondary optical system 4530 includes a first electrostatic magnifying lens 4531 and an aperture aperture 4532 that are sequentially arranged along an optical axis B that is inclined with respect to the optical axis A near the E × B separator 4518. , A second electrostatic magnifying lens 4533, and a second aperture plate 4534 in which a plurality of small holes (only 4534a to 45341 are shown in the drawing) are formed.
The detecting device 4540 includes a detector 4541 for each opening of the second opening plate 4534. The number and arrangement of the small holes 4534a to 4534e (shown by broken lines in FIG. 2) of the second opening plate 4534 correspond to the small holes (shown by solid lines in FIG. 47) formed in the first opening plate 4513. 4514a to 4514e). Each of the above components may be a known component, and a detailed description of their structure will be omitted.
Next, the standard mode in the electron beam apparatus 4500 having the above configuration will be described. The electron beam C emitted from the multiple projections 4512 of the single electron gun 4511 is converged by the electrostatic lens 4513 and irradiates the first aperture plate 4514. The electron beam C passes through a plurality of small holes (4514a to 4514e) formed in the first aperture plate 4514 to be made into a multi-beam. These multi-beams form a crossover image C1 at the aperture aperture 4515. The crossed-over multi-beam advances toward the sample S, is converged by the electrostatic intermediate lens 4516 provided on the way, and is imaged on the main surface of the electrostatic objective lens 4519, and satisfies the Keller illumination condition. The formed multi-beam forms a reduced image on the sample, and is scanned over the sample by the deflectors of the electrostatic deflector 4517 and the E × B separator 4518.
The secondary electrons emitted from the sample S are accelerated and converged by an accelerating electric field for the secondary electrons applied between the electrostatic objective lens 4519 and the sample S, pass through the electrostatic objective lens 4519, and exit. The light is deflected by the B separator 4518 so as to move along the optical axis B and enters the electrostatic magnifying lens 4531. The secondary electrons are then magnified by electrostatic magnifying lens 4531 to form crossover image C2 at aperture aperture 4532. Next, these formed secondary electrons are enlarged by the electrostatic magnifying lens 4533 and imaged in the small holes (4534a to 4534e) of the second aperture plate 4534. The magnification of the secondary optical system can be determined by the two electrostatic magnifying lenses 4531 and 4533.
As shown in FIG. 47, secondary electrons emitted from the sample S by the electron beam passing through the small holes 4514a of the first aperture plate 4514 pass through the small holes 4534b through the small holes 4534a of the second aperture plate 4534. The secondary electrons emitted from the sample S by the electron beam passed through the small hole 4534b and the secondary electrons emitted from the sample S by the electron beam passing through the small hole 4514c pass through the small hole 4534c. Secondary electrons emitted from the sample surface by the beam enter the detector 4541 through the small holes of the second aperture plate 4534 corresponding to the small holes of the first aperture plate 4514.
To change from the standard mode to the high resolution mode, it is necessary to change the scanning width and the image magnification. Changing the scanning width is possible by adjusting the deflection sensitivity per bit of the deflectors of the electrostatic deflector 4517 and the E × B separator 4518. However, when the scanning width is reduced from the standard mode, a scanning gap is formed between each of the multiple beams. Further, the beam image interval in the secondary optical system does not match the interval between the detectors.
Regarding the creation of a scanning gap between the beams, the reduction ratio from the first aperture plate 4514 to the sample S is adjusted to the change in pixel size by performing a zoom operation of the electrostatic lens 4516 and the electrostatic objective lens 4519. It can be solved by changing. The Koehler illumination condition for forming the crossover image C1 on the main surface of the objective lens 4519 is satisfied only in the standard mode, and is not satisfied in the high resolution mode.
Also, as a countermeasure that the beam image interval in the secondary optical system does not match the dimension between the detectors, the position and size of the aperture aperture 4532 of the secondary optical system are fixed and the excitation voltage of the electrostatic magnifying lens 4533 is fixed. Is changed so that the chief ray of the secondary electrons emitted from each beam of the sample enters the corresponding small hole of the second aperture plate. That is, the magnification of magnification and the focusing condition of the crossover at the aperture aperture 4532 are matched by the electrostatic magnifying lens 4533 of the secondary optical system. In addition, the reduction ratio of the multi-beam is changed by performing the zoom operation of the electrostatic lens 4516 and the electrostatic objective lens 4519 and changing the expansion ratio by the electrostatic expansion lenses 4531 and 4533 of the secondary optical system in association with the zoom operation. Thereby, the sample can be evaluated with two types of image dimensions.
The relationship between the reduction ratio of the multi-beam in the primary optical system and the enlargement ratio of the electrostatic lens in the secondary optical system is specifically shown in FIG. 46 by a dimension between openings (for example, a distance between 4514a and 4514b). ) Is 1 mm and the reduction ratio of the multi-beam in the primary optical system is 1/100, the interval between the beams exiting the apertures 4514a and 4514b is 10 μm. When the magnification of the secondary optical system is set to 500 times, the interval between the openings 4534a and 4534b is 5 mm.
When the reduction ratio of the multi-beam in the primary optical system is changed to 1/200, the interval between the openings 4534a and 4534b becomes 5 mm by setting the magnification of the secondary optical system to 500 × 2 = 1000 times. Secondary electrons can be detected without changing the distance between the openings 4534a and 4534b. An advantage of this feature is that the beam size, beam current, or scan width can be changed by changing the reduction ratio of the multiple beams in the primary optics. Then, it is possible to evaluate high resolution although the throughput is deteriorated, and to evaluate high throughput although the resolution is poor.
Further, a crossover image is formed on the main surface of the objective lens in a mode having a high throughput but a relatively low resolution. Specifically, for example, the resolution is 50 nm, and the throughput is 8.8 minutes / cm.2Mode, resolution 100 nm, throughput 33 sec / cm2The crossover image was placed on the main surface of the objective lens in the former mode.
The electron beam apparatus 4500 according to the seventeenth embodiment (FIG. 46) of the present invention is suitably used for the method of manufacturing the semiconductor device shown in FIGS. That is, when the defect inspection method and the defect inspection apparatus according to the eighteenth embodiment of the present invention are used in the inspection step in this manufacturing method, even a semiconductor device having a fine pattern can be inspected with a high throughput, so that 100% inspection can be performed. It is possible to improve the yield and prevent the shipment of defective products. The electron beam device 4500 of the seventeenth embodiment (FIG. 46) of the present invention has the following effects.
(1) Since an image of an arbitrary magnification can be formed without a gap in scanning, it can be used in the standard mode and the high resolution mode.
(2) Even when the magnification is changed, the image size and the beam size can be made to substantially correspond.
(3) In the standard mode, the Koehler illumination condition of the primary optical system can be satisfied. On the other hand, the deviation from the Koehler illumination condition of the primary optical system in the case of the high resolution mode is small, and the aberration does not increase so much.
(4) Since an aperture aperture is provided at a position where secondary electrons emitted from the sample in a direction perpendicular to the sample surface intersect with the optical axis of the secondary optical system, even if the mode is changed, the multi-beam The secondary electrons can be detected without any difference in intensity between them.
Embodiment 19 An electron beam apparatus 5000 according to Embodiment 19 of the present invention will be described with reference to FIGS. 48 includes a primary electron optical system (hereinafter, referred to as “primary optical system”) 5010, a secondary electron optical system (hereinafter, referred to as “secondary optical system”) 5020, and a detection system 5030. Prepare. The primary optical system 5010 is an optical system that irradiates the surface of an evaluation target (hereinafter, referred to as “sample”) S such as a wafer with an electron beam. The primary optical system 5010 emits an electron beam, that is, an electron beam. A condenser lens 5012 for focusing the primary electron beam, a first multi-aperture plate 5013 in which a plurality of apertures are formed, a reduction lens 5014, an E × B separator 5015, and an objective lens 5016. As shown in FIG. 48, the electron guns 5011 are arranged in order with the electron gun 5011 at the top. Note that 5017 and 5018 are deflectors for scanning the primary electron beam, and 5019 is an axially symmetric electrode.
The secondary optical system 5020 includes magnifying lenses 5021 and 5022 and a second multi-aperture plate 5023 arranged along an optical axis inclined with respect to the optical axis of the primary optical system. The detection system 5030 includes a detector 5031 disposed for each opening 5231 of the second multi-aperture plate 5023, and an image forming unit 5033 connected to each detector via an amplifier 5032. The structures and functions of the components of the primary optical system 5010, the secondary optical system 5020, and the detection system 5030 are the same as those of the related art, and a detailed description thereof will be omitted. The opening 5131 of the first multi-opening plate 5013 and the opening 5231 of the second multi-opening plate 5023 are formed so as to correspond to each other, and the opening 5131 is, as shown by a solid line in FIG. It is getting smaller.
The sample S is detachably supported by a holder 5041 of a stage device 5040 by a known method, and the holder 5041 is supported by an XY stage 5042 so as to be movable in an orthogonal direction.
The electron beam apparatus 1 further includes a retarding voltage application device (hereinafter, application device) 5050 electrically connected to the holder 5041, and a charge-up investigation and retarding voltage determination system (hereinafter, investigation and determination system) 5060. ing. The investigation and determination system 5060 includes a monitor 5061 electrically connected to the image forming unit 5033, an operator 5062 connected to the monitor 5061, and a CPU 5063 connected to the operator 5062. The CPU 5063 supplies a signal to the application device 5050 and the deflector 5017.
Next, the operation of the electron beam device 5000 of the eighteenth embodiment will be described. The primary electron beam emitted from the electron gun 5011 is focused by the condenser lens 5012 and forms a crossover at the point P1. The electron beam passing through the opening 5131 of the first multi-aperture plate 5013 is formed into a plurality of primary electron beams by the plurality of openings 5131. The primary electron beam formed by the first multi-aperture plate 5013 is reduced by the reduction lens 5014 and projected on the point P2. After focusing at the point P2, focusing is performed on the upper surface of the sample S by the objective lens 5016.
The plurality of primary electron beams are deflected by a deflector 5018 disposed between the reduction lens 5014 and the objective lens 5016 so as to simultaneously scan the upper surface of the sample. In order to eliminate the influence of the field curvature aberration of the reduction lens 5014 and the objective lens 5016, the plurality of openings 5131 and 5231 of the multi-aperture plates 5013 and 5023 are arranged on the circumference of a circle centered on the optical axis of each optical system. The adjacent distance Lx when projected in the X direction is formed so as to be equally spaced as shown in FIG.
The points on the sample S are irradiated by the focused primary electron beams, and the secondary electrons emitted from the irradiated points are attracted to the electric field of the objective lens 5016 and are focused finely. The light is deflected by the E × B separator 5015 and is input to the secondary optical system 5020. The secondary electron image focuses on point P3, which is closer to the objective lens than point P2. This is because each primary electron beam has energy of 500 eV on the sample surface, while the secondary electron beam has energy of only several eV.
This secondary electron image is formed by the magnifying lenses 5021 and 5022 through a plurality of openings 5231 of the second multi-aperture plate 5023 to detectors 5031 provided for each opening. This secondary electron image is detected by each detector 5031. Each detector 5031 converts the detected secondary electron image into an electric signal representing its intensity. The electric signals output from the respective detectors are amplified by the corresponding amplifiers 5032 and then input to the image forming unit 5033, where they are converted into image data. Since a scanning signal for deflecting the primary electron beam is further supplied to the image forming unit 5033, the image forming unit displays an image representing the surface of the sample S. By comparing this image with the reference pattern, a defect of the sample S can be detected.
Further, the sample S is moved to the vicinity of the optical axis of the primary optical system 5010 by registration, and a line scan, that is, scanning is performed to obtain a line width evaluation signal of a pattern formed on the upper surface of the sample, and this is appropriately corrected. By doing so, the line width of the pattern can be measured.
Here, when the primary electron beam that has passed through the opening of the first multi-aperture plate 5013 is focused on the upper surface of the sample S and the secondary electron beam emitted from the sample S is imaged on the detector 5031, Special care must be taken to minimize the effects of the three aberrations of the primary optics, distortion, axial chromatic aberration and field astigmatism.
Further, regarding the relationship between the interval between the primary electron beams applied to the sample and the secondary optical system, if the interval between the plurality of primary electron beams is separated by a distance larger than the aberration of the secondary optical system, Crosstalk between a plurality of beams can be eliminated.
The image data converted by the image forming unit 5033 is displayed as an image on the display device 5061 of the investigation and determination device 5060, and the operator 5062 evaluates the image. The operator 5062 forms a charge-up investigation device in this embodiment. Further, the operator 5062 can check the charge-up state based on the image. Then, the result is input to the CPU 5063, and the retarding voltage is set to an optimum value. In this embodiment, the CPU constitutes a retarding voltage determination device.
FIG. 50A is a diagram for explaining a charge-up evaluation place and an evaluation method. The outer peripheral portion of the memory cell boundary 5102 of the chip 5100 is a low density region in the peripheral circuit portion. The inside is a high-density region in the memory cell portion. Therefore, A1 and A2 are images of the boundary area, and A3 and A4 are images of the memory cell portion. A two-dot chain line and a broken line in FIG. 50A indicate boundaries at which the density greatly changes.
More specifically, the corners of the memory cells 5101 of the chips 5100 formed on the surface of the wafer as a sample were evaluated, as shown in FIG. That is, (1) the amount of pattern distortion 5103, 5104 at the memory cell boundary 5102 at the corner is measured, or (2) the pattern is crossed at the corner of the memory cell (as indicated by arrows A1 and A2). 50) The signal intensity contrast obtained when scanning is indicated by solid lines 5105 and 5107 in FIG. 50B, and the signal intensity contrasts 5106 and 5108 obtained when the pattern is scanned by arrows A3 and A4 at the center of the chip. Each of them may be compared with FIG. 50B (shown by a broken line).
A plurality of values of voltage are applied to the retarding voltage applying device 5050, and the distortion amounts 5103 and 5104 or the contrasts 5105, 5107, 5106, and 5108 are measured each time. Evaluated as small. Also, it was evaluated that the influence of the charge-up was smaller when the contrast values 5105 and 5107 at the corners were closer to the contrast value at the center.
When a good retarding voltage in the charged-up state was found, the value was given to the application device 5050 via the CPU 5063, and the sample, that is, the wafer was evaluated based on the value. Further, in the case of a sample in which charge-up decreases when the beam current is reduced, the beam current may be reduced. As described above, when an image is formed near the boundary where the pattern density of the sample greatly changes, the effect of charging is greatly increased. Therefore, it is easy to evaluate the charged state, and it is easy to find a reading voltage that is difficult to be charged.
The electron beam apparatus 5000 of the nineteenth embodiment (FIG. 48) of the present invention is suitably used for the method of manufacturing the semiconductor device shown in FIGS. That is, when the electron beam apparatus 5000 of the nineteenth embodiment of the present invention is used for the inspection step in this manufacturing method, even a semiconductor device having a fine pattern can be inspected with a high throughput, so that 100% inspection can be performed and the product yield can be improved. In addition, it is possible to prevent defective products from being shipped.
The electron beam apparatus 5000 of the nineteenth embodiment (FIG. 48) has the following effects.
(A) A value close to a multiple in which the throughput is proportional to the number of electron beams is obtained, which can be improved several times.
(B) Since the wafer is evaluated in a state where the charge-up state is the least, highly reliable evaluation can be performed.
(C) Since the charge-up performance is evaluated not by measuring various currents but by actual images, more accurate evaluation results can be obtained.
FIG. 51 shows an ExB separator 6020 according to Embodiment 20 of the present invention. The E × B separator 6020 is composed of an electrostatic deflector and an electromagnetic deflector. In FIG. 51, a cross section on the xy plane orthogonal to the optical axis (the axis perpendicular to the drawing: the z axis) Shown as a diagram. The x-axis direction and the y-axis direction are also orthogonal.
The electrostatic deflector includes a pair of electrodes (electrostatic deflection electrodes) 6001 provided in a vacuum container, and generates an electric field E in the x-axis direction. These electrostatic deflection electrodes 6001 are attached to a vacuum wall 6003 of a vacuum container via insulating spacers 6002, and the distance D between these electrodes is smaller than the length 2L of the electrostatic deflection electrodes 6001 in the y-axis direction. Is set. With such a setting, the range in which the electric field strength formed around the z-axis is uniform can be made relatively large, but ideally, if D <L, the range in which the electric field strength is uniform is relatively large. Can be made larger.
That is, since the electric field intensity is not uniform in the range of D / 2 from the edge of the electrode, the region where the electric field intensity is almost uniform is the 2LD of the central portion excluding the non-uniform end region. Area. For this reason, in order to have a region where the electric field intensity is uniform, it is necessary to satisfy 2L> D, and by setting L> D, the region where the electric field intensity is uniform becomes larger.
An electromagnetic deflector for generating a magnetic field M in the y-axis direction is provided outside the vacuum wall 6003. The electromagnetic deflector includes an electromagnetic coil 6004 and an electromagnetic coil 6005, which generate magnetic fields in the x-axis direction and the y-axis direction, respectively. Although the magnetic field M in the y-axis direction can be generated only by the coil 6005, the coil 4 for generating a magnetic field in the x-axis direction is provided in order to improve the orthogonality between the electric field E and the magnetic field M. That is, by canceling out the + x-axis direction generated by the coil 6005 by the magnetic field component in the −x-axis direction generated by the coil 6004, the orthogonality between the electric field and the magnetic field can be improved.
Since these magnetic field generating coils 6004 and 6005 are provided outside the vacuum vessel, they may be divided into two parts, mounted from both sides of the vacuum wall 6003, and integrated by tightening the parts 6007 with screws or the like. .
The outermost layer 6006 of the E × B separator is configured as a yoke made of permalloy or ferrite. Similarly to the coils 6004 and 6005, the outermost layer 6006 may be divided into two parts, attached to the outer periphery of the coil 6005 from both sides, and integrated at the portion 6007 by screwing or the like.
FIG. 52 shows a cross section orthogonal to the optical axis (z axis) of the E × B separator 6040 according to the twentieth embodiment of the present invention. The ExB separator 6040 of FIG. 52 is different from the ExB separator of the twentieth embodiment shown in FIG. 51 in that six poles of the electrostatic deflection electrode 6001 are provided. The angle between a line connecting the center of each electrode and the optical axis (z-axis) and the direction of the electric field (x-axis direction) is θi(I = 0, 1, 2, 3, 4, 5), cos θiVoltage k · cos θ proportional toi(K is a constant) is supplied. Where θiIs any angle.
In the twentieth embodiment shown in FIG. 52, similarly to the nineteenth embodiment, only the electric field E in the x-axis direction can be generated. I do. According to the twentieth embodiment, as compared with the twentieth embodiment shown in FIG. 51, the region where the electric field intensity is uniform can be further increased.
In the E × B separators of Embodiments 19 and 20 shown in FIGS. 51 and 52, the coil for generating the magnetic field is formed in a saddle shape, but a toroidal coil may be used.
FIG. 53A shows an electron beam apparatus 6000 (defect inspection apparatus) according to Example 21 of the present invention in which the E × B separators of Examples 20 and 21 can be employed to separate a primary electron beam and a secondary electron beam. FIG. In FIG. 53A, the electron beam emitted from electron gun 6021 is focused by condenser lens 6022 to form a crossover at point 6024.
Below the condenser lens 6022, a first multi-aperture plate 6023 having a plurality of openings is arranged, thereby forming a plurality of primary electron beams. Each of the formed primary electron beams is reduced by a reduction lens 6025 and projected onto 6035. Then, after focusing at a point 6035, the wafer 6028 as a sample is focused by the objective lens 6027. A plurality of primary electron beams from the first multi-aperture plate 6023 are deflected by a deflector 6039 disposed between a reduction lens 6025 and an objective lens 6027 so as to simultaneously scan the surface of the wafer 6028.
In order to prevent the field curvature aberration between the reduction lens 6025 and the objective lens 6027 from occurring, the first multi-aperture plate 6023 has a plurality of small openings arranged on the circumference as shown in FIG. The points projected on the axis have a structure with equal intervals.
A plurality of focused primary electron beams irradiate a plurality of points on the wafer 6028, and the secondary electron beams emitted from the plurality of irradiated points are attracted to the electric field of the objective lens 6027 to be narrowly focused. Then, the light is deflected by the E × B separator 6026 and is input to the secondary optical system. The image formed by the secondary electron beam focuses on a point 6036 closer to the objective lens 6027 than the point 6035. This is because the plurality of primary electron beams each have energy of about 500 eV on the surface of the wafer 6028, whereas the secondary electron beam has energy of only several eV.
The secondary optical system has magnifying lenses 6029 and 6030, and the secondary electron beam passing through these magnifying lenses forms images on a plurality of apertures of the second multi-aperture plate 6031. Then, the light passes through these openings and is detected by the plurality of detectors 6032. Note that the plurality of openings of the second multi-aperture plate 6031 arranged in front of the detector 6032 and the plurality of openings of the first multi-aperture plate 6023 have a one-to-one correspondence as shown in FIG. 53B. are doing.
Each of the detectors 6032 converts the received secondary electron beam into an electric signal representing its intensity. The electric signal from each detector 6032 is amplified by an amplifier 6033 and then converted into image data in an image processing device 6034. The scanning signal for deflecting the primary electron beam from the deflector 6039 is also supplied to the image processing device 6034, whereby the image processing device 6034 obtains image data representing an image of the surface of the wafer 6028. .
By comparing the obtained image data with the standard pattern, a defect of the wafer 6028 can be detected, and the pattern to be evaluated on the wafer 6028 is moved to the vicinity of the optical axis of the primary optical system by registration, The line width of the pattern on the wafer 6028 can be measured by taking out the line width evaluation signal by performing line scanning and appropriately correcting the line width evaluation signal.
When the primary electron beam that has passed through the opening of the first multi-aperture plate 6023 is focused on the surface of the wafer 6028 to form an image on the multi-aperture plate 6031 for detecting the secondary electron beam emitted from the wafer 6028 Care should be taken to minimize the effects of three aberrations, distortion, field curvature and field astigmatism caused by the primary and secondary optical systems. If the minimum value of the interval between the irradiation positions of the plurality of primary electron beams is separated by a distance larger than the aberration of the secondary optical system, crosstalk between the plurality of beams can be eliminated.
In the E × B separator 6020 according to the nineteenth embodiment of the present invention, a pair of electrodes of an electrostatic deflector that generates an electric field is formed to be longer in the direction perpendicular to the optical axis than the interval between the electrodes. Since the parallel plate type electrode is used, a region where a parallel electric field is generated with uniform intensity around the optical axis is widened.
In the E × B separators of the nineteenth and twentieth embodiments, the saddle type coil is used for the electromagnetic deflector, and the angle of view from the optical axis to the coil is set to 2π / 3 on one side. Is not generated, thereby increasing the area where a parallel magnetic field of uniform intensity is generated around the optical axis. Furthermore, since the magnetic field is generated by the electromagnetic coil, a deflection current can be superimposed on the coil, thereby providing a scanning function.
Since the E × B separators of the nineteenth and twentieth embodiments are configured as a combination of an electrostatic deflector and an electromagnetic deflector, aberrations of the electrostatic deflector and the lens system are calculated. By calculating the aberrations of the electromagnetic deflector and the lens system and summing these aberrations, the aberration of the optical system can be obtained.
Embodiment 22 A charged beam device 7000 according to Embodiment 22 of the present invention will be described with reference to FIGS. In this embodiment, “vacuum” is a vacuum referred to in the art.
In the charged beam apparatus 7000 shown in FIG. 55, a distal end portion of a lens barrel 7001 for irradiating a charged beam toward a sample, that is, a charged beam irradiation section 7002 is attached to a housing 7014 defining a vacuum chamber C. A sample S placed on a movable table in the X direction (the horizontal direction in FIG. 55) of the XY stage 7003 is disposed immediately below the lens barrel 7001. The sample S can be accurately irradiated with a charged beam to an arbitrary position on the sample surface by the high-precision XY stage 7003.
A pedestal 7006 of the XY stage 7003 is fixed to the bottom wall of the housing 7014, and a Y table 7005 that moves in the Y direction (the direction perpendicular to the paper surface in FIG. 55) rests on the pedestal 7006. On both side surfaces (left and right side surfaces in FIG. 55) of the Y table 7005, protrusions projecting into concave grooves formed on the side of the Y table 7005 mounted on the pedestal 7006 facing the Y table 7007a and 7007b. Is formed. The concave groove extends in the Y direction over substantially the entire length of the Y direction guide.
Static pressure bearings 7011a, 7009a, 7011b, and 7009b having a known structure are provided on the upper, lower, and side surfaces of the protrusion protruding into the concave groove, respectively. By blowing high-pressure gas through these static pressure bearings, , Y table 5 is supported in a non-contact manner with respect to Y direction guides 7007a and 7007b, and can smoothly reciprocate in the Y direction. A linear motor 7012 having a known structure is disposed between the pedestal 7006 and the Y table 7005, and the drive in the Y direction is performed by the linear motor. A high pressure gas is supplied to the Y table through a flexible pipe 7022 for supplying a high pressure gas, and the high pressure gas is supplied to static pressure bearings 7009a to 7011a and 7009b to 11b through gas passages (not shown) formed in the Y table. Is supplied. The high-pressure gas supplied to the hydrostatic bearing is jetted into a gap of several microns to several tens of microns formed between the Y-direction guide and the opposing guide surface, and the Y table is moved in the X direction and the Z direction with respect to the guide surface. It plays the role of accurately positioning in the direction (vertical direction in FIG. 55).
An X table 4 is mounted on the Y table so as to be movable in the X direction (the left and right direction in FIG. 55). On the Y table 5, a pair of X direction guides 7008a and 7008b (only 7008a is shown) having the same structure as the Y direction guides 7007a and 7007b for the Y table are provided with the X table 7004 interposed therebetween. A concave groove is also formed on the side of the X-direction guide facing the X table, and a protrusion protruding into the concave groove is formed on the side of the X table (side facing the X-direction guide). The groove extends over substantially the entire length of the X-direction guide. Static pressure bearings (not shown) similar to the static pressure bearings 7011a, 7009a, 7010a, 7011b, 7009b, 7010b are provided on the upper, lower, and side surfaces of the protrusion of the X-direction table 7004 projecting into the concave groove. The arrangement is provided. A linear motor 7013 having a known structure is disposed between the Y table 7005 and the X table 7004, and the X table is driven in the X direction by the linear motor.
The high pressure gas is supplied to the X table 7004 by the flexible pipe 7021, and the high pressure gas is supplied to the static pressure bearing. The high-pressure gas is ejected from the static pressure bearing to the guide surface of the X-direction guide, so that the X-table 7004 is supported with high precision and non-contact with the Y-direction guide. The vacuum chamber C is evacuated by vacuum piping 7019, 7020a, 7020b connected to a vacuum pump or the like having a known structure. The inlet sides (inside of the vacuum chamber) of the pipes 7020a and 7020b penetrate the pedestal 7006 and open on the upper surface near the position where the high-pressure gas is discharged from the XY stage 7003. It is prevented as much as possible by the high-pressure gas ejected from the bearing.
A differential pumping mechanism 7025 is provided at the tip of the lens barrel 7001, that is, around the charged beam irradiation unit 7002, so that the pressure in the charged beam irradiation space 7030 is sufficiently low even if the pressure in the vacuum chamber C is high. is there. That is, the annular member 7026 of the differential evacuation mechanism 7025 attached around the charged beam irradiation unit 7002 has a small gap (several microns to several hundred microns) 7040 between its lower surface (surface on the sample S side) and the sample. As it is formed, it is positioned with respect to the housing 7014, and has an annular groove 7027 formed on the lower surface thereof.
The annular groove 7027 is connected to a vacuum pump or the like (not shown) by an exhaust pipe 7028. Therefore, the minute gap 7040 is exhausted through the annular groove 7027 and the exhaust port 7028, and even if gas molecules try to enter the space 7030 surrounded by the annular member 7026 from the vacuum chamber C, it is exhausted. Thus, the pressure in the charged beam irradiation space 7030 can be kept low, and the charged beam can be irradiated without any problem. The annular groove may have a double structure or a triple structure depending on the pressure in the chamber and the pressure in the charged beam irradiation space 7030.
As the high-pressure gas supplied to the static pressure bearing, dry nitrogen is generally used. However, if possible, it is preferable to use a higher purity inert gas. This is because, when impurities such as moisture and oil are contained in the gas, these impurity molecules adhere to the inner surface of the housing that defines the vacuum chamber and the surface of the stage components, thereby deteriorating the degree of vacuum, This is because they adhere to the surface and deteriorate the degree of vacuum in the charged beam irradiation space.
The sample S is not usually placed directly on the X table, but is placed on a sample table having functions such as detachably holding the sample and making a minute position change with respect to the XY stage 7003. However, since the presence or absence and the structure of the sample stage are not related to the gist of the present invention, they are omitted to simplify the description.
In the charged beam apparatus 7000, the stage mechanism of the static pressure bearing used in the atmosphere can be used almost as it is, so that the XY stage with the same high precision as the high precision stage for the atmosphere used in the exposure apparatus etc. can be manufactured at almost the same cost. And an XY stage for a charged beam device. The structure, arrangement, and actuator (linear motor) of the static pressure guide described above are merely examples, and any static pressure guide or actuator that can be used in the atmosphere can be applied.
FIG. 56 shows a numerical example of the size of the annular groove formed in the annular member 7026 of the differential exhaust unit 7025. An annular member 7026 in FIG. 56 has annular grooves 7027a and 7027b having a double structure radially separated from each other, and exhausts exhaust gases TMP and DP, respectively.
The flow rate of the high-pressure gas supplied to the static pressure bearing is usually about 20 L / min (atmospheric pressure conversion). Assuming that the vacuum chamber C is evacuated by a dry pump having an evacuation speed of 20,000 L / min through a vacuum pipe having an inner diameter of 50 mm and a length of 2 m, the pressure in the vacuum chamber becomes about 160 Pa (about 1.2 Torr). . At this time, if the dimensions of the annular member 7026, the annular groove and the like of the differential pumping mechanism are as shown in FIG. 56, the pressure in the charged beam irradiation space 7030 is reduced to 10-4Pa (10-6Torr).
FIG. 57 shows a charged beam apparatus 7000 according to Embodiment 23 of the present invention. A dry vacuum pump 7053 is connected to the vacuum chamber C defined by the housing 7014 via vacuum pipes 7074 and 7075. An annular groove 7027 of the differential evacuation mechanism 7025 is connected to a turbo molecular pump 7051 which is an ultra-high vacuum pump via a vacuum pipe 7070 connected to an evacuation port 7028. Further, a turbo molecular pump 7052 is connected to the inside of the lens barrel 7001 via a vacuum pipe 7071 connected to an exhaust port 7018. These turbo molecular pumps 7051, 7052 are connected to a dry vacuum pump 7053 by vacuum piping 7072, 7073.
In the charged beam apparatus 7000 shown in FIG. 57, one dry vacuum pump is used for both the roughing pump of the turbo-molecular pump and the vacuum exhaust pump of the vacuum chamber, but instead of the high-pressure gas supplied to the static pressure bearing of the XY stage. Depending on the flow rate, the volume and inner surface area of the vacuum chamber, and the inner diameter and length of the vacuum pipe, they may be evacuated by a dry vacuum pump of another system.
High-purity inert gas (N) is passed through the flexible pipes 7021 and 7022 to the static pressure bearing of the XY stage 7003.2Gas, Ar gas, etc.). These gas molecules ejected from the static pressure bearing diffuse into the vacuum chamber, and are exhausted by the dry vacuum pump 7053 through the exhaust ports 7019, 7020a, and 7020b. In addition, these gas molecules that have entered the differential pumping mechanism or the charged beam irradiation space are sucked from the annular groove 7027 or the distal end of the lens barrel 7001, and are exhausted by the turbo molecular pumps 7051 and 7052 through the exhaust ports 7028 and 7018. After being discharged from the turbo molecular pump, the gas is exhausted by the dry vacuum pump 7053. As described above, the high-purity inert gas supplied to the hydrostatic bearing is collected by the dry vacuum pump and discharged.
On the other hand, the exhaust port of the dry vacuum pump 7053 is connected to a compressor 7054 through a pipe 7076, and the exhaust port of the compressor 7054 is connected to flexible pipes 7021 and 7022 through pipes 7077, 7078, 7079 and regulators 7061, 7062. It is connected. Therefore, the high-purity inert gas discharged from the dry vacuum pump 7053 is pressurized again by the compressor 7054, adjusted to an appropriate pressure by the regulators 7061 and 7062, and then supplied again to the static pressure bearing of the XY table. You.
As described above, the gas supplied to the hydrostatic bearing must be as pure as possible and contain as little moisture and oil as possible. It is required to have a structure in which oil and oil are not mixed. Further, a cold trap or a filter 7060 may be provided in the middle of the discharge pipe 7077 of the compressor to trap impurities such as water and oil mixed in the circulating gas so that the impurities are not supplied to the hydrostatic bearing. It is valid.
By doing so, the high-purity inert gas can be circulated and reused, so that the high-purity inert gas can be saved.In addition, since the inert gas does not flow into the room where the device is installed, the inert gas can be reused. The risk of accidents such as suffocation due to suffocation can be eliminated.
A high-purity inert gas supply source 7063 is connected to the circulation piping system, and when starting to circulate the gas, it is connected to all the circulation systems including the vacuum chamber C, the vacuum piping 7070 to 7075, and the pressurized piping 7076 to 7080. It fulfills the role of filling the high-purity inert gas and the role of supplying the shortage when the flow rate of the circulating gas decreases for some reason. In addition, by providing the function of compressing the dry vacuum pump 7053 to a pressure higher than the atmospheric pressure, the dry vacuum pump 7053 and the compressor 7054 can be combined with one pump. As the ultra-high vacuum pump used for evacuation of the lens barrel, a pump such as an ion pump or a getter pump can be used instead of the turbo molecular pump. Instead of the dry vacuum pump, another type of dry pump such as a diaphragm type dry pump can be used.
FIG. 58 shows a charged beam apparatus 7100 according to Embodiment 23 of the present invention. The charged beam device 7100 includes an optical system 7160 and a detector 7180 that can be used for the charged beam device 7000 in FIG. The optical system 7160 includes a primary optical system 7161 for irradiating the sample S placed on the stage 7003 with a charged beam, and a secondary optical system 7171 for receiving secondary electrons emitted from the sample.
The primary optical system 7161 in FIG. 58 includes an electron gun 7162 that emits a charged beam, lens systems 7163 and 7164 including two-stage electrostatic lenses that focus the charged beam emitted from the electron gun 7162, a deflector 7165, , A Wien filter that deflects the charged beam so that its optical axis is perpendicular to the surface of the object, that is, an E × B separator 7166, and lens systems 7167 and 7168 each including a two-stage electrostatic lens. 58, the optical axis of the charged beam is arranged so as to be inclined with respect to a line perpendicular to the surface (sample surface) of the sample S in order with the electron gun 7161 being at the uppermost position. The ExB deflector 7166 includes an electrode 7661 and a magnet 7662.
The secondary optical system 7171 is an optical system into which secondary electrons emitted from the sample S are injected, and includes a two-stage electrostatic lens disposed above the E × B deflector 7166 of the primary optical system. Lens systems 7172 and 7173 are provided. The detector 7180 detects the secondary electrons sent via the secondary optical system 7171. Since the structure and function of each component of the optical system 7160 and the detector 7180 are the same as those of the related art, detailed description thereof will be omitted.
The charged beam emitted from the electron gun 7162 is shaped by the square aperture of the electron gun, reduced by the two-stage lens systems 7163 and 7164, adjusted in the optical axis by the polarizer 7165, and deflected by the E × B deflector 7166. An image is formed into a square having a side of 1.25 mm on the center plane. The E × B deflector 7166 has a structure in which an electric field and a magnetic field are orthogonal to each other in a plane perpendicular to the normal line of the sample. At other times, it is deflected in a predetermined direction by the mutual relationship between the electric field, the magnetic field and the energy of the electric field. It is set so that the charged beam from the electron gun is bent so as to be perpendicularly incident on the sample S, and secondary electrons emitted from the sample are made to travel straight toward the detector 7180. The shaped beam deflected by the E × B polarizer is reduced to 1 / by the lens systems 7167 and 7168 and projected onto the sample S.
The secondary electrons having the information of the pattern image emitted from the sample S are enlarged by the lens systems 7167, 7168 and 7172, 7173, and the secondary electron image is formed by the detector 7180. The four-stage magnifying lens is a distortion-free lens because the lens systems 7167 and 7168 form a symmetric tablet lens, and the lens systems 7172 and 7173 also form a symmetric tablet lens.
The charged beam device 7000 shown in FIGS. 55 to 58 can be used for the method of manufacturing the semiconductor device shown in FIGS. That is, when the charged beam device 7000 is used in the wafer inspection process in FIG. 12 or the exposure process in FIG. 13, a fine pattern can be inspected or exposed stably with high accuracy, so that the yield of products can be improved and defective products can be improved. Shipment can be prevented.
The charged beam device 7000 shown in FIGS. 55 to 58 has the following effects.
(A) Using a stage having the same structure as a static pressure bearing type stage generally used in the atmosphere (a stage supporting a static pressure bearing without a differential pumping mechanism), Processing by a charged beam can be performed stably.
(B) The influence of the charged beam irradiation area on the degree of vacuum can be minimized, and the processing of the sample by the charged beam can be stabilized.
(C) It is possible to provide an inexpensive inspection apparatus in which the stage positioning performance is high precision and the degree of vacuum in the irradiation area of the charged beam is stable.
(D) It is possible to provide an inexpensive exposure apparatus in which the stage positioning performance is high precision and the degree of vacuum in the charged beam irradiation area is stable.
(E) A fine semiconductor circuit can be formed by manufacturing a semiconductor using a device that has high accuracy in stage positioning performance and a stable degree of vacuum in a charged beam irradiation area.
FIG. 59 is a schematic layout view of an electron beam apparatus 8000 according to Embodiment 25 of the present invention. In FIG. 59, an electron beam emitted from an electron gun 8001 is focused by a condenser lens 8002 and crossed at a point 8004. To form
Below the condenser lens 8002, a first multi-aperture plate 8003 having a plurality of openings 8003 'is arranged, thereby forming a plurality of primary electron beams. Each of the primary electron beams formed by the first multi-aperture plate is reduced by a reduction lens 8005, focused at a point 8015, and further focused on a sample 8008 by an objective lens 8007. A plurality of primary electron beams emitted from the first multi-aperture plate 8003 are deflected by a deflector arranged between the reduction lens 8005 and the objective lens 8007 so as to simultaneously scan different positions on the surface of the sample 8008. You.
In order to eliminate the influence of the field curvature aberration of the reduction lens 8005 and the objective lens 8007, as shown in FIG. 60, the multi-aperture plate 8003 has a plurality of apertures 8003 ′ arranged on the same circumference on the multi-aperture plate 3. When the center is projected on the x-axis, the intervals are equal.
In the electron beam apparatus 8000 according to the twenty-fifth embodiment of FIG. 59, secondary electrons are respectively emitted from a plurality of points on the sample 8008 irradiated with a plurality of primary electron beams, and are attracted by the electric field of the objective lens 8007. It is converged thinly, deflected by an E × B separator 8006, and input to a secondary optical system. The secondary electron image focuses on point 8016, which is closer to objective lens 8007 than point 8015. This is because each primary electron beam has energy of 500 eV on the sample surface, whereas the secondary electron beam has energy of only several ev.
The secondary optical system has magnifying lenses 8009 and 8010, and the secondary electron beam passing through these magnifying lenses 8009 and 8010 passes through a plurality of openings of the second multi-aperture plate 8011 and a plurality of detectors 8012 and 8010. Image. Note that the plurality of openings of the second multi-aperture plate 8011 arranged in front of the detector 8012 and the plurality of openings 8003 'of the first multi-aperture plate 8003 have a one-to-one correspondence.
Each detector 8012 converts the detected secondary electron beam into an electric signal representing its intensity. The electric signals output from the respective detectors are respectively amplified by the amplifier 8013, and then received by the image processing unit 8014, and are converted into image data. Since a scanning signal for deflecting the primary electron beam is further supplied to the image processing unit 8014, the image processing unit 8014 displays an image representing the surface of the sample 8008. By comparing this image with a standard pattern, a defect of the sample 8008 can be detected. In addition, the pattern to be measured of the sample 8008 is moved to a position near the optical axis of the primary optical system by registration, and line scanning is performed. The line width of the pattern on the sample 8008 can be measured by extracting the line width evaluation signal and appropriately correcting the line width evaluation signal.
Here, when the primary electron beam that has passed through the opening of the first multi-aperture plate 8003 is focused on the surface of the sample 8008 and the secondary electron beam emitted from the sample is imaged on the detector 8012, the primary optical beam Special care must be taken to minimize the effects of the three aberrations of the system: distortion, field curvature and field astigmatism.
Next, regarding the relationship between the intervals between the plurality of primary electron beams and the secondary optical system, if the intervals between the primary electron beams are separated by a distance larger than the aberration of the secondary optical system, the cross stroke between the plurality of beams is eliminated. be able to.
In the above-described optical system, a case has been described in which an electron beam from a single electron gun is made into a multi-beam by passing through a multi-aperture. May be plural.
FIG. 61 is a simulation model related to the objective lens 8007 in FIG. Reference numeral 8021 denotes an optical axis, 8022 denotes an upper electrode of an objective lens 8007, which is 0 V (volt); 8023, a central electrode of the objective lens to which a high voltage is applied; 24, a lower electrode of the objective lens to which a ground voltage is applied; The surface 25 was set to -4000V. Reference numerals 8026, 8027, and 8028 denote insulator spacers that hold electrodes. The position of the crossover created by the reduction lens 8005 is changed in various ways, and the center electrode of the objective lens is changed to focus the multi-beam image at z = 0 mm on the sample surface 8025, and calculate the aberration that occurs at that time. did.
FIG. 62 is a graph showing the result of the simulation. FIG. 62 shows the changed crossover position (mm) on the horizontal axis and the corresponding aberration value on the vertical axis. The upper surface of the center electrode 8023 (FIG. 61) was set to z = 144 mm. The r position of the multi-beam was 50 μm, and the half angle of the aperture was 5 mrad.
In the graph of FIG. 62, a curve 8031 is coma aberration, 8032 is chromatic aberration of magnification, 8033 is astigmatism, 8034 is axial chromatic aberration, 8035 is curvature of field, 8036 is distortion, and 8037 is blur. When the multi-beam is on the circumference centered on the optical axis, the field curvature 8035 is 0, and the blur 8037 is substantially determined by the chromatic aberration of magnification 8032 and the axial chromatic aberration 8034. Here, the energy width of the electron gun was 5 eV. When the crossover position is set to 140 mm, the chromatic aberration of magnification 8032 is reduced to a value that is almost no problem. That is, according to this simulation, it is understood that the crossover position formed by the preceding lens should be formed closer to the electron gun than the center electrode position (144 mm) of the objective lens.
The electron beam apparatus 8000 of the embodiment 25 in FIG. 59 can be used to evaluate a wafer in the semiconductor device manufacturing process of FIGS. When the electron beam apparatus shown in FIGS. 59 to 62 is used in the wafer inspection process shown in FIG. 12, even a semiconductor device having a fine pattern can be inspected with a high throughput. Product shipment can be prevented.
The electron beam device 8000 of the twenty-fifth embodiment of FIG. 59 has the following operation and effects.
(1) By using a multi-beam, the throughput of evaluation of a wafer or the like by an electron beam can be increased.
(2) The chromatic aberration of magnification, which becomes a problem when the radius at which the multiple beams are arranged is increased, can be reduced to a level that does not cause a problem.
FIG. 64 is a horizontal sectional view showing the detailed structure of an electron beam deflector 90 that can be used in the electron beam device of the present invention. FIG. 65 is a side view taken along the line AA of FIG. As shown in FIG. 64, the electron beam deflector 90 has a structure in which an electric field and a magnetic field are orthogonal to each other in a plane perpendicular to the optical axis of the projection optical unit, that is, an E × B structure. Here, the electric field E is generated by the electrodes 90a and 90b having a concave curved surface. The electric fields generated by the electrodes 90a and 90b are controlled by control units 93a and 93b, respectively. On the other hand, the electromagnetic coils 91a and 91b are arranged so as to be orthogonal to the electrodes 90a and 90b for generating an electric field to generate a magnetic field. The electrodes 90a and 90b for generating an electric field are point-symmetrical (concentric).
In order to improve the uniformity of the magnetic field, a magnetic path is formed with a pole piece having a parallel plate shape. The behavior of the electron beam in the vertical section along the line AA is shown in FIG. The irradiated electron beams 91a and 91b are deflected by the electric field generated by the electrodes 90a and 90b and the magnetic field generated by the electromagnetic coils 91a and 91b, and then enter the sample surface in the vertical direction.
The positions and angles at which the electron beams 91a and 91b enter the electron beam deflecting unit 90 are uniquely determined when the energy of the electrons is determined. Further, the electric field generated by the electrodes 90a and 90b and the magnetic field generated by the electromagnetic coils 91a and 91b are set so that the secondary electrons 92a and 92b travel straight, and the electric field and magnetic field conditions, that is, evB = eE. Under the control of the control units 93a and 93b and 94a and 94b, the secondary electrons travel straight through the electron beam deflecting unit 27 and enter the projection optical unit. Here, v is an electron velocity (m / s), B is a magnetic field (T), e is a charge amount (C), and E is an electric field (V / m).
FIG. 66 is a plan view for explaining a primary electron beam irradiation method according to the present invention. In FIG. 66, the primary electron beam 100 is formed by four electron beams 101, 102, 103, and 104. Each electron beam scans a 50 μm width. Taking the primary electron beam 101 as an example, the primary electron beam 101 is initially at the left end, is scanned to the right end on the substrate W (sample) having the pattern 107, and returns to the left end immediately after reaching the right end. After that, scanning is performed to the right again. The moving direction of the stage on which the substrate W is mounted is substantially perpendicular to the scanning direction of the primary electron beam.
[Brief description of the drawings]
FIG. 1 is an elevational view showing main components of an inspection apparatus according to a first embodiment of the present invention, and is a view taken along line AA in FIG.
FIG. 2 is a plan view of main components of the inspection apparatus shown in FIG. 1 and is a view taken along line BB in FIG.
3A is a cross-sectional view of the mini-environment device of FIG. 1 along line CC, and FIG. 3B is a side view of another type of mini-environment device.
FIG. 4 is a view showing the loader housing of FIG. 1 and is a view taken along the line DD of FIG.
5A and 5B are enlarged views of the wafer rack, FIG. 5A is a side view, and FIG. 5B is a cross-sectional view taken along line EE of FIG. 5A.
6A and 6B are views showing first and second modifications of the method of supporting the main housing.
FIG. 7 is a layout diagram showing a schematic configuration of an electron optical device according to a second embodiment of the present invention used in the inspection device of FIG.
FIG. 8 is a diagram showing the positional relationship of the openings of the multi-aperture plate used in the primary optical system of the electron optical device in FIG.
FIG. 9 is a diagram showing a potential application mechanism.
10A and 10B are views for explaining the electron beam calibration mechanism. FIG. 10A is a side view, and FIG. 10B is a plan view.
FIG. 11 is a schematic explanatory view of a wafer alignment control device.
FIG. 12 is a flowchart showing one embodiment of a method for manufacturing a semiconductor device according to the present invention.
FIG. 13 is a flowchart showing a lithography step which is the core of the wafer processing step shown in FIG.
FIG. 14A is a diagram schematically illustrating an optical system of an electron beam apparatus according to a third embodiment of the present invention, and FIG.
FIG. 15 is a diagram illustrating a secondary optical system and an aperture angle according to a third embodiment of the present invention.
FIG. 16 is a diagram illustrating a relationship between aberration on the sample surface 10 and a half angle of aperture αi.
17A is a plan view of the multi-emitter, and FIG. 17B is a cross-sectional view taken along line 17B-17B of FIG. 17A.
18A and 18B are views showing a vacuum chamber and an XY stage of a conventional charged beam device. FIG. 18A is a front view, and FIG. 18B is a side view.
FIG. 19 is a schematic perspective view of an exhaust mechanism used for the XY stage in FIGS. 18A and 18B.
20A and 20B are a front view and a side view showing a vacuum chamber and an XY stage of a charged beam device according to a fourth embodiment of the present invention.
FIG. 21 is a sectional view showing a vacuum chamber and an XY stage of the charged beam device according to the fifth embodiment of the present invention.
FIG. 22 is a sectional view showing a vacuum chamber and an XY stage of the charged beam device according to the sixth embodiment of the present invention.
FIG. 23 is a diagram illustrating a vacuum chamber and an XY stage of a charged beam device according to a seventh embodiment of the present invention.
FIG. 24 is a diagram showing a vacuum chamber and an XY stage of the charged beam device according to the eighth embodiment of the present invention.
FIG. 25 is a schematic layout diagram showing an optical system and a detection system according to the ninth embodiment of the present invention provided in the lens barrel of the embodiment shown in FIGS.
FIG. 26 is a schematic configuration diagram of the defect inspection apparatus according to the tenth embodiment of the present invention.
FIG. 27 is a diagram illustrating an example of a plurality of inspected images and a reference image acquired by the defect inspection apparatus of FIG.
FIG. 28 is a flowchart showing the flow of the main routine of the wafer inspection by the defect inspection apparatus of FIG.
FIG. 29 is a flowchart showing a detailed flow of a subroutine of a plurality of inspection image data obtaining steps (step 3304) in the flowchart of FIG.
FIG. 30 is a flowchart showing a detailed flow of the subroutine of the comparison step (step 308) in FIG.
FIG. 31 is a diagram showing a specific configuration example of a detector of the defect inspection device of FIG.
FIG. 32 is a diagram conceptually showing a plurality of inspection regions whose positions are shifted from each other while partially overlapping on the surface of the semiconductor wafer.
FIG. 33 is a configuration diagram of a scanning electron beam apparatus that constitutes the defect inspection apparatus according to the eleventh embodiment of the present invention.
FIG. 34 is an arrangement diagram showing main elements of an electron beam apparatus according to Embodiment 12 of the present invention.
FIG. 35A is a plan view of the aperture plate of the apparatus of FIG. 34, and FIGS. 35B and 35C are plan views showing the arrangement of the openings.
FIG. 36 is a diagram showing an arrangement of primary electron beam irradiation points formed on the sample surface by the electron beam apparatus of FIG.
FIG. 37 is a schematic configuration diagram of an electron beam device according to Embodiment 13 of the present invention.
FIG. 38 is a schematic layout diagram showing an optical system of an electron beam apparatus according to Embodiment 14 of the present invention.
FIG. 39 is a plan view showing an example of a multi-aperture plate used in the electron beam device of FIG.
FIG. 40 is a plan view showing an example of a detector aperture plate used in the electron beam apparatus of FIG.
41A and 41B are plan views showing other examples of the multi-aperture plate used in the electron beam device of FIG.
FIG. 42 is an arrangement diagram showing an optical system of an electron beam apparatus according to Embodiment 15 of the present invention.
FIG. 43 is a plan view showing a state in which the optical systems of the electron beam apparatus of FIG. 42 are arranged in two rows and plural columns in parallel on a wafer.
FIG. 44A is a schematic layout view of an electron beam apparatus according to Embodiment 16 of the present invention, FIG. 44B is a plan view showing openings of a multi-aperture plate, and FIG. 44C is a layout view showing a structure for applying a voltage to an objective lens. It is.
FIG. 45A is a graph showing the relationship between the voltage applied to the objective lens and the rise width of the electric signal, and FIG. 45B is a graph for explaining the rise width of the electric signal.
FIG. 46 is a schematic layout diagram of an optical system of an electron beam apparatus according to Embodiment 17 of the present invention.
FIG. 47 is a plan view showing the arrangement of the respective openings in the first opening plate and the second opening plate of the electron beam apparatus of FIG. 46 of the present invention.
FIG. 48 is a schematic layout diagram of an electron beam apparatus according to Embodiment 18 of the present invention.
FIG. 49 is a plan view showing the positional relationship of the openings of the multi-aperture plate used in the primary optical system of the electron beam apparatus of FIG.
FIG. 50A is a diagram for explaining a charge-up evaluation place and an evaluation method, and FIG. 50B is a diagram for comparing signal intensity contrasts.
FIG. 51 is a sectional view orthogonal to the optical axis of the E × B separator according to the nineteenth embodiment of the present invention.
FIG. 52 is a sectional view orthogonal to the optical axis of the E × B separator according to the twentieth embodiment of the present invention.
FIG. 53A is a schematic layout view of a wafer defect inspection apparatus according to Embodiment 21 of the present invention which can use the E × B separator of FIG. 51 or 52, and FIG. 53B shows a positional relationship of openings of a multi-aperture plate. FIG.
FIG. 54 is an explanatory diagram showing a configuration of a conventional E × B energy filter.
FIG. 55 is a sectional view showing a vacuum chamber and an XY stage of a charged beam device according to Embodiment 22 of the present invention.
FIG. 56 is a view showing an example of the working exhaust mechanism provided in the charged beam device of FIG.
FIG. 57 is a diagram showing a gas circulation piping system of the charged beam device of FIG. 55.
FIG. 58 is a schematic layout diagram showing an optical system and a detection system of a charged beam device according to Embodiment 23 of the present invention.
FIG. 59 is a schematic layout diagram of the electron beam apparatus of the present invention.
FIG. 60 is a plan view of an aperture plate used in the electron beam apparatus of FIG.
FIG. 61 is a diagram showing a simulation of the objective lens of the electron beam apparatus according to the present invention.
FIG. 62 is a graph showing a result of the simulation in FIG.
FIG. 63 is an inspection flowchart showing an inspection procedure.
FIG. 64 is a horizontal sectional view showing the electron beam deflector.
FIG. 65 is a side view showing a beam deflection state in the electron beam deflector.
FIG. 66 is a plan view for explaining a primary electron beam irradiation method according to the present invention.

Claims (100)

荷電粒子又は電磁波のいずれか1つを検査対象へ照射して該検査対象を検査する検査装置(70、700)において、
真空雰囲気に制御可能な、検査対象を検査するワーキングチャンバと、
荷電粒子又は電磁波のいずれか1つをビームとして発生させるビーム発生手段と、
複数の前記ビームを前記ワーキングチャンバ内に保持した検査対象へ照射し、検査対象から発生する2次荷電粒子を検出し、画像処理系へ導く電子光学系と、該2次荷電粒子により画像を形成する画像処理系と、
画像処理系の出力に基き、検査対象の状態情報を表示又は記憶する情報処理系と、
前記ビームに対し相対移動可能に検査対象を保持するステージ装置と、を具備することを特徴とする検査装置。
In an inspection apparatus (70, 700) for irradiating one of charged particles or electromagnetic waves to an inspection target to inspect the inspection target,
A working chamber for inspecting an inspection object, which can be controlled in a vacuum atmosphere,
Beam generating means for generating any one of charged particles or electromagnetic waves as a beam,
An electron optical system that irradiates the inspection object held in the working chamber with the plurality of beams to detect secondary charged particles generated from the inspection object and guides the secondary charged particles to an image processing system, and forms an image by the secondary charged particles Image processing system
An information processing system that displays or stores state information of the inspection target based on an output of the image processing system,
A stage device for holding an inspection object so as to be relatively movable with respect to the beam.
請求項1の検査装置において、検査対象を保全し、前記ワーキングチャンバに搬出入する搬出入機構を具備することを特徴とする検査装置。2. The inspection apparatus according to claim 1, further comprising a carry-in / out mechanism for preserving a test object and carrying in / out the working chamber. 請求項2の検査装置において、前記搬出入機構は、前記ステージ装置を収容しておりかつ真空雰囲気に制御可能になっているワーキングチャンバと、前記ワーキングチャンバ内の前記ステージ装置上に検査対象を供給するローダーとを備え、前記ワーキングチャンバは床からの振動を遮断する振動遮断装置を介して支持されることを特徴とする検査装置。The inspection apparatus according to claim 2, wherein the carrying-in / out mechanism supplies the inspection target to the working chamber that houses the stage device and is controllable to a vacuum atmosphere, and the stage device in the working chamber. An inspection apparatus, comprising: a loader that performs vibration, wherein the working chamber is supported via a vibration isolation device that isolates vibration from the floor. 請求項1の検査装置において、前記ワーキングチャンバ内に配置された前記検査対象に電位を印加する電位印加機構と、前記電子光学系に対する前記検査対象の位置決めのために前記検査対象の表面を観察してアラインメントを制御するアラインメント制御装置とを備えることを特徴とする検査装置。The inspection apparatus according to claim 1, wherein a potential application mechanism for applying a potential to the inspection target disposed in the working chamber, and observing a surface of the inspection target for positioning the inspection target with respect to the electron optical system. And an alignment control device for controlling the alignment. 請求項1の検査装置において、前記電子光学系は、対物レンズ及びE×B分離器を有し、複数の前記ビームを形成して前記検査対象に照射し、前記ビームの照射により放出される二次荷電粒子を前記対物レンズで加速させて前記E×B分離器で分離し、二次荷電粒子像を投影する電子光学系と、二次荷電粒子像を検出する複数の検出器とを備えることを特徴とする検査装置。2. The inspection apparatus according to claim 1, wherein the electron optical system has an objective lens and an E × B separator, and forms a plurality of the beams to irradiate the object to be inspected. An electron optical system that accelerates secondary charged particles by the objective lens, separates the secondary charged particles by the E × B separator, and projects a secondary charged particle image, and a plurality of detectors that detect the secondary charged particle image An inspection apparatus characterized by the above-mentioned. 請求項3の検査装置において、前記ローダーが、それぞれが独立して雰囲気制御可能になっている第1のローディングチャンバ及び第2のローディングチャンバと、前記検査対象を第1のローディングチャンバ内とその外部との間で搬送する第1の搬送ユニットと、前記第2のローディングチャンバに設けられていて前記検査対象を前記第1のローディングチャンバ内と前記ステージ装置上との間で搬送する第2の搬送ユニットとを備え、前記検査装置が、前記ローダーに検査対象を供給するための仕切られたミニエンバイロメント空間を更に備えることを特徴とする検査装置。4. The inspection apparatus according to claim 3, wherein the loader is configured to control a first loading chamber and a second loading chamber, each of which is independently controllable in atmosphere, and the inspection object is placed in and outside the first loading chamber. And a second transport provided in the second loading chamber and transporting the inspection object between the inside of the first loading chamber and the stage device. And a unit, wherein the inspection apparatus further comprises a partitioned mini-environment space for supplying an inspection object to the loader. 請求項1の検査装置において、前記ステージ装置上の前記検査対象の座標を検出するレーザ干渉測距装置を備え、前記アライメント制御装置により、検査対象に存在するパターンを利用して検査対象の座標を決めることを特徴とする検査装置。2. The inspection apparatus according to claim 1, further comprising: a laser interferometer for detecting coordinates of the inspection target on the stage device, wherein the alignment control device calculates coordinates of the inspection target using a pattern existing on the inspection target. An inspection device characterized by determining. 請求項6の検査装置において、前記検査対象の位置合わせは、前記ミニエンバイロメント空間内で行われる粗位置合わせと、前記ステージ装置上で行われるXY方向の位置合わせ及び回転方向の位置合わせとを含むことを特徴とする検査装置。7. The inspection apparatus according to claim 6, wherein the alignment of the inspection target includes a coarse alignment performed in the mini-environment space, an XY direction alignment, and a rotational direction alignment performed on the stage device. An inspection device characterized by including: 請求項1乃至8の検査装置を用いてプロセス途中又はその後のウエハの欠陥を検出するデバイス製造方法。A device manufacturing method for detecting a defect in a wafer during or after a process using the inspection apparatus according to claim 1. 荷電粒子線を試料に照射し、試料から放出される2次荷電粒子を検出する検査装置(1000)であって、
複数の荷電粒子線を試料に照射する少なくとも1つの1次光学系と、
前記2次荷電粒子を少なくとも1つの検出器に導く少なくとも1つの2次光学系とを有し、
前記複数の荷電粒子線は、互いに前記2次光学系の距離分解能より離れた位置に照射されるものである検査装置。
An inspection device (1000) for irradiating a sample with a charged particle beam and detecting secondary charged particles emitted from the sample,
At least one primary optical system that irradiates the sample with a plurality of charged particle beams;
At least one secondary optics for directing said secondary charged particles to at least one detector,
An inspection apparatus, wherein the plurality of charged particle beams are applied to positions separated from each other by a distance resolution of the secondary optical system.
前記1次光学系は、前記荷電粒子線の照射間隔より広い間隔で、前記荷電粒子線を走査する機能を有する請求項10の荷電粒子線装置。11. The charged particle beam apparatus according to claim 10, wherein the primary optical system has a function of scanning the charged particle beam at intervals wider than the irradiation interval of the charged particle beam. 前記2次光学系の初段レンズと試料面との間には、前記2次荷電粒子線を加速する電界が印加され、試料面から少なくとも45度より小さい角度で放出された2次荷電粒子が前記2次光学系を通過するようにされる請求項10の荷電粒子線装置。An electric field for accelerating the secondary charged particle beam is applied between the first-stage lens of the secondary optical system and the sample surface, and the secondary charged particles emitted at an angle smaller than at least 45 degrees from the sample surface are formed. 11. The charged particle beam device according to claim 10, wherein the charged particle beam device is made to pass through a secondary optical system. 請求項10の検査装置であって、前記複数の荷電粒子線は、試料面に略垂直入射され、前記2次荷電粒子は、E×B分離器によって偏向され、前記1次光学系から分離される検査装置。The inspection apparatus according to claim 10, wherein the plurality of charged particle beams are substantially perpendicularly incident on a sample surface, and the secondary charged particles are deflected by an E × B separator and separated from the primary optical system. Inspection equipment. 請求項10乃至13のいずれか1項の検査装置を用いて、デバイスの欠陥検査を行うデバイス製造方法。A device manufacturing method for performing a device defect inspection using the inspection apparatus according to any one of claims 10 to 13. XYステージに試料を載置し、試料を真空中で任意の位置に移動して試料面に荷電粒子ビームを照射する検査装置(2000)において、
XYステージには、静圧軸受けによる非接触支持機構と差動排気による真空シール機構とを設け、
試料面上の荷電粒子ビームが照射される箇所と、XYステージの静圧軸受け支持部との間にコンダクタンスが小さくなる仕切りを設け、
荷電粒子ビーム照射領域と静圧軸受け支持部との間に圧力差が生じるようにしたことを特徴とする検査装置。
In an inspection apparatus (2000) for placing a sample on an XY stage, moving the sample to an arbitrary position in a vacuum, and irradiating a charged particle beam on the sample surface,
The XY stage is provided with a non-contact support mechanism using a static pressure bearing and a vacuum sealing mechanism using differential evacuation.
A partition having a small conductance is provided between a portion on the sample surface where the charged particle beam is irradiated and a static pressure bearing support of the XY stage,
An inspection device wherein a pressure difference is generated between a charged particle beam irradiation area and a static pressure bearing support.
前記仕切りが差動排気構造を内蔵することを特徴とする請求項15の検査装置。16. The inspection device according to claim 15, wherein the partition has a differential exhaust structure. 前記仕切りがコールドトラップ機能を有することを特徴とする請求項15の検査装置。The inspection device according to claim 15, wherein the partition has a cold trap function. 前記仕切りが、荷電粒子ビーム照射位置の近傍と、静圧軸受け近傍の2カ所に設けられることを特徴とする請求項15の検査装置。16. The inspection apparatus according to claim 15, wherein the partition is provided at two positions near a charged particle beam irradiation position and near a static pressure bearing. 請求項15の検査装置において、前記XYステージの静圧軸受けに供給されるガスが、窒素もしくは不活性ガスであることを特徴とする検査装置。16. The inspection apparatus according to claim 15, wherein a gas supplied to the static pressure bearing of the XY stage is nitrogen or an inert gas. 請求項15の検査装置において、前記XYステージの、少なくとも静圧軸受けに面する部品表面に放出ガスを低減するための表面処理を施したことを特徴とする検査装置。16. The inspection apparatus according to claim 15, wherein at least a surface of a part of the XY stage facing the hydrostatic bearing is subjected to a surface treatment for reducing gas emission. 請求項15の検査装置を用いて、半導体ウエハ表面の欠陥を検査する検査装置。An inspection apparatus for inspecting a defect on a surface of a semiconductor wafer using the inspection apparatus according to claim 15. 請求項15乃至20のいずれかの検査装置を用いて、半導体ウエハ表面又はレチクルに半導体デバイスの回路パターンを描画する露光装置。An exposure apparatus that draws a circuit pattern of a semiconductor device on a surface of a semiconductor wafer or a reticle using the inspection apparatus according to claim 15. 請求項15乃至20の検査装置を用いて半導体を製造する半導体製造方法。A semiconductor manufacturing method for manufacturing a semiconductor using the inspection apparatus according to claim 15. 試料の欠陥を検査する陥検査装置(3000)であって、試料上で部分的に重なり合いながら互いから変位された複数の被検査領域の画像を各々取得する画像取得手段と、基準画像を記憶する記憶手段と、前記画像取得手段により取得された複数の被検査領域の画像と前記記憶手段に記憶された前記基準画像とを比較することによって前記試料の欠陥を判断する欠陥判断手段と、を含む検査装置。A defect inspection apparatus (3000) for inspecting a defect of a sample, the image acquisition means for acquiring images of a plurality of inspection regions displaced from each other while partially overlapping on the sample, and storing a reference image. A storage unit; and a defect determination unit configured to determine a defect of the sample by comparing images of the plurality of inspection areas acquired by the image acquisition unit with the reference image stored in the storage unit. Inspection equipment. 一次荷電粒子線を前記複数の被検査領域に各々照射し、前記試料から二次荷電粒子線を放出させる電子光学系(3100)を更に含み、前記画像取得手段は、前記複数の被検査領域から放出された二次荷電粒子線を検出することによって複数の被検査領域の画像を順次取得する請求項24の検査装置。An electron optical system (3100) for irradiating a primary charged particle beam to each of the plurality of inspected regions and emitting a secondary charged particle beam from the sample; 25. The inspection apparatus according to claim 24, wherein images of a plurality of inspection areas are sequentially acquired by detecting the emitted secondary charged particle beams. 前記電子光学系(3100)は、一次荷電粒子を放出する粒子源と、一次荷電粒子を偏向させる偏向手段とを備え、前記粒子源から放出された一次荷電粒子を前記偏向手段で偏向させることによって、該一次荷電粒子を前記複数の被検査領域に順次照射する請求項25の検査装置。The electron optical system (3100) includes a particle source that emits primary charged particles, and a deflecting unit that deflects the primary charged particles, and deflects the primary charged particles emitted from the particle source by the deflecting unit. 26. The inspection apparatus according to claim 25, wherein the primary charged particles are sequentially irradiated to the plurality of inspection areas. 一次荷電粒子線を試料に照射する1次光学系と、二次荷電粒子を検出器に導く2次光学系とを有することを特徴とする請求項24乃至26のいずれか1項の検査装置。The inspection apparatus according to any one of claims 24 to 26, further comprising a primary optical system that irradiates the sample with a primary charged particle beam and a secondary optical system that guides secondary charged particles to a detector. 請求項24乃至26のいずれか1項の検査装置を用いて、加工中又は完成品のウェーハの欠陥を検査する方法。A method for inspecting a wafer for defects during processing or for a finished product using the inspection apparatus according to any one of claims 24 to 26. 試料面上に複数の1次荷電粒子を照射する1次電子光学系と、試料面上に形成される複数の1次荷電粒子の照射点それぞれから放出される2次荷電粒子を対物レンズと試料面との間に印加された電界で加速、集束し、対物レンズと該対物レンズのビーム発生手段側のレンズとの間に配置したE×B分離器で1次電子光学系から分離し、2次電子検出器に導く2次電子光学系とからなる検査装置(4000)において、
1次電子光学系は、複数の1次荷電粒子の照射点が、試料面上に2次元的に形成され、且つ照射点の一軸方向への投影した点が等間隔となることを特徴とする検査装置。
A primary electron optical system for irradiating a plurality of primary charged particles on a sample surface, and an objective lens and a sample for emitting secondary charged particles emitted from each of the irradiation points of the plurality of primary charged particles formed on the sample surface The electron beam is accelerated and focused by an electric field applied to the surface and separated from the primary electron optical system by an E × B separator disposed between the objective lens and a lens of the objective lens on the beam generating means side. In an inspection device (4000) comprising a secondary electron optical system leading to a secondary electron detector,
The primary electron optical system is characterized in that the irradiation points of a plurality of primary charged particles are formed two-dimensionally on the sample surface, and the points projected in one axis direction of the irradiation points are equally spaced. Inspection equipment.
請求項29の検査装置において、複数の1次荷電粒子線は、試料面上に2次元的に形成される複数の照射点の任意2つの照射点間の距離の最大値が最小となるように配置されることを特徴とする検査装置。29. The inspection apparatus according to claim 29, wherein the plurality of primary charged particle beams have a minimum distance between any two of the plurality of irradiation points formed two-dimensionally on the sample surface. An inspection device characterized by being arranged. 試料面上に複数の1次荷電粒子線を照射する1次荷電粒子線照射装置と、試料面上に形成される複数の1次荷電粒子線照射点のそれぞれからの2次荷電粒子を検出する2次荷電粒子検出器とを有し、試料を移動しながら試料面の所定領域からの2次荷電粒子の検出を行う検査装置(4000)において、
1次荷電粒子線照射装置は、1次荷電粒子線照射点が試料の移動方向にN行、これと直角方向にM列をなすように配置される検査装置。
A primary charged particle beam irradiation device for irradiating a plurality of primary charged particle beams on a sample surface, and detecting secondary charged particles from each of a plurality of primary charged particle beam irradiation points formed on the sample surface An inspection apparatus (4000) having a secondary charged particle detector and detecting secondary charged particles from a predetermined region of the sample surface while moving the sample;
The primary charged particle beam irradiation device is an inspection device in which the primary charged particle beam irradiation points are arranged in N rows in the moving direction of the sample and in M columns in a direction perpendicular thereto.
請求項31の検査装置において、1次荷電粒子線照射装置が、ビーム発生手段と、ビーム発生手段から放出される粒子を受けてN行M列の1次荷電粒子線照射点を形成する複数の荷電粒子線を形成する複数の開口を有する開口板とを有し、上記開口は、ビーム発生手段から放出される荷電粒子の所定電子密度の範囲内に位置することを特徴とする検査装置32. The inspection apparatus according to claim 31, wherein the primary charged particle beam irradiation device forms a primary charged particle beam irradiation point of N rows and M columns by receiving particles emitted from the beam generation means and the particles. An opening plate having a plurality of openings for forming charged particle beams, wherein the openings are located within a predetermined electron density range of charged particles emitted from the beam generating means. 請求項32の検査装置において、各1次荷電粒子線照射点は、試料の移動方向に対して直角方向に、(列M間の間隔)/(行の数N)+αの距離だけ走査し、ここでαは微小距離である検査装置。33. The inspection apparatus according to claim 32, wherein each primary charged particle beam irradiation point scans in a direction perpendicular to the moving direction of the sample by a distance of (interval between columns M) / (number of rows N) + α, Here, α is an inspection device that is a minute distance. 請求項29乃至33のいずれかの検査装置において、2次電子検出器で検出される2次電子線を試料面の欠陥測定、試料面上に形成される集積回路の配線幅測定、電位コントラスト測定、合せ精度測定等の測定に用いるようにしたことを特徴とする検査装置。34. The inspection apparatus according to claim 29, wherein the secondary electron beam detected by the secondary electron detector is measured for a defect on a sample surface, a wiring width of an integrated circuit formed on the sample surface, and a potential contrast measurement. An inspection apparatus characterized in that it is used for measurement such as alignment accuracy measurement. 請求項32又は33の検査装置において、1次荷電粒子線照射装置が、ビーム発生手段、及び開口板により試料面に複数の1次荷電粒子線照射点を形成する1次荷電粒子照射系を、複数備え、各1次荷電粒子照射系の1次荷電粒子が、他の1次荷電粒子照射系の1次荷電粒子と干渉しないようにしてあり、また、2次荷電粒子検出器を、1次荷電粒子照射系のそれぞれに対応して複数設けたことを特徴とする検査装置。34. The inspection apparatus according to claim 32, wherein the primary charged particle beam irradiation device includes a beam generating means, and a primary charged particle beam irradiation system that forms a plurality of primary charged particle beam irradiation points on a sample surface by an aperture plate. A plurality of primary charged particle irradiation systems are arranged so that the primary charged particles of each primary charged particle irradiation system do not interfere with the primary charged particles of the other primary charged particle irradiation systems. An inspection apparatus comprising: a plurality of charged particle irradiation systems provided for each of the charged particle irradiation systems. 単一のビーム発生手段から放出されたビームを複数の開口を有する開口板に照射し、前記複数の開口を通過した荷電粒子を試料に照射する一次光学系と、試料から発生した2次荷電粒子をE×B分離器で一次光学系から分離し、分離された2次荷電粒子を、少なくとも1段のレンズを有する二次光学系を介して複数の検出器へ入射させ検出することを特徴とする検査装置(4100)。A primary optical system for irradiating a beam emitted from a single beam generating means to an aperture plate having a plurality of apertures and irradiating a charged particle passing through the plurality of apertures to a sample, and a secondary charged particle generated from the sample Is separated from the primary optical system by an E × B separator, and the separated secondary charged particles are incident on a plurality of detectors via a secondary optical system having at least one stage of lens to be detected. Inspection device (4100) to perform. 一体のカソードを有するビーム発生手段から放出されたビームを複数の開口を有する開口板に照射し、前記複数の開口を通過したビームをそれぞれ試料表面に合焦させ照射する一次光学系と、試料から発生した2次荷電粒子をE×B分離器で一次光学系から分離し、分離された2次荷電粒子を、少なくとも1段のレンズを有する二次光学系を介して複数の検出器へ入射させ検出することを特徴とする検査装置(4100)。A primary optical system that irradiates a beam emitted from a beam generating unit having an integral cathode onto an aperture plate having a plurality of openings, focuses and irradiates the beam passing through the plurality of openings onto a sample surface, and The generated secondary charged particles are separated from the primary optical system by an E × B separator, and the separated secondary charged particles are made incident on a plurality of detectors via a secondary optical system having at least one stage lens. An inspection device (4100) for detecting. ビーム発生手段から放出されたを複数の開口を有する開口板に照射して得られる複数の開口像を試料に入射させ、該試料から放出される二次荷電粒子を一次光学系から分離して二次光学系に入射させ、二次光学系で拡大して検出器面に投影する検査装置(4100)において、一次光学系のレンズが作るビーム発生手段の像の位置よりビーム発生手段側にずらした位置に単一の開口板を設け、この開口板を設ける光軸方向位置を、試料面に入射する各開口からのビーム強度の差が最小となるようにしたことを特徴とする検査装置。A plurality of aperture images obtained by irradiating the beam emitted from the beam generating means onto an aperture plate having a plurality of apertures are made incident on a sample, and secondary charged particles emitted from the sample are separated from a primary optical system to form a secondary image. In the inspection apparatus (4100) for making the light incident on the primary optical system, enlarging it by the secondary optical system, and projecting it on the detector surface, the position of the image of the beam generating means formed by the lens of the primary optical system is shifted to the beam generating means side. An inspection apparatus, wherein a single aperture plate is provided at a position, and a difference in beam intensity from each aperture incident on the sample surface is minimized at a position in the optical axis direction where the aperture plate is provided. ビーム発生手段から放出されたビームを複数の開口を有する開口板に照射して得られる複数の開口像を試料に入射させ、該試料から放出される二次荷電粒子を一次光学系から分離して二次光学系に入射させ、二次光学系で拡大して検出器面に投影する検査装置(4100)において、一次光学系のレンズが作るビーム発生手段の像の位置からビーム発生手段側へずらした位置に単一の開口板を設け、該ずらせる量はパターンの無い試料を試料面に置いたときに得られる二次荷電粒子の検出量が前記複数の開口間での差が最小となるようにしたことを特徴とする検査装置。A plurality of aperture images obtained by irradiating a beam emitted from the beam generating means onto an aperture plate having a plurality of apertures are made incident on a sample, and secondary charged particles emitted from the sample are separated from a primary optical system. In an inspection apparatus (4100) for making the light incident on the secondary optical system and enlarging it by the secondary optical system and projecting it on the detector surface, the position of the image of the beam generating means formed by the lens of the primary optical system is shifted to the beam generating means side. A single aperture plate is provided at a position where the amount of displacement is such that the difference between the plurality of apertures is minimized as the detection amount of secondary charged particles obtained when a sample without a pattern is placed on the sample surface. An inspection apparatus characterized in that: 請求項36乃至39のいずれかの検査装置を用いて、製造プロセス途中のウエハーの評価を行うことを特徴とするデバイス製造方法。A device manufacturing method, comprising: evaluating a wafer in the course of a manufacturing process using the inspection apparatus according to any one of claims 36 to 39. ビーム発生手段から放出されたビームで複数の開口を有する開口板を照射し、該複数の開口を通った一次荷電粒子線の縮小像を一次光学系を用いて試料上に投影して走査し、前記試料から放出された二次荷電粒子を二次光学系で拡大して検出器に投影する検査装置(4200)において、
前記一次光学系の歪みを補正するように前記複数の開口の位置を設定することを特徴とする検査装置。
By irradiating an aperture plate having a plurality of apertures with the beam emitted from the beam generating means, and projecting and scanning a reduced image of the primary charged particle beam passing through the plurality of apertures on the sample using a primary optical system, In an inspection apparatus (4200), the secondary charged particles emitted from the sample are enlarged by a secondary optical system and projected onto a detector.
An inspection apparatus, wherein positions of the plurality of openings are set so as to correct distortion of the primary optical system.
1以上のビーム発生手段から放出されたビームで複数の開口を有する第1のマルチ開口板を照射し、該複数の開口を通った一次荷電粒子線の縮小像を一次光学系を用いて試料上に投影して走査し、前記試料から放出された二次荷電粒子を二次光学系で拡大して複数の検出素子からなる検出器で検出し、複数の開口が形成された第2のマルチ開口板を前記検出器の前面に配置する検査装置(4200)であって、前記二次光学系の歪みを補正するように、前記第2のマルチ開口板の開口の位置を設定することを特徴とする検査装置。A first multi-aperture plate having a plurality of apertures is irradiated with a beam emitted from one or more beam generating means, and a reduced image of a primary charged particle beam passing through the plurality of apertures is formed on a sample using a primary optical system. A secondary charged particle emitted from the sample is enlarged by a secondary optical system and detected by a detector comprising a plurality of detection elements, and a second multi-aperture in which a plurality of apertures are formed An inspection apparatus (4200) for arranging a plate on a front surface of the detector, wherein an opening position of the second multi-aperture plate is set so as to correct distortion of the secondary optical system. Inspection equipment. ビーム発生手段から放出されたビームで複数の開口を有する開口板を照射し、該複数の開口を通った一次荷電粒子の縮小像を一次光学系を用いて試料上に投影して走査し、前記試料から放出された二次荷電粒子の像を二次光学系によって検出器に投影する検査装置(4200)において、
前記一次光学系の視野非点を補正するように前記複数の開口の形状を設定することを特徴とする検査装置。
The beam emitted from the beam generating means irradiates an aperture plate having a plurality of apertures, and a reduced image of the primary charged particles passing through the plurality of apertures is projected and scanned on a sample using a primary optical system, and the scanning is performed. In an inspection apparatus (4200) for projecting an image of secondary charged particles emitted from a sample to a detector by a secondary optical system,
An inspection apparatus, wherein a shape of the plurality of openings is set so as to correct a visual field astigmatism of the primary optical system.
ビーム発生手段から放出されたビームで複数の開口を有する開口板を照射し、該開口を通過した一次荷電粒子の縮小像を、E×B分離器を含む一次光学系を用いて試料上に投影して走査し、該試料から放出された二次荷電粒子の像を写像光学系で検出器に投影し、マルチチャンネルで画像データを取得する検査装置(4200)において、
前記二次荷電粒子の像を前記E×B分離器の偏向主面に関して試料側に結像させ、前記複数の開口からの一次荷電粒子の像を前記E×B分離器の偏向主面上に結像させることを特徴とする検査装置。
A beam emitted from the beam generating means irradiates an aperture plate having a plurality of apertures, and a reduced image of the primary charged particles passing through the apertures is projected onto a sample using a primary optical system including an E × B separator. In the inspection apparatus (4200) for scanning and projecting an image of secondary charged particles emitted from the sample to a detector by a mapping optical system and acquiring image data in multiple channels,
The image of the secondary charged particles is formed on the sample side with respect to the deflection main surface of the E × B separator, and the images of the primary charged particles from the plurality of apertures are formed on the deflection main surface of the E × B separator. An inspection apparatus characterized by forming an image.
請求項41乃至44のいずれか1項の検査装置を用いて製造プロセス途中のウエーハの評価を行うことを特徴とするデバイス製造方法。A device manufacturing method, comprising: evaluating a wafer in the course of a manufacturing process using the inspection apparatus according to any one of claims 41 to 44. 荷電粒子を放出する単一のビーム発生手段、複数の孔を設けた開口板、複数のレンズ及び相互に離隔して配置された少なくとも二つのE×B分離器を有し、前記ビーム発生手段からのビームを検査されるべき試料面上に照射する第一次光学系と、前記試料から放出された荷電粒子を、前記E×B分離器の内の一つで第一次光学系から分離し、二次電子検出装置に入射させて検出する第二次光学系とを備える検査装置(4300)であって、
前記ビーム発生手段からのビームを前記開口板に照射して複数の孔の像を形成し、前記複数の孔の像の位置を前記E×B分離器のそれぞれの位置に一致させ、かつ前記それぞれのE×B分離器の電界で偏向される荷電粒子の方向が試料面上で見て相互に逆方向となるようにしたことを特徴とする検査装置。
A single beam generating means for emitting charged particles, an aperture plate provided with a plurality of holes, a plurality of lenses, and at least two E × B separators spaced apart from each other; A primary optical system for irradiating a beam on the sample surface to be inspected, and a charged particle emitted from the sample, separated from the primary optical system by one of the E × B separators. , A secondary optical system for detecting the incident light by making it incident on a secondary electron detection device,
Irradiating the beam from the beam generating means onto the aperture plate to form images of a plurality of holes, aligning the positions of the images of the plurality of holes with the respective positions of the E × B separator, and An inspection apparatus wherein the directions of charged particles deflected by an electric field of the E × B separator are opposite to each other when viewed on a sample surface.
請求項46の検査装置において、前記第一次光学系及び第二次光学系は、前記E×B分離器の内の一つによって偏向された二次電子の経路が互いに干渉しないように、2行複数列の組に配置される検査装置。47. The inspection apparatus of claim 46, wherein the primary optics and the secondary optics are arranged such that the paths of secondary electrons deflected by one of the ExB separators do not interfere with each other. An inspection device arranged in a set of rows and columns. ビームを放出する単一のビーム発生手段、複数の孔を設けた開口板、複数のレンズ及びE×B分離器を有し、前記ビーム発生手段からのビームを検査されるべき試料面上に照射する第一次光学系と、前記試料から放出された二次荷電粒子を、前記E×B分離器で第一次光学系から分離し、二次荷電粒子検出装置へ入射させて検出する第二次光学系とを備える検査装置(4300)であって、
前記ビーム発生手段からのビームを前記開口板に照射して複数の孔の像を形成し、前記E×B分離器の電界に走査電圧を重畳させて、前記ビームの偏向動作をさせることを特徴とする検査装置。
It has a single beam generating means for emitting a beam, an aperture plate provided with a plurality of holes, a plurality of lenses and an EXB separator, and irradiates a beam from the beam generating means onto a sample surface to be inspected. The primary optical system, and the secondary charged particles released from the sample are separated from the primary optical system by the E × B separator, and the secondary charged particles are detected by being incident on a secondary charged particle detector. An inspection apparatus (4300) including a next optical system,
A beam from the beam generating unit is irradiated on the aperture plate to form images of a plurality of holes, and a scanning voltage is superimposed on an electric field of the E × B separator to perform a deflection operation of the beam. Inspection equipment.
請求項46又は48の検査装置において、前記第一次光学系及び第二次光学系は、前記E×B分離器によって偏向された二次荷電粒子の経路が互いに干渉しないように、2行複数列の組に配置される検査装置。49. The inspection apparatus according to claim 46, wherein the primary optical system and the secondary optical system have a plurality of two rows so that paths of secondary charged particles deflected by the E × B separator do not interfere with each other. Inspection devices placed in sets of rows. 請求項49の検査装置を用いて製造プロセス途中のウエハーの評価を行うことを特徴とするデバイスの製造方法。50. A device manufacturing method, comprising: evaluating a wafer during a manufacturing process using the inspection apparatus according to claim 49. 一次光学系により複数の一次荷電粒子線を試料に照射し、試料から放出される二次荷電粒子を、対物レンズを通過後にEXB分離器で二次光学系に投入し、投入後少なくとも一段のレンズで複数の荷電粒子線間の間隔を拡大し、複数の検出器で検出する検査装置(4400)であって、
対物レンズに少なくとも3つの異なる励起電圧を個別に供給して、第1の方向に平行なパターン・エッジを第2の方向に走査したときに得られる、二次荷電粒子の強度に対応する電気信号の立ち上がり幅を表す少なくとも3つのデータを測定することを特徴とする検査装置。
The primary optical system irradiates the sample with a plurality of primary charged particle beams, and the secondary charged particles emitted from the sample are injected into the secondary optical system by an EXB separator after passing through the objective lens, and at least one stage of the lens after the injection. An inspection device (4400) for expanding the interval between a plurality of charged particle beams and detecting with a plurality of detectors,
An electrical signal corresponding to the intensity of the secondary charged particles, obtained when the objective lens is individually supplied with at least three different excitation voltages and a pattern edge parallel to the first direction is scanned in the second direction. An inspection apparatus for measuring at least three pieces of data representing a rising width of the test piece.
試料に対向して複数の鏡筒が配置された検査装置(4400)であって、鏡筒は、請求項51の検査装置を含み、各鏡筒の一次光学系が試料上に複数の一次荷電粒子を他の鏡筒とは異なる位置に照射する検査装置。An inspection apparatus (4400) having a plurality of lens barrels arranged opposite to a sample, wherein the lens barrels include the inspection apparatus of claim 51, wherein a primary optical system of each lens barrel has a plurality of primary charges on the sample. An inspection device that irradiates particles to a position different from other lens barrels. 請求項51又は52の検査装置において、検査装置はウエハ上のパターンが帯電している状態で、対物レンズの励起条件を求めるよう構成される検査装置。53. The inspection apparatus according to claim 51, wherein the inspection apparatus is configured to determine an excitation condition of the objective lens in a state where the pattern on the wafer is charged. 一次光学系により複数の一次荷電粒子を試料に照射し、試料から放出される二次荷電粒子を、対物レンズを通過後にEXB分離器で二次光学系に投入し、投入後少なくとも一段のレンズで複数の二次荷電粒子線間の間隔を拡大し、複数の検出器で検出する検査装置(4400)であって、
対物レンズは、アースに近い第1の電圧が印加される第1の電極と、第1の電圧より大きい第2の電圧が印加される第2の電極とを備え、第1の電極に印加される第1の電圧を変化させることによって、対物レンズの焦点距離が変化されるよう構成され、
対物レンズを励起する励起手段は、対物レンズの焦点距離を大きく変化させるために第2の電極に印加する電圧を変更する手段と、焦点距離を短時間で変化させるために第1の電極に印加する電圧を変更する手段とを備える検査装置。
The primary optical system irradiates the sample with a plurality of primary charged particles, and the secondary charged particles emitted from the sample are injected into the secondary optical system with an EXB separator after passing through the objective lens, and after injection, the secondary charged particles are irradiated with at least one stage lens. An inspection device (4400) for expanding an interval between a plurality of secondary charged particle beams and detecting with a plurality of detectors,
The objective lens includes a first electrode to which a first voltage close to the ground is applied, and a second electrode to which a second voltage higher than the first voltage is applied, and is applied to the first electrode. Changing the first voltage to change the focal length of the objective lens;
Exciting means for exciting the objective lens includes means for changing the voltage applied to the second electrode in order to greatly change the focal length of the objective lens, and means for applying voltage to the first electrode in order to change the focal length in a short time. Means for changing the voltage to be applied.
半導体デバイスの製造方法において、請求項51−54のいずれかの検査装置を用いて、プロセス途中又は終了後のウエハの評価を行う方法。A method for evaluating a wafer during or after a process using the inspection apparatus according to any one of claims 51 to 54 in a method for manufacturing a semiconductor device. 単一のビーム発生手段から放出されたビームを複数の孔を設けた開口板でマルチビームにし、前記マルチビームを少なくとも2段の静電レンズで縮小して検査されるべき試料を走査する第一次光学系と、前記試料から放出された二次荷電粒子ビーム粒子を静電対物レンズ通過後E×B分離器で第一次光学系から分離し、その後少なくとも1段の静電レンズで拡大して複数の検出装置に入射させる第二次光学系とを備える検査装置(4500)であって、
スループットが大きいが解像度が比較的低いモード及びスループットが小さいが解像度が高いモードで試料を評価するように、少なくとも二種類の画素寸法で試料の評価を行うことを特徴とする検査装置。
A first beam for emitting a beam emitted from a single beam generating means into a multi-beam by an aperture plate provided with a plurality of holes, reducing the multi-beam by at least two stages of electrostatic lenses, and scanning a sample to be inspected; Secondary optical system and secondary charged particle beam particles emitted from the sample are separated from the primary optical system by an E × B separator after passing through an electrostatic objective lens, and then enlarged by at least one stage of electrostatic lens. An inspection system (4500) comprising:
An inspection apparatus characterized in that a sample is evaluated with at least two kinds of pixel dimensions so that the sample is evaluated in a mode in which the throughput is large but the resolution is relatively low and a mode in which the throughput is small and the resolution is high.
請求項56の検査装置において、前記第一次光学系でのマルチビームの縮小率と、前記第二次光学系の静電レンズでの拡大率とを関係付けるようにしたことを特徴とする検査装置。57. The inspection apparatus according to claim 56, wherein a reduction ratio of the multi-beam in the primary optical system is related to an enlargement ratio of the electrostatic lens in the secondary optical system. apparatus. 請求項56の検査装置において、前記第一次光学系でのクロスオーバ像を、前記スループットが大きいが解像度が比較的低いモードにおいて、前記対物レンズの主面に形成する検査装置。57. The inspection apparatus according to claim 56, wherein a crossover image in the primary optical system is formed on the main surface of the objective lens in a mode in which the throughput is large but the resolution is relatively low. 請求項56の検査装置において、前記第二次光学系の拡大率は、第二次光学系に配置された開口アパーチャよりも検出器側に設けられた静電レンズで調整されるようにしたことを特徴とする検査装置。57. The inspection apparatus according to claim 56, wherein a magnification of the secondary optical system is adjusted by an electrostatic lens provided on a detector side with respect to an aperture aperture provided in the secondary optical system. An inspection apparatus characterized by the above-mentioned. 請求項56乃至59のいずれかの検査装置を用いてプロセス途中のウェハーの評価を行なうことを特徴とするデバイス製造方法。A device manufacturing method, comprising: evaluating a wafer in the course of a process using the inspection apparatus according to any one of claims 56 to 59. 一次荷電粒子を発生し、集束して試料上に走査させて照射する一次光学系と、前記試料の荷電粒子照射部分から放出された二次荷電粒子が投入される、少なくとも1段のレンズを有する二次光学系と、前記二次荷電粒子を検出する検出器とを備え、前記荷電粒子照射部から放出された二次荷電粒子を加速し、E×B分離器で一次光学系から分離して前記二次光学系に投入し、前記二次荷電粒子の像を前記レンズで拡大して検出器で検出する検査装置(5000)において、
前記一次光学系が複数の一次荷電粒子を発生して試料に同時に照射し、前記検出器が前記一次荷電粒子の数に対応して複数設けられていることと、
前記試料にリターディング電圧を印加するためのリターディング電圧印加装置と、
前記試料のチャージアップ状態を調査するチャージアップ調査機能と、
を備えることを特徴とする検査装置。
It has a primary optical system for generating, converging, scanning and irradiating primary charged particles on a sample, and at least one stage into which secondary charged particles emitted from a charged particle irradiated portion of the sample are input. A secondary optical system and a detector for detecting the secondary charged particles are provided, and the secondary charged particles emitted from the charged particle irradiation unit are accelerated and separated from the primary optical system by an E × B separator. In an inspection device (5000), which is put into the secondary optical system, an image of the secondary charged particles is enlarged by the lens and detected by a detector,
The primary optical system generates a plurality of primary charged particles and simultaneously irradiates the sample, and a plurality of the detectors are provided corresponding to the number of the primary charged particles,
A retarding voltage application device for applying a retarding voltage to the sample,
A charge-up investigation function for investigating a charge-up state of the sample,
An inspection apparatus comprising:
請求項61の検査装置において、前記チャージアップ調査機能からのチャージアップ状態に関する情報に基づいて最適なリターディング電圧を決定し、それを前記試料に印加する機能、或いは一次荷電粒子の照射量を変化させる機能を更に備える検査装置。62. The inspection apparatus according to claim 61, wherein an optimal retarding voltage is determined based on information on a charge-up state from the charge-up investigation function, and the optimal retarding voltage is applied to the sample, or the irradiation amount of primary charged particles is changed. An inspection device further having a function of causing the inspection. 複数の荷電粒子を試料に照射する光学系と、チャージアップ調査機能とを有する検査装置(5000)において、前記チャージアップ調査機能は、前記試料に一次荷電粒子が照射されて発生した二次荷電粒子を複数の検出器で検出して画像を形成したとき、前記試料の特定部分のパターン歪み或いはパターンボケを評価し、その結果パターン歪み或いはパターンボケが大きい場合をチャージアップが大きいと評価する事を特徴とする検査装置。In an inspection apparatus (5000) having an optical system for irradiating a sample with a plurality of charged particles and a charge-up investigation function, the charge-up investigation function includes a secondary charged particle generated by irradiating the sample with a primary charged particle. Is detected by a plurality of detectors to form an image, the pattern distortion or pattern blur of a specific portion of the sample is evaluated. As a result, when the pattern distortion or pattern blur is large, the charge-up is evaluated to be large. Inspection equipment characterized. 請求項61、62又は63の検査装置において、前記チャージアップ調査機能は、試料に値が可変のリターディング電圧を印加可能であり、少なくとも二つのリターディング電圧を印加した状態で、試料のパターン密度が大きく変化している境界付近の画像形成を行い、上記画像をオペレータがパターン歪み或いはパターンボケを評価可能なように表示する装置を有することを特徴とする検査装置。64. The inspection apparatus according to claim 61, 62 or 63, wherein the charge-up inspection function is capable of applying a variable retarding voltage to the sample, and applying a pattern density of the sample in a state where at least two retarding voltages are applied. An image forming apparatus that forms an image near a boundary where the image greatly changes, and displays the image so that an operator can evaluate pattern distortion or pattern blur. 請求項64の検査装置を用いてプロセス途中或いは終了後のウエハの評価を行うことを特徴とするデバイスの製造方法。A method for manufacturing a device, comprising: evaluating a wafer during or after a process using the inspection apparatus according to claim 64. 光軸に直交する電界及び磁界を生成し、進行方向の異なる少なくとも2つの荷電粒子を分離するためのE×B分離器(6020)において、
電界を生成するための一対の平行な平板状の電極であって、電極相互の間隔が電界と直交する電極の長さよりも短く設定されている電極を備えた静電偏向器と、該静電偏向器と反対方向に荷電粒子を偏向させるトロイダル型又はサドル型の電磁偏向器とを備えるるE×B分離器。
An ExB separator (6020) for generating an electric field and a magnetic field orthogonal to the optical axis and separating at least two charged particles having different traveling directions,
An electrostatic deflector provided with a pair of parallel plate-shaped electrodes for generating an electric field, wherein an interval between the electrodes is set shorter than a length of an electrode orthogonal to the electric field; An E × B separator comprising a toroidal or saddle type electromagnetic deflector for deflecting charged particles in a direction opposite to the deflector.
光軸に直交する電界及び磁界を生成し、進行方向の異なる少なくとも2つの荷電粒子を分離するためのE×B分離器(6040)において、電界を生成するための少なくとも6極の電極を有し、回転可能な電界を生成する静電偏向器と、該静電偏向器と反対方向に荷電粒子を偏向させるトロイダル型又はサドル型の電磁偏向器とを備えるるE×B分離器。An E × B separator (6040) for generating an electric field and a magnetic field orthogonal to the optical axis and separating at least two charged particles having different traveling directions has at least six-pole electrodes for generating an electric field. An E × B separator comprising: an electrostatic deflector for generating a rotatable electric field; and a toroidal or saddle type electromagnetic deflector for deflecting charged particles in a direction opposite to the electrostatic deflector. 請求項66又は67のE×B分離器において、トロイダル型又はサドル型の電磁偏向器は、電界及び磁界の両方向の磁界を発生させる2組の電磁コイルを有し、これら2組のコイルに流す電流比を調整することにより、電磁偏向器による偏向方向が、静電偏向器による偏向方向と反対となるように調整可能に構成されるE×B分離器。67. The E × B separator according to claim 66 or 67, wherein the toroidal or saddle type electromagnetic deflector has two sets of electromagnetic coils for generating magnetic fields in both directions of an electric field and a magnetic field, and flows through these two sets of coils. An E × B separator configured to adjust the current ratio so that the direction of deflection by the electromagnetic deflector is opposite to the direction of deflection by the electrostatic deflector. 請求項68のE×B分離器において、サドル型又はトロイダル型の電磁偏向器の内部に、静電偏向器が配置されるE×B分離器。69. The E * B separator according to claim 68, wherein an electrostatic deflector is disposed inside a saddle type or toroidal type electromagnetic deflector. 複数の1次荷電粒子を半導体ウエハに照射して該ウエハからの2次荷電粒子を複数の検出器で検出して画像データを得ることにより、半導体ウエハの加工状態を評価する検査装置(6000)において、1次荷電粒子と2次荷電粒子との分離用に、請求項68のE×B分離器を用いた検査装置。Inspection apparatus (6000) for evaluating a processing state of a semiconductor wafer by irradiating a semiconductor wafer with a plurality of primary charged particles and detecting secondary charged particles from the wafer with a plurality of detectors to obtain image data. 70. An inspection apparatus using the EXB separator according to claim 68 for separating primary charged particles and secondary charged particles. XYステージ上に載置された試料に荷電粒子を照射する検査装置(7000)において、
XYステージはハウジング内に収容されかつ静圧軸受けによりハウジングに対して非接触で支持されており、該ステージが収容されたハウジングは真空排気され、該検査装置の試料面上に荷電粒子を照射する部分の周囲には、試料面上の荷電粒子が照射される領域を排気する差動排気機構が設けられた検査装置。
In an inspection device (7000) for irradiating a sample placed on an XY stage with charged particles,
The XY stage is housed in the housing and is supported by the static pressure bearing in a non-contact manner with respect to the housing. The housing in which the stage is housed is evacuated to irradiate charged particles on the sample surface of the inspection apparatus. An inspection apparatus provided with a differential pumping mechanism that evacuates an area of the sample surface to be irradiated with charged particles around the portion.
請求項71の検査装置において、前記XYステージの静圧軸受けに供給されるガスは窒素又は不活性ガスであり、該窒素又は不活性ガスは、該ステージを収納するハウジングから排気された後加圧され、再び前記静圧軸受けに供給される検査装置。72. The inspection apparatus according to claim 71, wherein a gas supplied to the static pressure bearing of the XY stage is nitrogen or an inert gas, and the nitrogen or the inert gas is pressurized after being exhausted from a housing accommodating the stage. An inspection device which is supplied to the hydrostatic bearing again. 請求項71又は72の検査装置を用いて、半導体ウエハ表面の欠陥を検査する検査装置。An inspection apparatus for inspecting a defect on a surface of a semiconductor wafer using the inspection apparatus according to claim 71 or 72. 請求項71又は72の検査装置を用いて、半導体ウエハ表面又はレチクルに半導体デバイスの回路パターンを描画する露光装置。An exposure apparatus for drawing a circuit pattern of a semiconductor device on a semiconductor wafer surface or a reticle using the inspection apparatus according to claim 71 or 72. 請求項71乃至74のいずれかの装置を用いて半導体を製造する半導体製造方法。A semiconductor manufacturing method for manufacturing a semiconductor using the apparatus according to any one of claims 71 to 74. 複数の荷電粒子を、コンデンサレンズを含むレンズ系で集束し、対物レンズで試料上に結像する検査装置における前記結像の収差を低減する方法において、
前記レンズ系が対物レンズ近傍に作る前記荷電粒子のクロスオーバ位置を、該レンズ系を調節することにより変化させ、
該クロスオーバの位置の変化に伴って変化する前記結像における収差の値を測定し、
該測定から収差の値が所定値以下になる範囲に対応する前記クロスオーバの位置を特定し、
前記レンズ系を調節することにより前記クロスオーバの位置を前記特定した位置に設定するようにした検査装置(8000)の設定方法。
A plurality of charged particles are focused by a lens system including a condenser lens, and a method of reducing the aberration of the imaging in an inspection apparatus that forms an image on a sample with an objective lens,
The lens system changes the crossover position of the charged particles created near the objective lens by adjusting the lens system,
Measure the value of aberration in the image that changes with the change of the position of the crossover,
Identify the position of the crossover corresponding to the range where the value of the aberration is equal to or less than a predetermined value from the measurement,
A setting method of the inspection device (8000), wherein the position of the crossover is set to the specified position by adjusting the lens system.
複数の荷電粒子を、コンデンサレンズを含むレンズ系で集束し、対物レンズで試料上に結像する検査装置(8000)において、
前記レンズ系を調節することによりクロスオーバの位置を変化させ、それに伴って変化する前記結像における収差の値を測定することにより決定される、前記収差の値を所定値以下にするためのクロスオーバの位置に、当該クロスオーバの位置を設定したことを特徴とする検査装置。
In an inspection apparatus (8000) in which a plurality of charged particles are focused by a lens system including a condenser lens and imaged on a sample by an objective lens,
The position of the crossover is changed by adjusting the lens system, and the crossover for reducing the aberration value to a predetermined value or less, which is determined by measuring the aberration value in the imaging that changes accordingly. An inspection apparatus, wherein the crossover position is set at the over position.
前記収差を倍率の色収差として前記クロスオーバの位置を設定した請求項77の検査装置。78. The inspection apparatus according to claim 77, wherein the position of the crossover is set as the chromatic aberration of magnification. 請求項77の検査装置おいて、複数の荷電粒子は、単一のビーム発生手段から放射されて複数の開口を通過して形成された複数の荷電粒子、又は複数のビーム発生手段から放出された複数の荷電粒子若しくは単一のビーム発生手段に形成された複数のエミッターから放出された複数の荷電粒子である検査装置。78. The inspection apparatus according to claim 77, wherein the plurality of charged particles are emitted from a single beam generation means and are emitted through a plurality of openings or a plurality of charged particles formed through a plurality of openings. An inspection apparatus which is a plurality of charged particles or a plurality of charged particles emitted from a plurality of emitters formed in a single beam generating means. 請求項77乃至79のいずれかの検査装置おいて、前記クロスオーバ位置を、対物レンズの主面より前記レンズ系側に設定した検査装置。80. The inspection apparatus according to claim 77, wherein the crossover position is set closer to the lens system than the main surface of the objective lens. 請求項77乃至80のいずれかの検査装置を用いて、製造プロセス途中のウェーハの評価を行うことを特徴とするデバイス製造方法。A device manufacturing method, comprising: evaluating a wafer in the middle of a manufacturing process using the inspection apparatus according to any one of claims 77 to 80. 一次電子線を発生し、集束して試料上に走査させて照射する一次光学系と、前記試料の電子線照射部分から放出された二次電子が投入される、少なくとも1段のレンズを有する二次光学系と、前記二次電子を検出する検出器とを備え、前記電子線照射部から放出された二次電子を加速し、E×B分離器で一次光学系から分離して前記二次光学系に投入し、前記二次電子の像を前記レンズで拡大して検出器で検出する電子線装置(5000)において、
前記一次光学系が複数の一次電子線を発生して試料に同時に照射し、前記検出器が前記一次電子線の数に対応して複数設けられ、
電子線装置は、前記試料にリターディング電圧を印加するためのリターディング電圧印加装置と、前記試料のチャージアップ状態を調査するチャージアップ調査機能と、を備え、前記チャージアップ調査機能からのチャージアップ状態に関する情報に基づいて最適なリターディング電圧を決定し、それを前記試料に印加するか又は一次電子線の照射量を変化させることを特徴とする検査装置。
A primary optical system that generates a primary electron beam, focuses the beam, scans the sample, and irradiates the sample with the primary electron beam; A secondary optical system, and a detector for detecting the secondary electrons. The secondary electrons emitted from the electron beam irradiation unit are accelerated, separated from the primary optical system by an E × B separator, and In an electron beam device (5000), which is put into an optical system, the secondary electron image is enlarged by the lens and detected by a detector,
The primary optical system generates a plurality of primary electron beams and simultaneously irradiates the sample, and a plurality of detectors are provided corresponding to the number of the primary electron beams,
The electron beam device includes a retarding voltage application device for applying a retarding voltage to the sample, and a charge-up investigation function for investigating a charge-up state of the sample. An inspection apparatus characterized in that an optimum retarding voltage is determined based on state information and applied to the sample or the irradiation amount of a primary electron beam is changed.
ビームを放出する単一のビーム発生手段、複数の孔を設けた開口板、複数のレンズ及びE×B分離器を有し、前記ビーム発生手段からのビームを検査されるべき試料面上に照射する第一次光学系と、前記試料から放出された二次荷電粒子を、前記E×B分離器で第一次光学系から分離し、二次荷電粒子検出装置へ入射させて検出する第二次光学系とを備える検査装置(4300)であって、
前記ビーム発生手段からのビームを前記開口板に照射して複数の孔の像を形成し、前記複数の孔の像の位置を前記E×B分離器の位置に一致させ、かつ前記E×B分離器の電界に走査電圧を重畳させて、前記ビームの偏向動作をさせる検査装置。
It has a single beam generating means for emitting a beam, an aperture plate provided with a plurality of holes, a plurality of lenses and an EXB separator, and irradiates a beam from the beam generating means onto a sample surface to be inspected. The primary optical system, and the secondary charged particles released from the sample are separated from the primary optical system by the E × B separator, and the secondary charged particles are detected by being incident on a secondary charged particle detector. An inspection apparatus (4300) including a next optical system,
Irradiating the aperture plate with a beam from the beam generating means to form images of a plurality of holes; aligning the positions of the images of the plurality of holes with the positions of the E × B separator; An inspection apparatus that superimposes a scanning voltage on an electric field of a separator to perform a deflection operation of the beam.
荷電粒子又は電磁波のいずれかを検査対象に照射して検査対象を検査する検査方法において、
真空雰囲気に制御可能な、検査対象を検査するワーキングチャンバと、荷電粒子又は電磁波のいずれかをビームとして発生させるビーム発生手段と、複数の前記ビームを前記ワーキングチャンバ内に保持した検査対象へ照射し、検査対象から発生する2次荷電粒子を検出し、画像処理系へ導く電子光学系と、該2次荷電粒子により画像を形成する画像処理系と、該画像処理系の出力に基き、検査対象の状態情報を表示又は記憶する情報処理系と、前記ビームに対し相対移動可能に検査対象を保持するステージ装置と、を設け、
前記検査方法は、検査対象の位置を測定することにより前記ビームを検査対象上へ正確に位置付け、測定された荷電粒子又は電磁波のいずれかを検査対象表面の所望の位置に前記ビームを偏向させ、前記検査対象表面の所望の位置を前記ビームで照射し、前記検査対象から生じる2次荷電粒子を検出し、前記2次荷電粒子により画像を形成し、前記画像処理系の出力に基き、検査対象の状態情報を表示又は記憶する検査方法。
In an inspection method for inspecting the inspection target by irradiating the inspection target with any of charged particles or electromagnetic waves,
A working chamber that can be controlled in a vacuum atmosphere and that inspects the inspection object, a beam generating unit that generates either a charged particle or an electromagnetic wave as a beam, and irradiates the inspection object held in the working chamber with a plurality of the beams. An electron optical system that detects secondary charged particles generated from an inspection object and guides the image to an image processing system, an image processing system that forms an image with the secondary charged particles, and an inspection target based on an output of the image processing system. An information processing system that displays or stores the state information of, and a stage device that holds the inspection target so as to be relatively movable with respect to the beam,
The inspection method accurately positions the beam on the inspection target by measuring a position of the inspection target, and deflects the beam to a desired position on the inspection target surface, either of the measured charged particles or electromagnetic waves, A desired position on the inspection target surface is irradiated with the beam, secondary charged particles generated from the inspection target are detected, an image is formed by the secondary charged particles, and an inspection target is formed based on an output of the image processing system. An inspection method for displaying or storing state information of a subject.
荷電粒子線を試料に照射し、試料から放出される2次荷電粒子を検出する検査方法(1000)であって、
複数の荷電粒子線を試料に照射する少なくとも1つの1次光学系及び前記2次荷電粒子を少なくとも1つの検出器に導く少なくとも1つの2次光学系を用意し、前記複数の荷電粒子線を互いに前記2次光学系の距離分解能より離れた位置に照射する工程を含む検査方法。
An inspection method (1000) for irradiating a sample with a charged particle beam and detecting secondary charged particles emitted from the sample,
At least one primary optical system that irradiates a sample with a plurality of charged particle beams and at least one secondary optical system that guides the secondary charged particles to at least one detector are provided, and the plurality of charged particle beams are connected to each other. An inspection method including a step of irradiating a position separated from a distance resolution of the secondary optical system.
試料の欠陥を検査する検査方法(3000)であって、試料上で部分的に重なり合いながら互いから変位された複数の被検査領域の画像を各々取得する画像取得工程と、基準画像を記憶する記憶工程と、前記画像取得工程により取得された複数の被検査領域の画像と前記記憶工程で記憶された前記基準画像とを比較することによって前記試料の欠陥を判断する欠陥判断工程とを含む検査方法。An inspection method (3000) for inspecting a defect of a sample, an image acquiring step of acquiring images of a plurality of inspected regions displaced from each other while partially overlapping on the sample, and storing a reference image. A defect determination step of determining a defect of the sample by comparing the images of the plurality of inspection areas acquired in the image acquisition step with the reference image stored in the storage step. . 一次荷電粒子を放出する粒子源及び一次荷電粒子を偏向させる偏向手段を備える電子光学系(3100)を用意し、一次荷電粒子を偏向手段で偏向させることによって、一次荷電粒子を前記複数の被検査領域に順次照射する請求項86の検査方法。An electron optical system (3100) including a particle source that emits primary charged particles and a deflecting unit that deflects the primary charged particles is prepared, and the primary charged particles are deflected by the deflecting unit, so that the primary charged particles are subjected to the plurality of inspections. 87. The inspection method according to claim 86, wherein the region is sequentially irradiated. 単一のビーム発生手段から放出されたビームを複数の開口を有する開口板に照射する工程、一次光学系により前記複数の開口を通過した荷電粒子を試料に照射する工程、試料から発生した2次荷電粒子をE×B分離器で一次光学系から分離する工程、及び分離された2次荷電粒子を、少なくとも1段のレンズを有する二次光学系を介して複数の検出器へ入射させ検出する工程を含む検査方法(4100)。Irradiating a beam emitted from a single beam generating means to an aperture plate having a plurality of openings; irradiating a sample with charged particles having passed through the plurality of openings by a primary optical system; A step of separating the charged particles from the primary optical system by an E × B separator, and detecting the separated secondary charged particles by making them incident on a plurality of detectors via a secondary optical system having at least one-stage lens An inspection method including a step (4100). 一体のカソードを有するビーム発生手段から放出されたビームを複数の開口を有する開口板に照射する工程、一次光学系により前記複数の開口を通過したビームをそれぞれ試料表面に合焦させ照射する工程、試料から発生した2次荷電粒子をE×B分離器で一次光学系から分離する工程、及び分離された2次荷電粒子を少なくとも1段のレンズを有する二次光学系を介して複数の検出器へ入射させ検出する工程を含む検査方法(4100)。Irradiating the beam emitted from the beam generating means having an integral cathode to an aperture plate having a plurality of apertures, focusing and irradiating the beam passing through the plurality of apertures to the sample surface by a primary optical system, A step of separating secondary charged particles generated from the sample from the primary optical system by an E × B separator, and a plurality of detectors via the secondary optical system having at least one stage of the separated secondary charged particles An inspection method (4100) including a step of making the light incident on the surface. ビーム発生手段から放出されたビームを複数の開口を有する開口板に照射して得られる複数の開口像を試料に入射させる工程、及び試料から放出される二次荷電粒子を一次光学系から分離して二次光学系に入射させ二次光学系で拡大して検出器面に投影する工程を含む検査方法(4100)において、一次光学系のレンズが作るビーム発生手段の像の位置よりビーム発生手段側にずらした位置に単一の開口板を設ける工程、及びこの開口板を設ける光軸方向位置を、試料面に入射する各開口からのビーム強度の差が最小となるようにする工程を含む検査方法。Irradiating a beam emitted from the beam generating means onto an aperture plate having a plurality of apertures to cause a plurality of aperture images obtained to be incident on a sample, and separating secondary charged particles emitted from the sample from a primary optical system. (4100), which includes a step of causing the beam to enter the secondary optical system and projecting it on the detector surface after being enlarged by the secondary optical system, based on the position of the image of the beam generating unit formed by the lens of the primary optical system. A step of providing a single aperture plate at a position shifted to the side, and a step of setting the position of the aperture plate in the optical axis direction such that a difference in beam intensity from each aperture incident on the sample surface is minimized. Inspection methods. ビーム発生手段から放出されたビームを複数の開口を有する開口板に照射して得られる複数の開口像を試料に入射させる工程、及び試料から放出される二次荷電粒子を一次光学系から分離して二次光学系に入射させ、二次光学系で拡大して検出器面に投影する工程を含む検査方法(4100)において、一次光学系のレンズが作るビーム発生手段の像の位置からビーム発生手段側へずらした位置に単一の開口板を設け、該ずらせる量はパターンの無い試料を試料面に置いたときに得られる二次荷電粒子の検出量が前記複数の開口間での差が最小となるようにする検査方法。Irradiating a beam emitted from the beam generating means onto an aperture plate having a plurality of apertures to cause a plurality of aperture images obtained to be incident on a sample, and separating secondary charged particles emitted from the sample from a primary optical system. In the inspection method (4100), which includes a step of causing the light to enter the secondary optical system, and enlarging the image by the secondary optical system and projecting it on the detector surface, the beam is generated from the position of the image of the beam generating means formed by the lens of the primary optical system. A single aperture plate is provided at a position shifted to the means side, and the amount of the displacement is different from the detection amount of the secondary charged particles obtained when the sample having no pattern is placed on the sample surface between the plurality of apertures. Inspection method that minimizes 1以上のビーム発生手段から放出されたビームで複数の開口を有する第1のマルチ開口板を照射する工程、複数の開口を通った一次荷電粒子の縮小像を一次光学系を用いて試料上に投影して走査する工程、試料から放出された二次荷電粒子を二次光学系で拡大して複数の検出素子からなる検出器で検出する工程、及び複数の開口が形成された第2のマルチ開口板を前記検出器の前面に配置する工程を含む検査方法(4200)であって、前記二次光学系の歪みを補正するように、前記第2のマルチ開口板に形成された開口の位置を設定する検査方法。Irradiating a first multi-aperture plate having a plurality of apertures with a beam emitted from one or more beam generating means, a reduced image of primary charged particles passing through the plurality of apertures is formed on a sample using a primary optical system. A step of projecting and scanning, a step of enlarging secondary charged particles emitted from the sample by a secondary optical system, and detecting the same with a detector comprising a plurality of detection elements, and a second multi-layer having a plurality of apertures formed therein An inspection method (4200) including a step of arranging an aperture plate on a front surface of the detector, wherein a position of an aperture formed in the second multi-aperture plate is corrected so as to correct distortion of the secondary optical system. Set the inspection method. ビームを放出する単一のビーム発生手段、複数の孔を設けた開口板、複数のレンズ及びE×B分離器を有し、前記ビーム発生手段からのビームを検査されるべき試料面上に照射する第一次光学系を用意する工程、及び前記試料から放出された二次荷電粒子を、前記E×B分離器で第一次光学系から分離し、二次荷電粒子検出装置へ入射させて検出する工程を含む検査方法(4300)であって、前記ビーム発生手段からのビームを前記開口板に照射して複数の孔の像を形成し、前記E×B分離器の電界に走査電圧を重畳させて、前記ビームの偏向動作をさせる検査方法。It has a single beam generating means for emitting a beam, an aperture plate provided with a plurality of holes, a plurality of lenses and an EXB separator, and irradiates a beam from the beam generating means onto a sample surface to be inspected. Step of preparing a primary optical system to be, and the secondary charged particles released from the sample, separated from the primary optical system by the E × B separator, and incident on the secondary charged particle detection device An inspection method (4300) including a step of detecting, wherein a beam from the beam generating means is applied to the aperture plate to form images of a plurality of holes, and a scanning voltage is applied to an electric field of the E × B separator. An inspection method in which the beam deflection operation is performed by overlapping. 一次光学系により複数の一次荷電粒子線を試料に照射する工程、及び試料から放出される二次荷電粒子を、対物レンズを通過後にEXB分離器で二次光学系に投入し、投入後少なくとも一段のレンズで複数の荷電粒子線間の間隔を拡大し、複数の検出器で検出する工程を含む検査方法(4400)であって、
対物レンズに少なくとも3つの異なる励起電圧を個別に供給して、第1の方向に平行なパターン・エッジを第2の方向に走査したときに得られる、二次荷電粒子の強度に対応する電気信号の立ち上がり幅を表す少なくとも3つのデータを測定する検査方法。
Irradiating the sample with a plurality of primary charged particle beams by the primary optical system, and charging the secondary charged particles emitted from the sample into the secondary optical system by an EXB separator after passing through the objective lens, and at least one stage after the input An inspection method (4400) including a step of enlarging an interval between a plurality of charged particle beams with a lens and detecting with a plurality of detectors,
An electrical signal corresponding to the intensity of the secondary charged particles, obtained when the objective lens is individually supplied with at least three different excitation voltages and a pattern edge parallel to the first direction is scanned in the second direction. An inspection method for measuring at least three pieces of data representing the width of rise of an object.
一次光学系により複数の一次荷電粒子を試料に照射する工程、試料から放出される二次荷電粒子を、対物レンズを通過後にEXB分離器で二次光学系に投入し、投入後少なくとも一段のレンズで複数の二次荷電粒子線間の間隔を拡大し、複数の検出器で検出する工程を含む検査方法(4400)であって、
対物レンズは、アースに近い第1の電圧が印加される第1の電極と、第1の電圧より大きい第2の電圧が印加される第2の電極とを備え、第1の電極に印加される第1の電圧を変化させることによって、対物レンズの焦点距離が変化されるものであり、
対物レンズを励起する励起手段は、対物レンズの焦点距離を大きく変化させるために第2の電極に印加する電圧を変更する手段と、焦点距離を短時間で変化させるために第1の電極に印加する電圧を変更する手段とを備える検査方法。
Irradiating a sample with a plurality of primary charged particles by a primary optical system, feeding secondary charged particles emitted from the sample into a secondary optical system by an EXB separator after passing through an objective lens, and at least one stage lens after the input An inspection method (4400) including a step of enlarging an interval between a plurality of secondary charged particle beams and detecting with a plurality of detectors,
The objective lens includes a first electrode to which a first voltage close to the ground is applied, and a second electrode to which a second voltage higher than the first voltage is applied, and is applied to the first electrode. Changing the first voltage, the focal length of the objective lens is changed,
Exciting means for exciting the objective lens includes means for changing the voltage applied to the second electrode in order to greatly change the focal length of the objective lens, and means for applying voltage to the first electrode in order to change the focal length in a short time. Means for changing the applied voltage.
単一のビーム発生手段から放出されたビームを複数の孔を設けた開口板でマルチビームにする工程、第一次光学系により前記マルチビームを少なくとも2段の静電レンズで縮小して検査されるべき試料を走査する工程、前記試料から放出された二次荷電粒子ビーム粒子を静電対物レンズ通過後E×B分離器で第一次光学系から分離し、その後少なくとも1段の静電レンズで拡大して複数の検出装置に入射させる工程を含む検査方法(4500)であって、
スループットが大きいが解像度が比較的低いモード及びスループットが小さいが解像度が高いモードで試料を評価するように、少なくとも二種類の画素寸法で試料の評価を行うことを特徴とする検査方法。
A step of forming a beam emitted from a single beam generating means into a multi-beam by an aperture plate provided with a plurality of holes, wherein the multi-beam is reduced by a primary optical system by at least two stages of electrostatic lenses and inspected. Scanning a sample to be sampled, separating secondary charged particle beam particles emitted from the sample from the primary optical system by an E × B separator after passing through an electrostatic objective lens, and then at least one stage of electrostatic lens An inspection method (4500) including a step of enlarging the light to make it incident on a plurality of detection devices,
An inspection method characterized in that a sample is evaluated with at least two types of pixel dimensions so that the sample is evaluated in a mode in which the throughput is large and the resolution is relatively low and a mode in which the throughput is small and the resolution is high.
一次荷電粒子を発生し、集束して試料上に走査させて照射する一次光学系、及び前記試料の荷電粒子照射部分から放出された二次荷電粒子が投入される、少なくとも1段のレンズを有する二次光学系を用意する工程、並びに前記荷電粒子照射部から放出された二次荷電粒子を加速し、E×B分離器で一次光学系から分離して前記二次光学系に投入し、前記二次荷電粒子の像を前記レンズで拡大して検出器で検出する工程を含む検査方法(5000)であって、
前記一次光学系により複数の一次荷電粒子を発生させ試料に同時に照射する工程、前記検出器を前記一次荷電粒子の数に対応して複数設ける工程、前記試料にリターディング電圧を印加する工程、前記試料のチャージアップ状態を調査する工程を含む検査方法。
It has a primary optical system for generating, converging, scanning and irradiating primary charged particles on a sample, and at least one stage lens into which secondary charged particles emitted from a charged particle irradiated portion of the sample are input. A step of preparing a secondary optical system, and accelerating secondary charged particles emitted from the charged particle irradiation unit, separating from the primary optical system with an E × B separator, and feeding the secondary optical system to the secondary optical system, An inspection method (5000) including a step of enlarging an image of secondary charged particles with the lens and detecting the image with a detector,
A step of generating a plurality of primary charged particles by the primary optical system and simultaneously irradiating the sample, a step of providing a plurality of detectors corresponding to the number of the primary charged particles, a step of applying a retarding voltage to the sample, An inspection method including a step of investigating a charge-up state of a sample.
複数の荷電粒子を試料に照射する光学系と、チャージアップ調査機能とを有する検査装置(5000)を使用する検査方法であって、前記チャージアップ調査機能は、前記試料に一次荷電粒子が照射されて発生した二次荷電粒子を複数の検出器で検出して画像を形成したとき、前記試料の特定部分のパターン歪み或いはパターンボケを評価し、その結果パターン歪み或いはパターンボケが大きい場合をチャージアップが大きいと評価する検査方法。An inspection method using an inspection system (5000) having an optical system for irradiating a sample with a plurality of charged particles and a charge-up investigation function, wherein the charge-up investigation function includes irradiating the sample with primary charged particles. When the secondary charged particles generated by the detection are detected by a plurality of detectors to form an image, the pattern distortion or pattern blur of a specific portion of the sample is evaluated. As a result, when the pattern distortion or pattern blur is large, a charge-up is performed. Inspection method that evaluates as large. XYステージ上に載置された試料に荷電粒子を照射する検査方法(7000)であって、
XYステージはハウジング内に収容されかつ静圧軸受けによりハウジングに対して非接触で支持されており、該ステージが収容されたハウジングは真空排気され、該検査装置の試料面上に荷電粒子を照射する部分の周囲には、試料面上の荷電粒子が照射される領域を排気する差動排気機構が設けられる検査方法。
An inspection method (7000) for irradiating a sample placed on an XY stage with charged particles,
The XY stage is housed in the housing and is supported by the static pressure bearing in a non-contact manner with respect to the housing. The housing in which the stage is housed is evacuated to irradiate charged particles on the sample surface of the inspection apparatus. An inspection method in which a differential evacuation mechanism for evacuating a region irradiated with charged particles on a sample surface is provided around the portion.
ビームを放出する単一のビーム発生手段、複数の孔を設けた開口板、複数のレンズ及びE×B分離器を有し、前記ビーム発生手段からのビームを検査されるべき試料面上に照射する第一次光学系を用意する工程、前記試料から放出された二次荷電粒子を、前記E×B分離器で第一次光学系から分離し、二次荷電粒子検出装置へ入射させて検出する第二次光学系を用意する工程を含む検査方法(4300)であって、
前記ビーム発生手段からのビームを前記開口板に照射して複数の孔の像を形成し、前記複数の孔の像の位置を前記E×B分離器の位置に一致させ、かつ前記E×B分離器の電界に走査電圧を重畳させて、前記ビームの偏向動作をさせる検査方法。
It has a single beam generating means for emitting a beam, an aperture plate provided with a plurality of holes, a plurality of lenses and an EXB separator, and irradiates a beam from the beam generating means onto a sample surface to be inspected. Preparing a primary optical system to be performed, secondary charged particles emitted from the sample are separated from the primary optical system by the E × B separator, and are incident on a secondary charged particle detection device for detection. An inspection method (4300) including a step of preparing a secondary optical system,
Irradiating the aperture plate with a beam from the beam generating means to form images of a plurality of holes; aligning the positions of the images of the plurality of holes with the positions of the E × B separator; An inspection method in which a scanning voltage is superimposed on an electric field of a separator to deflect the beam.
JP2002505648A 2000-06-27 2001-06-27 Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus Pending JPWO2002001597A1 (en)

Applications Claiming Priority (23)

Application Number Priority Date Filing Date Title
JP2000192918 2000-06-27
JP2000192918 2000-06-27
JP2000335752 2000-11-02
JP2000336091 2000-11-02
JP2000335751 2000-11-02
JP2000335752 2000-11-02
JP2000335751 2000-11-02
JP2000336156 2000-11-02
JP2000336156 2000-11-02
JP2000336091 2000-11-02
JP2000337058 2000-11-06
JP2000337058 2000-11-06
JP2000377285 2000-12-12
JP2000377285 2000-12-12
JP2001112745 2001-04-11
JP2001112745 2001-04-11
JP2001115060 2001-04-13
JP2001115060 2001-04-13
JP2001143084 2001-05-14
JP2001143084 2001-05-14
JP2001158571 2001-05-28
JP2001158571 2001-05-28
PCT/JP2001/005496 WO2002001597A1 (en) 2000-06-27 2001-06-27 Charged particle beam inspection apparatus and method for fabricating device using that inspection apparatus

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006232088A Division JP4221428B2 (en) 2000-06-27 2006-08-29 Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus

Publications (1)

Publication Number Publication Date
JPWO2002001597A1 true JPWO2002001597A1 (en) 2004-01-08

Family

ID=39752840

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002505648A Pending JPWO2002001597A1 (en) 2000-06-27 2001-06-27 Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus
JP2008112489A Withdrawn JP2008193119A (en) 2000-06-27 2008-04-23 Inspection system by charged particle beam and method of manufacturing devices using system

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008112489A Withdrawn JP2008193119A (en) 2000-06-27 2008-04-23 Inspection system by charged particle beam and method of manufacturing devices using system

Country Status (1)

Country Link
JP (2) JPWO2002001597A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5020925B2 (en) * 2008-11-28 2012-09-05 大日本スクリーン製造株式会社 Substrate processing apparatus and substrate processing method
JP6604751B2 (en) * 2015-06-18 2019-11-13 株式会社ホロン Ultra-high-speed inspection device using electrons and ultra-high-speed inspection method using electrons
JP6803440B2 (en) * 2019-10-15 2020-12-23 株式会社ホロン Ultra-high-speed inspection device using electrons

Also Published As

Publication number Publication date
JP2008193119A (en) 2008-08-21

Similar Documents

Publication Publication Date Title
US7135676B2 (en) Inspection system by charged particle beam and method of manufacturing devices using the system
JP5736003B2 (en) Electrostatic chuck that holds wafers by suction
US6593152B2 (en) Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
US7223973B2 (en) Apparatus for inspection with electron beam, method for operating same, and method for manufacturing semiconductor device using former
EP2587515A1 (en) Inspection system by charged particle beam and method of manufacturing devices using the system
WO2002040980A1 (en) Wafer inspecting method, wafer inspecting instrument, and electron beam apparatus
JP2003173756A (en) Electron beam device and manufacturing method of device using the same
JP4939235B2 (en) Sheet beam inspection system
JP2006153871A (en) Substrate inspection method, substrate inspecting device, and electron beam system
JP4221428B2 (en) Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus
JP2008193119A (en) Inspection system by charged particle beam and method of manufacturing devices using system
JP4224089B2 (en) Imaging apparatus, defect inspection apparatus, defect inspection method, and electron beam inspection apparatus
JP2007184283A (en) Charged particle beam device and method
JP3890015B2 (en) Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus
JP3896080B2 (en) Electron beam apparatus and semiconductor device manufacturing method using the apparatus
JPWO2002040980A1 (en) Substrate inspection method, substrate inspection device, and electron beam device

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060630

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060829

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061102

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070313