JPH11339497A - Testing apparatus for electronic circuit - Google Patents

Testing apparatus for electronic circuit

Info

Publication number
JPH11339497A
JPH11339497A JP10147238A JP14723898A JPH11339497A JP H11339497 A JPH11339497 A JP H11339497A JP 10147238 A JP10147238 A JP 10147238A JP 14723898 A JP14723898 A JP 14723898A JP H11339497 A JPH11339497 A JP H11339497A
Authority
JP
Japan
Prior art keywords
memory
scan
data
electronic circuit
test
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10147238A
Other languages
Japanese (ja)
Inventor
Mitsuaki Seki
光昭 関
Naoya Kimura
直哉 木村
Takeshi Oba
健 大庭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
OKI BUSINESS CO Ltd
Oki Electric Industry Co Ltd
Original Assignee
OKI BUSINESS CO Ltd
Oki Electric Industry Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by OKI BUSINESS CO Ltd, Oki Electric Industry Co Ltd filed Critical OKI BUSINESS CO Ltd
Priority to JP10147238A priority Critical patent/JPH11339497A/en
Publication of JPH11339497A publication Critical patent/JPH11339497A/en
Pending legal-status Critical Current

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)

Abstract

PROBLEM TO BE SOLVED: To obtain a testing apparatus which prevents the occurrence of a timing error by a method wherein scan data according to a test pattern is written into a memory through a first scan chain and the scan data is read out from the memory through a second scan chain which couples a plurality of unit memory circuits constituting the output circuit of the memory. SOLUTION: When a test mode is selected at the high level '1' of a selector signal from a pin 62, respective selectors in multiplexers 78 to 81, a multiplexer 96 and scan flip-flops 84, 86 select input terminals for a test mode. Signals which are input from pins 63, 64 are supplied to data terminals of flip-flops 90, 91 via the multiplexers 79, 80, and the address '0' of a memory 88 is designated. A scan clock is supplied sequentially to scan flop-flops 92 to 94 on the output side, and the same sequence is repeated with reference to all addresses of the memory 88.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、電子回路の試験装
置に関し、たとえばASIC(特定用途向け集積回路)
などのLSI(大規模集積回路)に内蔵されたメモリを
メモリテストアルゴリズムを用いて試験する場合などに
適用し得るものである。
The present invention relates to an electronic circuit test apparatus, for example, an ASIC (Application Specific Integrated Circuit).
It can be applied to a case where a memory built in an LSI (Large Scale Integrated Circuit) such as the above is tested using a memory test algorithm.

【0002】[0002]

【従来の技術】従来、ASICに内蔵されたメモリをテ
ストするには、図2または図3に示したような回路構成
などを用いていた。
2. Description of the Related Art Conventionally, to test a memory built in an ASIC, a circuit configuration as shown in FIG. 2 or 3 has been used.

【0003】図2において、1パッケージのASIC1
0は、その外周部に入力用のピン11〜13と出力用の
ピン14を有している。ピン11,12および14は、
通常時にもテスト時にも用いられる。
In FIG. 2, one package ASIC 1
0 has input pins 11 to 13 and an output pin 14 on its outer peripheral portion. Pins 11, 12, and 14 are
Used during normal and testing.

【0004】ASIC10の内部には、入出力用のバッ
ファ15〜18と、内部ロジック19と、マルチプレク
サ20〜22と、メモリ23とが設けられている。
The ASIC 10 includes input / output buffers 15 to 18, an internal logic 19, multiplexers 20 to 22, and a memory 23.

【0005】入力側のマルチプレクサ20は、ピン13
から供給されるセレクタ信号に応じて通常は内部ロジッ
ク19からの出力信号を選択し、テスト時にはピン11
から供給されるテスト用の信号を選択し、選択した信号
をメモリ23に供給する。メモリ23はデータの入出力
をクロックに同期させる方式ではない、非同期式のメモ
リである。
The multiplexer 20 on the input side is connected to the pin 13
Normally, the output signal from the internal logic 19 is selected according to the selector signal supplied from the
And supplies the selected signal to the memory 23. The memory 23 is an asynchronous memory that does not use a method of synchronizing data input / output with a clock.

【0006】マルチプレクサ20と同様にマルチプレク
サ21は、内部ロジック19の出力信号またはピン12
から供給されるテスト用の信号を選択し、メモリ23に
供給する。
[0006] Like the multiplexer 20, the multiplexer 21 receives the output signal of the internal logic 19 or the pin 12.
Is selected and supplied to the memory 23.

【0007】また出力側のマルチプレクサ22は、ピン
13から供給されるセレクタ信号に応じて通常は内部ロ
ジック19の出力データを、テスト時にはメモリ23の
読み出しデータを、それぞれ選択してピン14から送出
する。なお、図2において、メモリ23内のアドレスを
指定するための構成の図示は、省略されている。
The multiplexer 22 on the output side normally selects output data of the internal logic 19 in accordance with the selector signal supplied from the pin 13, and reads out data from the memory 23 at the time of testing, and sends out the data from the pin 14. . In FIG. 2, the illustration of the configuration for specifying the address in the memory 23 is omitted.

【0008】このようなASIC10内のデータ信号が
伝送される通常の経路は、内部ロジック19→マルチプ
レクサ20,21→メモリ23→内部ロジック19であ
るが、メモリ23のテスト時にはこの経路がピン11,
12→マルチプレクサ20,21→メモリ23→マルチ
プレクサ22→ピン14の経路に変わる。
A normal path through which the data signal in the ASIC 10 is transmitted is the internal logic 19 → multiplexers 20, 21 → memory 23 → internal logic 19, but when the memory 23 is tested, this path is
The path is changed from 12 → multiplexers 20, 21 → memory 23 → multiplexer 22 → pin 14.

【0009】図3において、1パッケージのASIC3
0は、その外周部に入力用のピン31〜34と出力用の
ピン35〜37を有している。このうち入力及び出力用
のピン31,32,35,36は通常時にだけ使用さ
れ、スキャン・イン用のピン34とスキャン・アウト用
のピン37は、テスト時にだけ使用される。
In FIG. 3, one package ASIC 3
0 has input pins 31 to 34 and output pins 35 to 37 on its outer peripheral portion. Of these, the input and output pins 31, 32, 35, and 36 are used only during normal times, and the scan-in pin 34 and scan-out pin 37 are used only during testing.

【0010】そしてこのASIC30の内部には、入力
用のバッファ37〜40と、内部ロジック41と、デー
タ入力用のスキャンFF(フリップフロップ)42〜4
3と、データ出力用のスキャンFF44〜45と、同期
式のメモリ46と、出力用のバッファ47〜49とが設
けられている。
In the ASIC 30, input buffers 37 to 40, internal logic 41, and scan FFs (flip-flops) 42 to 4 for data input are provided.
3, scan FFs 44 to 45 for data output, a synchronous memory 46, and buffers 47 to 49 for output.

【0011】ピン34からスキャンFF42,43を経
て、さらにスキャンFF44、45からピン37に至る
ラインは、ひとつのスキャン・チェイン50を構成して
いる。
A line extending from the pin 34 to the scan FFs 42 and 43 and further from the scan FFs 44 and 45 to the pin 37 forms one scan chain 50.

【0012】なお、図3において、アドレス指定用のF
Fと、スキャンFF42,43内のセレクタを動作させ
るための構成は、省略されている。
In FIG. 3, F for addressing is used.
The configuration for operating the F and the selectors in the scan FFs 42 and 43 is omitted.

【0013】テスト時の書き込み期間には、まずメモリ
46が書き込みモードになり、FF42,43内の各セ
レクタが内部ロジック41ではなくスキャン・インを選
択し、各アドレスにスキャン・データが書き込まれてゆ
く。書き込み用のスキャン・データは、スキャンFF4
2から43へと移動しながら各アドレスに書き込まれた
あとで、スキャン・チェイン50上を伝送されてピン3
7から出力される。
In the writing period during the test, first, the memory 46 is in the writing mode, each selector in the FFs 42 and 43 selects the scan-in instead of the internal logic 41, and the scan data is written to each address. go. The scan data for writing is scan FF4
After being written to each address while moving from 2 to 43, it is transmitted on the scan chain 50 and
7 is output.

【0014】一方、このテスト時の読み出し期間におい
ては、読み出しモードとなったメモリ46の各アドレス
が指定され、各アドレスから読み出されたスキャン・デ
ータはスキャン・チェイン50上を伝送されて、ピン3
7から出力される。
On the other hand, in the read period during the test, each address of the memory 46 in the read mode is designated, and the scan data read from each address is transmitted on the scan chain 50 and 3
7 is output.

【0015】[0015]

【発明が解決しようとする課題】ところが図2のような
構成では、メモリ23自体が非同期式で、周辺の回路は
メモリ23に対するデータの書き込み、読み出しをクロ
ックに同期させるための構成を備えていないため、タイ
ミングエラーを起こしやすいという欠点があった。
However, in the configuration shown in FIG. 2, the memory 23 itself is asynchronous, and the peripheral circuits do not have a configuration for synchronizing the writing and reading of data to and from the memory 23 with a clock. Therefore, there is a disadvantage that a timing error easily occurs.

【0016】また、図3の構成では、テスト時の書き込
みと読み出しを繰り返す場合、書き込み用にピン34か
ら入力されたスキャン・データがスキャンFF43を通
過したあと、少なくともスキャンFF45を通過するま
ではメモリ46からのデータの読み出しを行うことがで
きず、書き込みと読み出しの切り換えが繰り返されるテ
ストパターンたとえばマーチパターンなどを使用したテ
ストでは、タイミング上の制約が多くテスト時間が長く
なり、当該ASIC30に接続されるテスト用の外部機
器の負担が大きい。
In the configuration of FIG. 3, when writing and reading at the time of testing are repeated, the scan data input from the pin 34 for writing passes through the scan FF 43 and at least passes through the memory until the scan data passes through the scan FF 45. In a test using a test pattern, for example, a march pattern or the like, in which data cannot be read from the memory 46 and switching between writing and reading is repeated, the timing is long and the test time is long. The burden on external equipment for testing is large.

【0017】さらに、ピン33,34および37やバッ
ファ39,40および49などの構成は、テスト時だけ
用いられて通常時は使用されないので、構成上の無駄が
多い。
Furthermore, the configuration of the pins 33, 34 and 37 and the buffers 39, 40 and 49 is used only at the time of testing and is not used at normal times, so that there is much waste in the configuration.

【0018】[0018]

【課題を解決するための手段】この課題を解決するため
に、所定のテストパターンに応じてメモリに対する書き
込み、読み出しを行い、読み出したデータを調べること
によってメモリ及びその周辺回路からなるメモリ装置の
動作の正常性を検査する電子回路の試験装置において、
以下の構成を備えることを特徴とする。
In order to solve this problem, the operation of a memory device comprising a memory and its peripheral circuits is performed by writing and reading data to and from a memory according to a predetermined test pattern and examining the read data. In an electronic circuit test device for checking the normality of
It is characterized by having the following configuration.

【0019】すなわち、本発明では、(1)当該メモリ
の入力回路を構成する複数の単位記憶回路を結合する第
1のスキャン・チェインと、(2)前記テストパターン
に応じたスキャン・データを、この第1のスキャン・チ
ェインを通じて前記メモリに書き込む書き込み手段と、
(3)さらに、当該メモリの出力回路を構成する複数の
単位記憶回路を結合する第2のスキャン・チェインと、
(4)書き込まれたスキャン・データを、この第2のス
キャン・チェインを通じて前記メモリから読み出す読み
出し手段とを備えることを特徴とする。
That is, according to the present invention, (1) a first scan chain for connecting a plurality of unit storage circuits constituting an input circuit of the memory, and (2) scan data corresponding to the test pattern, Writing means for writing to the memory through the first scan chain;
(3) a second scan chain for coupling a plurality of unit storage circuits constituting an output circuit of the memory;
(4) A reading means for reading the written scan data from the memory through the second scan chain.

【0020】[0020]

【発明の実施の形態】(A)実施形態 以下、本発明にかかる電子回路の試験装置を、ASIC
(特定用途向け集積回路)に適用した場合を例に、一実
施形態について説明する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS (A) Embodiment Hereinafter, an electronic circuit test apparatus according to the present invention will be described with reference to an ASIC.
An embodiment will be described with reference to an example in which the present invention is applied to an (application-specific integrated circuit).

【0021】(A−1)実施形態の構成 図1に実施形態にかかる1パッケージのASIC60の
回路構成を示す。ASIC60はある特定用途に対応し
た内部ロジック61を備えている。
(A-1) Configuration of Embodiment FIG. 1 shows a circuit configuration of an ASIC 60 in one package according to the embodiment. The ASIC 60 has an internal logic 61 corresponding to a specific application.

【0022】図1において、ASIC60のパッケージ
外周部には、入力用のピン62〜66と、出力用のピン
67および68とが設けられている。ピン66が通常モ
ードとテストモードの切り換えに使用されるほか、ピン
62〜65およびピン67,68はすべて通常モードで
もテストモードでも使用可能である。
In FIG. 1, input pins 62 to 66 and output pins 67 and 68 are provided on the outer periphery of the package of the ASIC 60. The pin 66 is used for switching between the normal mode and the test mode, and the pins 62 to 65 and the pins 67 and 68 are all usable in the normal mode and the test mode.

【0023】ピン62〜66には入力用のバッファ70
〜74が、ピン67,68には出力用のバッファ75,
76がそれぞれ接続されている。そして入力用のバッフ
ァ70〜73の各出力端子は、マルチプレクサ78〜8
1の一方の入力端子[1]と、内部ロジック61の入力端
子に接続されている。これらマルチプレクサ78〜81
の他方の入力端子[0]には、内部ロジック61の出力端
子が接続されている。マルチプレクサ78〜81の入力
端子[0]は通常モード用で、入力端子[1]はテストモ
ード用である。マルチプレクサ78〜81の制御端子に
は、バッファ74を介して、入力端子[0]または
[1]の選択を切り換えるためのセレクタ信号の供給を
受けるピン66が接続されている。
Input pins 70 are provided at pins 62 to 66.
74, the output buffers 75,
76 are connected respectively. The output terminals of the input buffers 70 to 73 are connected to multiplexers 78 to 8 respectively.
1 and one input terminal [1] and the input terminal of the internal logic 61. These multiplexers 78 to 81
The output terminal of the internal logic 61 is connected to the other input terminal [0]. The input terminals [0] of the multiplexers 78 to 81 are for the normal mode, and the input terminal [1] is for the test mode. The control terminals of the multiplexers 78 to 81 are connected to the input terminal [0] or
A pin 66 for receiving a selector signal for switching the selection of [1] is connected.

【0024】マルチプレクサ78の出力端子にはスキャ
ン・チェイン83とデータ入力側で最下端のスキャンF
F84が接続されているが、破線で囲んだこの部分C1
の詳細な構成は図4に示す。
The output terminal of the multiplexer 78 has a scan chain 83 and a scan F at the lowermost end on the data input side.
F84 is connected, but this part C1 surrounded by a broken line
4 is shown in FIG.

【0025】図4に一点鎖線で囲んで示したようにスキ
ャンFF84は、セレクタ84AおよびDフリップフロ
ップ84Bから構成されている。同様に、データ入力側
で最上端のスキャンFF86も、セレクタ86AとDフ
リップフロップ86Bから構成されている。図1では省
略したが、スキャンFF84と86のあいだには、これ
らと同様に、セレクタ85A、Dフリップフロップ85
Bを有するスキャンFF85などのスキャンFFが必要
な数だけ設けられている。
As shown in FIG. 4, the scan FF 84 includes a selector 84A and a D flip-flop 84B. Similarly, the uppermost scan FF 86 on the data input side also includes a selector 86A and a D flip-flop 86B. Although omitted in FIG. 1, between the scan FFs 84 and 86, a selector 85A and a D flip-flop 85 are similarly provided.
A required number of scan FFs such as the scan FF 85 having B are provided.

【0026】セレクタ84A〜86Aはピン66から供
給される前記セレクタ信号に応じて入力端子[0]また
は[1]を選択し、入力端子[0]または[1]に供給さ
れた信号を各Dフリップフロップ84B〜86Bの入力
端子Dに接続する回路である。
The selectors 84A to 86A select the input terminal [0] or [1] in accordance with the selector signal supplied from the pin 66, and transmit the signal supplied to the input terminal [0] or [1] to each D. This is a circuit connected to the input terminals D of the flip-flops 84B to 86B.

【0027】スキャン・チェイン83は、下端部のスキ
ャン・チェイン83Aでマルチプレクサ78の出力端子
とセレクタ83Aのテストモード用の入力端子[1]と
を接続している。そしてこのスキャン・チェイン83は
下から2つ目のスキャン・チェイン83Bで、FF84
Bの出力端子QとスキャンFF85内のセレクタ85A
のテストモード用の入力端子[1]とを接続し、以降は
同様に、FFの出力端子Qとその上のスキャンFF内の
セレクタの入力端子[1]とを、逐次接続してゆく構成
となっている。これらFF84A〜86Bの出力端子Q
はそれぞれ、メモリ88の書き込みデータ線D1(〜D
i)〜D2にも接続されているので、テストモードで見
る限りスキャンFF84〜86は、シリアル入力パラレ
ル出力のシフトレジスタとして機能する。
In the scan chain 83, the output terminal of the multiplexer 78 and the input terminal [1] for the test mode of the selector 83A are connected by the scan chain 83A at the lower end. The scan chain 83 is the second scan chain 83B from the bottom, and the FF 84
B output terminal Q and selector 85A in scan FF 85
And input terminals [1] of the selectors in the scan FFs above the output terminals Q of the FFs are sequentially connected in the same manner. Has become. The output terminals Q of these FFs 84A to 86B
Respectively represent the write data lines D1 (DD
i) Since they are also connected to D2, the scan FFs 84 to 86 function as shift registers for serial input and parallel output as far as the test mode is concerned.

【0028】なお、セレクタ84Aの通常モード用の入
力端子[0]は、マルチプレクサ78の出力端子に接続
されており、セレクタ85A、86Aなどの通常モード
用の入力端子[0]は内部ロジック61の出力端子に接
続されている。
The input terminal [0] for the normal mode of the selector 84A is connected to the output terminal of the multiplexer 78, and the input terminal [0] for the normal mode of the selectors 85A and 86A is connected to the internal logic 61. Connected to output terminal.

【0029】Dフリップフロップ84B〜86Bのクロ
ック入力端子には、テストモードではスキャンクロック
の供給を受け、通常モードでは通常のクロックの供給を
受けるために、マルチプレクサ81の出力端子が接続さ
れている。
The output terminals of the multiplexer 81 are connected to the clock input terminals of the D flip-flops 84B to 86B in order to receive the supply of the scan clock in the test mode and the supply of the normal clock in the normal mode.

【0030】ふたたび図1の説明にもどる。Returning to the description of FIG.

【0031】図1において、入力側でスキャンFF84
の下に配置されたFF90〜91は、アドレス指定用の
Dフリップフロップで、そのデータ入力端子をマルチプ
レクサ79〜80の出力端子に接続し、その出力端子は
メモリ88のアドレス線A1〜A2に接続されている。
マルチプレクサ79と80のあいだには、必要な数のマ
ルチプレクサが設けられ、FF90と91のあいだには
このマルチプレクサと同数のFFが設けられて、これら
のFFとマルチプレクサは1対1に接続されている。
In FIG. 1, a scan FF 84 is provided on the input side.
FFs 90 to 91 arranged below the D flip-flops for address designation, have their data input terminals connected to the output terminals of the multiplexers 79 to 80, and their output terminals connected to the address lines A1 to A2 of the memory 88. Have been.
The required number of multiplexers are provided between the multiplexers 79 and 80, and the same number of FFs are provided between the FFs 90 and 91. The FFs and the multiplexers are connected one-to-one. .

【0032】FF90〜91の各クロック入力端子に
は、マルチプレクサ81を介してピン65が接続されて
おり、前記FF84B〜86Bと同じスキャンクロック
が供給される。
Each of the clock input terminals of the FFs 90 to 91 is connected to a pin 65 via a multiplexer 81, and is supplied with the same scan clock as the FFs 84B to 86B.

【0033】メモリ88のWRN端子には、図示しない
ラインでWRN信号が供給され、メモリ88を書き込
み、読み出し両モード間で切り換える。
A WRN signal is supplied to a WRN terminal of the memory 88 via a line (not shown), and the memory 88 is switched between a write mode and a read mode.

【0034】一方、メモリ88の出力側では、破線で囲
んだ部分C2およびスキャン・チェイン95が、図4に
示した入力側の部分C1およびスキャン・チェイン83
に対応する動作を行う。部分C2とスキャン・チェイン
95はテストモードではやはり一種のシフトレジスタと
して機能する。ただしこのシフトレジスタは、図4とは
逆にパラレル入力シリアル出力である。
On the other hand, on the output side of the memory 88, a portion C2 and a scan chain 95 surrounded by a broken line are replaced with a portion C1 and a scan chain 83 on the input side shown in FIG.
Perform the operation corresponding to. The part C2 and the scan chain 95 also function as a kind of shift register in the test mode. However, this shift register is a parallel input serial output contrary to FIG.

【0035】メモリ88の読み出しデータ線Q1〜Q2
から読み出された1ワードのデータをシリアルで伝送す
るスキャン・チェイン95は、このシリアルデータをマ
ルチプレクサ96の入力端子[1]に供給する。
Read data lines Q1 to Q2 of the memory 88
The scan chain 95 that serially transmits the data of one word read from the MPU 1 supplies the serial data to the input terminal [1] of the multiplexer 96.

【0036】このマルチプレクサ96の通常モード用の
入力端子[0]には、内部ロジック61の出力端子が接続
されている。そして、図1に示すように、前記出力用の
バッファ76の入力端子にはマルチプレクサ96の出力
端子が接続され、バッファ75の入力端子には内部ロジ
ック61の出力端子が接続されている。
The output terminal of the internal logic 61 is connected to the input terminal [0] for the normal mode of the multiplexer 96. As shown in FIG. 1, the output terminal of the multiplexer 96 is connected to the input terminal of the output buffer 76, and the output terminal of the internal logic 61 is connected to the input terminal of the buffer 75.

【0037】なお、メモリ88の書き込みデータ線D1
〜D2と、読み出しデータ線Q1〜Q2とは同数でワー
ド長に一致し、1対1に対応しているが、アドレス線A
1〜A2の数はメモリ88の容量や(ポート数などの)
構成などに応じて決まる。
The write data line D1 of the memory 88
To D2 and the read data lines Q1 to Q2 have the same number and the same word length, and have a one-to-one correspondence.
The number of 1 to A2 is determined by the capacity of the memory 88 and the number of ports
It is determined according to the configuration and the like.

【0038】以下、上記のような構成を有する本実施形
態の動作について説明する。
Hereinafter, the operation of the present embodiment having the above configuration will be described.

【0039】(A−2)実施形態の動作 メモリ88をテストするために、まずはピン66を介し
て当該ASIC60の外部からセレクタ信号が供給され
る。図5(B)に示すようにこのセレクタ信号のハイレ
ベル“1”で、テストモードが選択されると、マルチプ
レクサ78〜81、マルチプレクサ96、およびスキャ
ンFF84〜86内の各セレクタ84A〜86Aは、す
べてテストモード用の入力端子[1]を選択する。した
がって、図5(F)に示すように、たとえばスキャンF
F86内のセレクタ86Aの入力端子[0]に内部ロジ
ック61からローレベル“0”が供給されても、この信
号は意味をもたず無効である。
(A-2) Operation of the Embodiment In order to test the memory 88, first, a selector signal is supplied from outside the ASIC 60 via the pin 66. As shown in FIG. 5B, when the test mode is selected at the high level "1" of the selector signal, the selectors 84A to 86A in the multiplexers 78 to 81, the multiplexer 96, and the scan FFs 84 to 86 Select the input terminal [1] for all test modes. Therefore, for example, as shown in FIG.
Even if the low level “0” is supplied from the internal logic 61 to the input terminal [0] of the selector 86A in F86, this signal has no meaning and is invalid.

【0040】同様に、テストモードにおいて、図5
(G)のマルチプレクサ78の入力端子[0]、同図
(H)のマルチプレクサ80の入力端子[0]、同図
(I)のマルチプレクサ79の入力端子[0]に対して
内部ロジック61から供給される信号も無効である。
Similarly, in the test mode, FIG.
(G) Input terminal [0] of the multiplexer 78, FIG.
Signals supplied from the internal logic 61 to the input terminal [0] of the multiplexer 80 in (H) and the input terminal [0] of the multiplexer 79 in FIG.

【0041】図5(B)のセレクタ信号“1”によって
スキャンFF92〜94内の各セレクタ92B〜94B
は、テストモード用の出力端子[1]を選択する。
Each selector 92B-94B in the scan FFs 92-94 is operated by the selector signal "1" in FIG.
Selects the output terminal [1] for the test mode.

【0042】図5は、図示した以前の処理であらかじ
め、メモリ88の最下位アドレスから最上位アドレスま
ですべてのビットに“0”を書き込む処理を終えている
ことを前提とする。
FIG. 5 assumes that the process of writing "0" to all bits from the lowest address to the highest address of the memory 88 has been completed in the previous process shown.

【0043】図5(A)の左端から5番目のスキャンク
ロックS1がピン65を介して供給されるタイミングで
は、同図(D)に示すようにWRNはハイレベルの読み
出し期間R1で、メモリ88は読み出しモードである。
At the timing when the fifth scan clock S1 from the left end in FIG. 5A is supplied via the pin 65, as shown in FIG. Is a read mode.

【0044】そしてピン63〜64から入力される信号
は、マルチプレクサ80〜79を介してFF90〜91
のデータ入力端子に供給されて、図5(C)に示すよう
にメモリ88のアドレス“0”を指定している。
The signals input from pins 63 to 64 are supplied to FFs 90 to 91 via multiplexers 80 to 79.
, And designates an address “0” of the memory 88 as shown in FIG.

【0045】この状態で、図5(A)のスキャンクロッ
クS1が、出力側のスキャンFF92〜94に順次供給
されてゆく。このスキャンクロックS1の立上がりでメ
モリ88の読み出しデータ線Q1〜Q2のデータ“0”
が、図5(L)および(M)に示すように、Q1から順
番にスキャンFF92などの出力端子Qから出力されて
ゆく。その順番は、図1の構成上さきにスキャンクロッ
クS1が到着するスキャンFF92の出力データが先頭
で、スキャンFF94の出力データが最後になる。
In this state, the scan clock S1 of FIG. 5A is sequentially supplied to the scan FFs 92 to 94 on the output side. At the rise of the scan clock S1, the data "0" on the read data lines Q1 to Q2 of the memory 88 is read.
Are output from the output terminal Q such as the scan FF 92 in order from Q1, as shown in FIGS. 5 (L) and (M). In the order, the output data of the scan FF 92 at which the scan clock S1 arrives earlier in the configuration of FIG. 1 is first, and the output data of the scan FF 94 is last.

【0046】スキャンFF92の出力を示す図5(L)
にくらべて、スキャンFF94の出力を示す同図(M)
の立上がり、立ち下がりは、それぞれスキャンクロック
一つ分ほど遅れているが、これはスキャンクロックS1
が下端のスキャンFF92に達してからスキャンFF9
4に達するまでにスキャンクロックの間隔未満の時間を
要することを示す。この時間はこれより長くてもよい。
FIG. 5L showing the output of the scan FF 92.
(M) showing the output of the scan FF 94 as compared to FIG.
Rise and fall are delayed by one scan clock, respectively.
Scan FF9 after reaching the lower end scan FF92
4 indicates that it takes less than the scan clock interval to reach 4. This time may be longer.

【0047】次いで、ピン63〜64から供給される信
号がアドレス“0”の指定を継続している期間に、スキ
ャンクロックS2がピン65から供給されて、図5
(D)のWRNがローレベルすなわち書き込み期間W1
になり、メモリ88は書き込みモードになる。
Next, while the signal supplied from the pins 63 to 64 continues to specify the address "0", the scan clock S2 is supplied from the pin 65, and
(D) WRN is at the low level, that is, the write period W1
And the memory 88 enters the write mode.

【0048】ピン62から供給されている信号、すなわ
ちスキャン・データはこのとき以前から、図5(E)に
示すように、ハイレベル“1”である。図5(J)およ
び(K)に示すように入力側のスキャンFF84〜86
は、スキャンクロックS1よりまえのスキャンクロック
S0の立上がりですでにその出力Qを“1”としてい
る。
The signal supplied from the pin 62, that is, the scan data, has been at the high level "1" before this time, as shown in FIG. As shown in FIGS. 5J and 5K, scan FFs 84 to 86 on the input side.
Already has its output Q set to "1" at the rise of the scan clock S0 before the scan clock S1.

【0049】これらのスキャンFF84〜86の出力Q
の“1”が、期間W1で書き込みモードとなったメモリ
88のアドレス“0”に格納される。
The output Q of these scan FFs 84-86
Is stored in the address “0” of the memory 88 which has been in the write mode during the period W1.

【0050】そして期間R2の読み出しモードで、メモ
リ88から読み出されたこれらのデータ“1”がスキャ
ンFF92〜94から出力されてゆく。この間にピン6
2から供給されるスキャン・データは、図5(E)に示
すように、“1”から“0”に変化している。
Then, in the read mode in the period R2, these data "1" read from the memory 88 are output from the scan FFs 92 to 94. During this time pin 6
The scan data supplied from 2 changes from “1” to “0” as shown in FIG.

【0051】このスキャン・データ“0”が、期間R2
につづく書き込み期間W2でメモリ88のアドレス
“0”に書き込まれてゆく。
This scan data "0" is applied during period R2.
Next, in the writing period W2, the data is written to the address “0” of the memory 88.

【0052】したがって次の読み出し期間R3では、デ
ータ“0”がピン68から出力されてゆく。
Therefore, in the next reading period R3, data "0" is output from the pin 68.

【0053】この読み出し期間R3の途中でピン63〜
64から供給される信号が変化し、図5(C)に示すよ
うにアドレス“1”を指定するようになる。
During the reading period R3, the pins 63 to 63
The signal supplied from 64 changes, and the address "1" is designated as shown in FIG.

【0054】このあとアドレス“1”,アドレス
“2”,…とメモリ88のすべてのアドレスに対して同
様なシーケンスで読み出し、書き込みが行われてゆく。
Thereafter, reading and writing are performed for address "1", address "2",... And all addresses of the memory 88 in the same sequence.

【0055】図5のタイムチャートは、サイクル数の最
も少ないテストパターンの一つであるいわゆるマーチ
(Marching)パターンについて、アドレス変化が少なく
なるようにパターンの順序を入れ替えて変更した例の一
部を示したものである。
The time chart of FIG. 5 shows a part of an example in which a so-called marching pattern, which is one of the test patterns with the smallest number of cycles, is changed by changing the order of the patterns so that the address change is reduced. It is shown.

【0056】このマーチパターンにおいては、テストす
るメモリ88に対して、最下位アドレスから最上位アド
レスまですべてのビットに“0”を書き込む処理や、最
下位アドレスから最上位アドレスまで“0”を読み出し
“1”を書き込む処理や、最上位アドレスから最下位ア
ドレスまで“1”を読み出し“0”を書き込む処理から
構成される表のシーケンスと、最下位アドレスから最上
位アドレスまですべてのビットに“1”を書き込む処理
や、最下位アドレスから最上位アドレスまで“1”を読
み出し“0”を書き込む処理や、最上位アドレスから最
下位アドレスまで“0”を読み出し“1”を書き込む処
理から構成される裏のシーケンスなどが順次行われる。
In this march pattern, processing of writing "0" to all bits from the lowest address to the highest address and reading "0" from the lowest address to the highest address are performed on the memory 88 to be tested. A table sequence consisting of a process of writing “1”, a process of reading “1” from the highest address to the lowest address and writing “0”, and a process of writing “1” to all bits from the lowest address to the highest address , A process of reading "1" from the lowest address to the highest address and writing "0", and a process of reading "0" from the highest address to the lowest address and writing "1". A back sequence and the like are sequentially performed.

【0057】図5の各信号のタイミングを変えることに
より、この記述どおり頻繁にアドレスを変えるシーケン
スでマーチパターンを実行することもできる。
By changing the timing of each signal in FIG. 5, the march pattern can be executed in a sequence in which the address is frequently changed as described above.

【0058】また上記では、内部ロジック61を介する
ことなくテストを行ったが、内部ロジック61を活用し
て内部ロジック61とメモリ88のテストを行うことも
可能である。それにはまず、図5(B)のセレクタ信号
をローレベルにして通常モードとし、内部ロジック61
の出力をメモリ88のD1〜D2およびA1〜A2に供
給して書き込みモードで記憶させ、次にセレクタ信号を
ハイレベルとしてテストモードとし、メモリ88に記憶
されているこれらのデータを内部ロジック61を介さず
に外部に取り出す。これによりメモリ88と併せて内部
ロジック61のテストを行うこともできる。
In the above description, the test was performed without the intervention of the internal logic 61. However, the test of the internal logic 61 and the memory 88 can be performed by utilizing the internal logic 61. First, the selector signal shown in FIG.
Are supplied to D1 to D2 and A1 to A2 of the memory 88 and stored in the write mode. Then, the selector signal is set to the high level to set the test mode, and the data stored in the memory 88 is transmitted to the internal logic 61. Take it out without going through. Thus, the internal logic 61 can be tested together with the memory 88.

【0059】これとは反対に、まずは内部ロジック61
を介さずに外部からメモリ88にデータを記憶させてお
き、次いでそのデータを内部ロジック61に読み出させ
使用させることにより、メモリ88と併せて内部ロジッ
ク61のテストを行うこともできる。
On the contrary, first, the internal logic 61
By storing data in the memory 88 from the outside without going through the memory, and then reading and using the data in the internal logic 61, the internal logic 61 can be tested together with the memory 88.

【0060】さらにこれらの方法によるテスト結果と、
上記のテスト結果とを組み合わせて分析することによ
り、内部ロジック61だけを試験したり、メモリ88を
試験したり、内部ロジック61とメモリ88のあいだの
インタフェース部分を試験したりと、異なる個所の正常
性を調べることができる。
Further, the test results obtained by these methods are as follows:
By combining and analyzing the above test results, only the internal logic 61 is tested, the memory 88 is tested, and the interface between the internal logic 61 and the memory 88 is tested. Gender can be checked.

【0061】なお、ピン66からハイレベル(図5
(B))が供給されない通常モードでは、各マルチプレ
クサ78〜81,96、セレクタ84A〜86Aの入力
端子[0]が選択され、内部ロジック61とメモリ88
とのあいだで、データがやり取りされるようになる。
It should be noted that a high level signal (FIG. 5)
In the normal mode where (B)) is not supplied, the input terminals [0] of the multiplexers 78 to 81 and 96 and the selectors 84A to 86A are selected, and the internal logic 61 and the memory 88 are selected.
In between, data will be exchanged.

【0062】(A−3)実施形態の効果 以上のように、本実施形態のASIC60によれば、マ
ーチパターンなどの書き込みと読み出しの切り換えが繰
り返されるテストパターンを使用したテストでも、同期
式でタイミングエラーを起こしにくいうえに、タイミン
グ上の制約が少ないのでテスト時間を短くでき、テスト
用の外部機器の負担も小さい。
(A-3) Effects of Embodiment As described above, according to the ASIC 60 of this embodiment, even in a test using a test pattern in which switching between writing and reading such as a march pattern is repeated, the timing is synchronized. In addition to being less prone to errors, there are fewer restrictions on timing, so that the test time can be shortened and the load on the external test equipment is small.

【0063】さらにASIC60のテストモードで使用
されるピンはすべて、通常モードでも使用可能で、効率
のよい構成となっている。
Further, all the pins used in the test mode of the ASIC 60 can be used in the normal mode, and have an efficient configuration.

【0064】(B)他の実施形態 以上の説明ではマーチパターンを中心に述べたが、本発
明の電子回路の試験装置は、これ以外のテストパターン
を用いることも可能で、一般的に、書き込みと読み出し
の切り換えが繰り返されるテストパターンを使用する際
に利点が大きい。
(B) Other Embodiments In the above description, the march pattern has been mainly described. However, the electronic circuit test apparatus of the present invention can use other test patterns. There is a great advantage when using a test pattern in which switching between read and read is repeated.

【0065】また、前記部分C1の構成は上述したもの
に限らず、シリアル入力されるデータを、スキャンクロ
ックに応じてシフトしながらメモリ88にパラレル出力
できる機能をもつ回路であれば、どのような構成であっ
てもよい。同様に、前記部分C2の構成もメモリ88の
読み出しデータ線からパラレル入力されるデータを、ス
キャンクロックに応じてシリアルで出力できる回路であ
れば、どのような構成であってもよい。要するに部分C
1およびC2は、スキャン・チェインとしての機能をも
つ回路であれば、その構成は問わない。
The configuration of the part C1 is not limited to the above-described one. Any circuit having a function of shifting serially input data to the memory 88 while shifting it according to the scan clock can be used. It may be a configuration. Similarly, the configuration of the portion C2 may be any configuration as long as the circuit can serially output data input in parallel from the read data line of the memory 88 according to the scan clock. In short, part C
1 and C2 may have any configuration as long as they are circuits having a function as a scan chain.

【0066】なお、メモリ88の書き込みデータ線D1
〜D2にデータが入力される順番は、以上の説明のよう
に最下位のD1からでもよく、逆に最上位のD2からで
もよい。同様に、メモリ88の読み出しデータ線Q1〜
Q2からデータが出力される順番も、最下位のQ1から
でもよく、逆に最上位のD2からでもよい。
The write data line D1 of the memory 88
The order in which data is input to .about.D2 may be from the lowest D1 as described above, or may be from the highest D2. Similarly, the read data lines Q1 to Q1 of the memory 88
The order in which data is output from Q2 may be from the lowest Q1, or vice versa.

【0067】さらにメモリ88に対する書き込みは最下
位D1からで、読み出しは最上位Q2からというように
両者が不一致であってもよい。その点をテスト用の外部
機器が認識して、ワードごとにローテイトするなど必要
な処理を施せばよいからである。
Further, the data may be written in the memory 88 from the lowermost bit D1 and read out from the uppermost bit Q2. This is because the test external device recognizes this point and performs necessary processing such as rotating each word.

【0068】なお、請求項で述べたメモリの周辺回路に
は、内部ロジック61も含み得るものとする。したがっ
て前記メモリ装置には、ASICなども包含され得る。
It is assumed that the peripheral circuit of the memory described in the claims can also include the internal logic 61. Therefore, the memory device may include an ASIC or the like.

【0069】また本発明の適用範囲は、ASICに限ら
ず、メモリを含んだICのテストや、メモリICを搭載
した基板を外部からテストする場合などにも使用可能で
ある。
The scope of application of the present invention is not limited to ASICs, but can also be used for testing ICs including a memory, and for externally testing a substrate on which a memory IC is mounted.

【0070】すなわち本発明は、所定のテストパターン
に応じてメモリに対する書き込み、読み出しを行い、読
み出したデータを調べることによってメモリ及びその周
辺回路からなるメモリ装置の動作の正常性を検査する場
合に、広く適用することができる。
That is, according to the present invention, when the normality of the operation of the memory device including the memory and its peripheral circuit is checked by writing and reading to and from the memory in accordance with a predetermined test pattern and examining the read data, Can be widely applied.

【0071】[0071]

【発明の効果】以上のように、本発明によれば、マーチ
パターンなどの書き込みと読み出しの切り換えが繰り返
されるテストパターンを使用した試験でも、タイミング
エラーを起こしにくいうえに、タイミング上の制約が少
ないため試験時間が短く、試験で使用される外部機器の
負担が小さい。
As described above, according to the present invention, even in a test using a test pattern in which switching between writing and reading such as a march pattern is repeated, a timing error hardly occurs, and timing restrictions are reduced. Therefore, the test time is short, and the load on external devices used in the test is small.

【図面の簡単な説明】[Brief description of the drawings]

【図1】実施形態に係るASICの構成を示す概略図で
ある。
FIG. 1 is a schematic diagram illustrating a configuration of an ASIC according to an embodiment.

【図2】従来のASICの構成を示す概略図である。FIG. 2 is a schematic diagram showing a configuration of a conventional ASIC.

【図3】従来のASICの構成を示す概略図である。FIG. 3 is a schematic diagram showing a configuration of a conventional ASIC.

【図4】実施形態に係るASICの入力側スキャンFF
部分の詳細構成である。
FIG. 4 illustrates an input scan FF of the ASIC according to the embodiment.
It is a detailed configuration of a part.

【図5】実施形態に係るASICのタイムチャートであ
る。
FIG. 5 is a time chart of the ASIC according to the embodiment.

【符号の説明】[Explanation of symbols]

10,30,60…ASIC、11〜13,14,31
〜37,62〜68…ピン、20〜22,78〜81,
96…マルチプレクサ、23,46,88…メモリ、8
4B〜86B,90〜91…Dフリップフロップ、5
0,81,95…スキャン・チェイン、S0〜S2…ス
キャンクロック、R1〜R5…読み出し期間、W1〜W
4…書き込み期間。
10, 30, 60: ASIC, 11 to 13, 14, 31
... 37,62-68 ... pins, 20-22,78-81,
96 ... multiplexer, 23, 46, 88 ... memory, 8
4B-86B, 90-91 ... D flip-flop, 5
0, 81, 95: scan chain, S0 to S2: scan clock, R1 to R5: readout period, W1 to W
4: Write period.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 大庭 健 東京都港区虎ノ門1丁目7番12号 沖電気 工業株式会社内 ────────────────────────────────────────────────── ─── Continued on the front page (72) Inventor Takeshi Oba 1-7-12 Toranomon, Minato-ku, Tokyo Oki Electric Industry Co., Ltd.

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】 所定のテストパターンに応じてメモリに
対する書き込み、読み出しを行い、読み出したデータを
調べることによってメモリ及びその周辺回路からなるメ
モリ装置の動作の正常性を検査する電子回路の試験装置
において、 当該メモリの入力回路を構成する複数の単位記憶回路を
結合する第1のスキャン・チェインと、 前記テストパターンに応じたスキャン・データを、この
第1のスキャン・チェインを通じて前記メモリに書き込
む書き込み手段と、 さらに、当該メモリの出力回路を構成する複数の単位記
憶回路を結合する第2のスキャン・チェインと、 書き込まれたスキャン・データを、この第2のスキャン
・チェインを通じて前記メモリから読み出す読み出し手
段とを備えることを特徴とする電子回路の試験装置。
1. An electronic circuit test apparatus for writing and reading data to and from a memory in accordance with a predetermined test pattern and checking the read data to check the normal operation of a memory device including the memory and its peripheral circuits. A first scan chain for coupling a plurality of unit storage circuits constituting an input circuit of the memory; and a writing means for writing scan data corresponding to the test pattern to the memory through the first scan chain. A second scan chain that couples a plurality of unit storage circuits that constitute an output circuit of the memory; and a reading unit that reads out the written scan data from the memory through the second scan chain. A test apparatus for an electronic circuit, comprising:
【請求項2】 請求項1の電子回路の試験装置におい
て、 外部機器を接続するために設けられた前記メモリ装置の
外部端子と前記入力回路とのあいだにマルチプレクサを
設けて、当該外部端子を試験時にも通常時にも使用する
ことを特徴とする電子回路の試験装置。
2. The electronic circuit test apparatus according to claim 1, wherein a multiplexer is provided between an external terminal of the memory device provided for connecting an external device and the input circuit, and the external terminal is tested. An electronic circuit testing device characterized by being used both at normal and normal times.
【請求項3】 請求項1の電子回路の試験装置におい
て、 外部機器を接続するために設けられた前記メモリ装置の
外部端子と前記出力回路とのあいだにマルチプレクサを
設けて、当該外部端子を試験時にも通常時にも使用する
ことを特徴とする電子回路の試験装置。
3. The electronic circuit test apparatus according to claim 1, wherein a multiplexer is provided between an external terminal of the memory device provided for connecting an external device and the output circuit, and the external terminal is tested. An electronic circuit testing device characterized by being used both at normal and normal times.
【請求項4】 請求項1〜3の電子回路の試験装置にお
いて、 前記メモリ装置は、前記メモリのほかに所定の機能を発
揮するための内部ロジックを備えた特定用途向け集積回
路であことを特徴とする電子回路の試験装置。
4. The test apparatus for an electronic circuit according to claim 1, wherein the memory device is an application-specific integrated circuit having an internal logic for performing a predetermined function in addition to the memory. Characteristic electronic circuit test equipment.
JP10147238A 1998-05-28 1998-05-28 Testing apparatus for electronic circuit Pending JPH11339497A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10147238A JPH11339497A (en) 1998-05-28 1998-05-28 Testing apparatus for electronic circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10147238A JPH11339497A (en) 1998-05-28 1998-05-28 Testing apparatus for electronic circuit

Publications (1)

Publication Number Publication Date
JPH11339497A true JPH11339497A (en) 1999-12-10

Family

ID=15425708

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10147238A Pending JPH11339497A (en) 1998-05-28 1998-05-28 Testing apparatus for electronic circuit

Country Status (1)

Country Link
JP (1) JPH11339497A (en)

Similar Documents

Publication Publication Date Title
US7168005B2 (en) Programable multi-port memory BIST with compact microcode
US5960008A (en) Test circuit
JPH06500392A (en) Integrated circuits and how to test them
US20030056183A1 (en) Scan test circuit, and semiconductor integrated circuit including the circuit
TW200424842A (en) Method and apparatus for testing embedded cores
US8185788B2 (en) Semiconductor device test system with test interface means
US7844867B1 (en) Combined processor access and built in self test in hierarchical memory systems
JP3287539B2 (en) Processing system with test mechanism
JP2003346500A (en) Semiconductor integrated circuit and its test method
US6198669B1 (en) Semiconductor integrated circuit
US7380183B2 (en) Semiconductor circuit apparatus and scan test method for semiconductor circuit
JPH11352188A (en) Semiconductor device
US5894548A (en) Semiconductor device having test circuit
JPH0743429A (en) Physical address conversion circuit
US6834368B2 (en) Semiconductor integrated circuit including a test facilitation circuit for functional blocks intellectual properties and automatic insertion method of the same test facilitation circuit
US6647522B1 (en) Semiconductor devices having multiple memories
JPH11108998A (en) Integrated circuit-testing apparatus
CN100442072C (en) Test circuit and test method thereof
US6327683B1 (en) Device scan testing
JPH11339497A (en) Testing apparatus for electronic circuit
US20050028059A1 (en) Processor interface for test access port
JPS61217839A (en) Scan system
JP2002286803A (en) Semiconductor integrated circuit
CN116994638A (en) Test circuit and method for reading memory device data in memory dump operation
JPS63193238A (en) Address scanning system

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050519

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080219

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080624