JPH11271974A - Novel acrylic monomer, novel acrylic polymer, resist composition and pattern forming method using same - Google Patents

Novel acrylic monomer, novel acrylic polymer, resist composition and pattern forming method using same

Info

Publication number
JPH11271974A
JPH11271974A JP10074295A JP7429598A JPH11271974A JP H11271974 A JPH11271974 A JP H11271974A JP 10074295 A JP10074295 A JP 10074295A JP 7429598 A JP7429598 A JP 7429598A JP H11271974 A JPH11271974 A JP H11271974A
Authority
JP
Japan
Prior art keywords
group
resist
optionally substituted
carbon atoms
embedded image
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10074295A
Other languages
Japanese (ja)
Inventor
Atsushi Sone
篤 曽根
Yasuo Tsunokai
靖男 角替
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zeon Corp
Original Assignee
Nippon Zeon Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Zeon Co Ltd filed Critical Nippon Zeon Co Ltd
Priority to JP10074295A priority Critical patent/JPH11271974A/en
Publication of JPH11271974A publication Critical patent/JPH11271974A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To obtain a resist compsn. which can be exposed even at short wavelength, gives stable pattern characteristics and is excellent in dry etching resistance and transparency by using a specified monomer. SOLUTION: The resist compsn. contains a polymer of a monomer represented by the formula and an acid generating agent. In the formula, R is H or 1-3C optionally substd. alkyl, R' is 1-6C optionally substd. alkyl and Z is plural atoms required to complete an optionally substd. alicyclic hydrocarbon group having at least two norbornane skeletons in combination with C. The resist compsn. may further contain other additives such as amines. When amines are used, resist characteristics such as resolution, pattern shape and mask linearity can be enhanced even if active light of short wavelength such as ArF excimer laser is used as a light source for exposure.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は新規なモノマー、当
該モノマー同士または当該モノマーと他の重合性化合物
とを重合したポリマー、酸と反応して脱離する2個のノ
ルボルナン骨格を含有するポリマーを含むレジスト組成
物及び当該レジスト組成物を使用したパターン形成方法
に関する。
TECHNICAL FIELD The present invention relates to a novel monomer, a polymer obtained by polymerizing the monomers or the monomer and another polymerizable compound, and a polymer containing two norbornane skeletons which are eliminated by reacting with an acid. The present invention relates to a resist composition containing the same and a pattern forming method using the resist composition.

【0002】[0002]

【従来の技術】半導体素子の製造には、シリコンウエハ
表面にレジストを塗布して感光膜を形成する工程、光を
照射して潜像を形成する工程、次いでそれを現像してネ
ガまたはポジの画像を形成するリソグラフィー技術によ
って画像を得る工程が含まれる。ところで、IC、LS
I、更にVLSIへの半導体の高集積化、高密度化、小
型化、高速化が進むにつれ素子の微細加工に対する要求
は高まり、現在では0.5μm以下の微細パターンを形
成する技術が要求されている。しかしながら、近紫外線
や可視光線を用いる従来のリソグラフィーではこのよう
な微細パターンの形成がきわめて困難であり、歩留りの
低下も著しい。このため、波長350−450nmの近
紫外線光を利用する従来のリソグラフィーに代えて、露
光の解像度を高めるために、波長の短い遠紫外線(短波
長紫外線)、波長248nmのKrFエキシマレーザ、
波長193nmのArFエキシマレーザなどを用いるリ
ソグラフィー技術が研究されている。従来の近紫外線光
を利用するリソグラフィーでは、基体高分子としてノボ
ラック樹脂などのアルカリ可溶性フェノール樹脂が使用
されている。この樹脂は波長350−450nmの近紫
外線光に対する透過性は良好なものの、それより短波長
の遠紫外線光、真空紫外線光に対しては光を吸収するた
めに透過性が悪化し、またArFエキシマレーザなど更
に短波長の光に対してはベンゼン環が光を吸収するため
透過率が極端に悪化し、十分な感度が得られない、パタ
ーン形状が悪いといった問題点が知られている。したが
って、短波長での透明性に優れた樹脂が求められてお
り、ベンゼン環を持たないメタクリル酸エステル系共重
合樹脂を用いることが検討されている。しかし、ベンゼ
ン環を樹脂中に含まないとドライエッチング耐性が著し
く低下するという問題がある。この問題を解決するため
に、エステル部分にアダマンタン骨格やノルボルナン骨
格を有するポリマーが検討されている(特開平4−39
665号公報、特開平5−257284号公報、特開平
5−265212号公報、特開平7−234511号公
報)。しかし、アダマンタン骨格を有するポリマーは現
像時にレジストに歪みがかかり、クラックやパターンの
剥がれが生じやすいという問題があり、また、ノルボル
ナン骨格を有するポリマーはドライエッチング耐性が十
分ではないという問題があった。
2. Description of the Related Art In the manufacture of semiconductor devices, a step of forming a photosensitive film by applying a resist on the surface of a silicon wafer, a step of irradiating light to form a latent image, and then developing the latent image to form a negative or positive The step of obtaining an image by a lithography technique for forming an image is included. By the way, IC, LS
I. Furthermore, as semiconductors become more highly integrated, denser, smaller, and faster in VLSIs, the demand for fine processing of devices increases. At present, technology for forming fine patterns of 0.5 μm or less is required. I have. However, it is extremely difficult to form such a fine pattern by conventional lithography using near ultraviolet light or visible light, and the yield is significantly reduced. For this reason, instead of conventional lithography using near-ultraviolet light having a wavelength of 350 to 450 nm, a KrF excimer laser with a short wavelength of far ultraviolet light (short-wave ultraviolet light) and a wavelength of 248 nm is used in order to increase the resolution of exposure.
A lithography technique using an ArF excimer laser with a wavelength of 193 nm is being studied. In conventional lithography using near-ultraviolet light, an alkali-soluble phenol resin such as a novolak resin is used as a base polymer. Although this resin has good transparency to near ultraviolet light having a wavelength of 350-450 nm, it absorbs far ultraviolet light and vacuum ultraviolet light having shorter wavelengths, and thus has poor transparency. For light of shorter wavelength such as laser light, the transmittance is extremely deteriorated because the benzene ring absorbs light, so that there is a problem that sufficient sensitivity cannot be obtained and the pattern shape is poor. Therefore, a resin excellent in transparency at a short wavelength is required, and use of a methacrylate copolymer resin having no benzene ring is being studied. However, there is a problem that the dry etching resistance is significantly reduced unless a benzene ring is contained in the resin. In order to solve this problem, a polymer having an adamantane skeleton or a norbornane skeleton in an ester portion has been studied (Japanese Patent Laid-Open No. Hei 4-39).
665, JP-A-5-257284, JP-A-5-265212, and JP-A-7-234511. However, a polymer having an adamantane skeleton has a problem in that the resist is distorted during development and cracks and peeling of a pattern are likely to occur, and a polymer having a norbornane skeleton has a problem that its dry etching resistance is not sufficient.

【0003】[0003]

【発明が解決しようとする課題】かかる従来技術のも
と、発明者らは、新たな短波長露光が可能なレジスト組
成物を得るべく鋭意研究した結果、ある種のノルボルナ
ン骨格を結合させたポリマーは、短波長でも露光できて
安定したパターン特性を示すばかりでなく、ドライエッ
チング耐性やさらに透明性にも優れることを見いだし、
本発明を完成するに至った。
Based on such prior art, the present inventors have conducted intensive studies to obtain a new resist composition capable of short-wavelength exposure, and as a result, have found that a polymer having a certain type of norbornane skeleton bonded thereto. Can be exposed even at short wavelengths and show not only stable pattern characteristics, but also excellent dry etching resistance and transparency.
The present invention has been completed.

【0004】[0004]

【課題を解決するための手段】本発明によれば、下式
(1)で示される新規なモノマーが提供される。
According to the present invention, there is provided a novel monomer represented by the following formula (1).

【0005】[0005]

【化4】 (式中、Rは、水素原子または炭素数1−3の置換され
ていてもよいアルキル基であり;R’は、炭素数1−6
の置換されていてもよいアルキル基であり;Zは、記載
のCと共に少なくとも2個のノルボルナン骨格を有する
置換されていてもよい脂環式炭化水素基を完成するのに
必要な複数個の原子を表す) また、本発明によれば下式(2)で示される構造単位を
有する新規なポリマーが提供される。
Embedded image (Wherein, R is a hydrogen atom or an optionally substituted alkyl group having 1-3 carbon atoms; R ′ is 1-6 carbon atoms)
Is an optionally substituted alkyl group; and Z is a plurality of atoms necessary to complete an optionally substituted alicyclic hydrocarbon group having at least two norbornane skeletons together with the described C. According to the present invention, a novel polymer having a structural unit represented by the following formula (2) is provided.

【0006】[0006]

【化5】 (式中、Rは、水素原子または炭素数1−3の置換され
ていてもよいアルキル基であり;R’は、炭素数1−6
の置換されていてもよいアルキル基であり;Zは、記載
のCと共に少なくとも2個のノルボルナン骨格を有する
置換されていてもよい脂環式炭化水素基を完成するのに
必要な複数個の原子を表し;nは、2〜100,000
の整数である) さらに、本発明によれば、下式(3)で示される酸と反
応して脱離する基を含有する構造単位を含むポリマーお
よび酸発生剤を含有することを特徴とするレジスト組成
物及び当該レジスト組成物を基板上に塗布する工程と波
長180−250nmの光源を用いて露光する工程を含
むことを特徴とするパターン形成方法が提供される。
Embedded image (Wherein, R is a hydrogen atom or an optionally substituted alkyl group having 1-3 carbon atoms; R ′ is 1-6 carbon atoms)
Is an optionally substituted alkyl group; and Z is a plurality of atoms necessary to complete an optionally substituted alicyclic hydrocarbon group having at least two norbornane skeletons together with the described C. Represents 2 to 100,000
Further, according to the present invention, it comprises a polymer containing a structural unit containing a group capable of reacting with an acid represented by the following formula (3) and leaving, and an acid generator. Provided is a resist composition, a pattern forming method including a step of applying the resist composition on a substrate and a step of exposing using a light source having a wavelength of 180 to 250 nm.

【0007】[0007]

【化6】 (式中、R’は、炭素数1−6の置換されていてもよい
アルキル基であり;Zは、記載のCと共に少なくとも2
個のノルボルナン骨格を有する置換されていてもよい脂
環式炭化水素基を完成するのに必要な複数個の原子を表
す)
Embedded image Wherein R ′ is an optionally substituted alkyl group having 1-6 carbon atoms; Z is at least 2
Represents a plurality of atoms necessary for completing an optionally substituted alicyclic hydrocarbon group having a norbornane skeleton)

【0008】[0008]

【発明の実施の形態】(モノマー)本発明は、下式
(1)で示される新規なモノマーに関し、このモノマー
は、たとえばレジスト用ポリマー合成原料に好適に使用
される。
BEST MODE FOR CARRYING OUT THE INVENTION (Monomer) The present invention relates to a novel monomer represented by the following formula (1), and this monomer is suitably used, for example, as a raw material for synthesizing a resist polymer.

【0009】[0009]

【化7】 (式中、Rは、水素原子または炭素数1−3の置換され
ていてもよいアルキル基であり;R’は、炭素数1−6
の置換されていてもよいアルキル基であり;Zは、記載
のCと共に少なくとも2個のノルボルナン骨格を有する
置換されていてもよい脂環式炭化水素基を完成するのに
必要な複数個の原子を表す)
Embedded image (Wherein, R is a hydrogen atom or an optionally substituted alkyl group having 1-3 carbon atoms; R ′ is 1-6 carbon atoms)
Is an optionally substituted alkyl group; and Z is a plurality of atoms necessary to complete an optionally substituted alicyclic hydrocarbon group having at least two norbornane skeletons together with the described C. Represents)

【0010】 上記の式(1)において、Rは、好ましくは水素原子、
メチル基、エチル基、n−プロピル基であり、さらに好
ましくは水素原子、メチル基である。Rの置換基は特に
限定されないが、適当な置換基としては、フッ素原子、
塩素原子、臭素原子およびヨウ素原子などのハロゲン原
子;メチル基、エチル基、イソプロピル基、t−ブチル
基などの炭素数1−4のアルキル基;メトキシ基、エト
キシ基、イソプロポキシ基、t−ブトキシ基などの炭素
数1−4のアルコキシ基などが挙げられる。レジスト用
途に使用する場合は、露光波長でのレジスト組成物の透
明性を高める上で、また製造が簡便であることから置換
されていないものが好ましい。
R In the above formula (1), R is preferably a hydrogen atom,
It is a methyl group, an ethyl group or an n-propyl group, and more preferably a hydrogen atom or a methyl group. Although the substituent of R is not particularly limited, suitable substituents include a fluorine atom,
A halogen atom such as a chlorine atom, a bromine atom and an iodine atom; an alkyl group having 1 to 4 carbon atoms such as a methyl group, an ethyl group, an isopropyl group and a t-butyl group; a methoxy group, an ethoxy group, an isopropoxy group and a t-butoxy group And an alkoxy group having 1 to 4 carbon atoms such as a group. When used for resist applications, those not substituted are preferred in order to enhance the transparency of the resist composition at the exposure wavelength and because the production is simple.

【0011】R’ 上記の式(1)において、R’は、好ましくはメチル
基、エチル基、n−プロピル基、n−ブチル基であり、
さらに好ましくはメチル基、エチル基である。R’の置
換基は、Rの置換基として挙げたものと同様である。
R ′ In the above formula (1), R ′ is preferably a methyl group, an ethyl group, an n-propyl group, an n-butyl group,
More preferred are a methyl group and an ethyl group. The substituents for R ′ are the same as those described as the substituents for R.

【0012】CとZで構成される環構造 上記の式(1)のCとZで構成される環構造は、好まし
くは下式(4)で示される。
The ring structure composed of C and Z The ring structure composed of C and Z in the above formula (1) is preferably represented by the following formula (4).

【0013】[0013]

【化8】 (式中、mおよびqは、0,1,2または3であり;n
は、0または1であり;但し、m+q≧1であり;p
は、0または1であり;R1 からR20は、それぞれ独立
に、水素原子,ハロゲン原子,置換または非置換の炭化
水素基,アルコキシ基,アルキルカルボニルオキシ基、
シアノ基またはシリル基を示し;但し、R17とR18,R
19とR20またはR18とR19は、互いに結合して置換され
ていてもよいアルキリデン基を形成してもよく、またR
18とR19とで炭素−炭素二重結合を形成してもよい) 式中の結合手が結合する環構造中の炭素原子は、上述し
たR’が置換している。
Embedded image Wherein m and q are 0, 1, 2 or 3; n
Is 0 or 1, provided that m + q ≧ 1; p
Is 0 or 1; R 1 to R 20 are each independently a hydrogen atom, a halogen atom, a substituted or unsubstituted hydrocarbon group, an alkoxy group, an alkylcarbonyloxy group,
A cyano group or a silyl group; provided that R 17 , R 18 , R
R 19 and R 20 or R 18 and R 19 may be bonded to each other to form an optionally substituted alkylidene group;
18 and carbon in the R 19 - carbon atoms in the ring structure which bond to bond may form a carbon-carbon double bond) in the formula, the above-mentioned R 'is substituted.

【0014】m、n、p、q 上記の式(4)のm、n、p、qに関する定義に記載の
とおり、CとZで構成される環構造は、ノルボルナン骨
格を2個−5個、好ましくは2−3個、さらに好ましく
は2個有する。これらのノルボルナン骨格は隣接してい
ても、5員または6員の脂環式骨格を介在させたもので
あってもよい。より具体的にはm+q=1−4、より好
ましくはm+q=1−2、更に好ましくはm+q=1で
あり、nは0又は1、pは0又は1である。
M , n, p, q As described in the definition of m, n, p, and q in the above formula (4), the ring structure composed of C and Z has 2 to 5 norbornane skeletons. , Preferably 2-3, more preferably 2. These norbornane skeletons may be adjacent to each other or may have a 5-membered or 6-membered alicyclic skeleton interposed therebetween. More specifically, m + q = 1-4, more preferably m + q = 1-2, and still more preferably m + q = 1, n is 0 or 1, and p is 0 or 1.

【0015】1 −R20 上記の式(4)に記載のとおり、R1 からR20は、それ
ぞれ独立に、水素原子、ハロゲン原子、置換されていて
もよい炭化水素基、アルコキシ基、アルキルカルボニル
オキシ基、シアノ基またはシリル基である。ハロゲン原
子としては、フッ素原子、塩素原子、臭素原子およびヨ
ウ素原子が挙げられる。炭化水素基としては、好ましく
は炭素数1−20の鎖状または環状の炭化水素基が挙げ
られ;さらに好ましくは、炭素数1−20、好ましくは
1−10、より好ましくは1−6の鎖状アルキル基;炭
素数2−20、好ましくは2−10、より好ましくは2
−6の鎖状アルケニル基;炭素数3−15、好ましくは
3−8、より好ましくは5−6の環状アルキル基;炭素
数6−12、好ましくは6−8、より好ましくは6のア
リール基が挙げられる。アルコキシ基としては、炭素数
1−20、好ましくは1−10、より好ましくは1−6
の鎖状アルコキシ基が挙げられる。アルキルカルボニル
オキシ基としては、例えばメチルカルボニルオキシ基、
エチルカルボニルオキシ基、プロピルカルボニルオキシ
基、イソプロピルカルボニルオキシ基などの炭素数1−
6の低級アルキルカルボニルオキシ基が挙げられる。ア
ルキリデン基としては、例えばメチリデン基、エチリデ
ン基、プロピリデン基、イソプロピリデン基などの炭素
数1−6の低級アルキリデン基が挙げられる。これらの
中でも水素原子と炭化水素基がR1 −R20として好まし
く、さらに水素原子と鎖状のアルキル基が好ましい。炭
化水素基、アルコキシ基、アルキルカルボニルオキシ
基、シアノ基、シリル基およびアルキリデン基の置換基
は、Rの置換基として挙げたものと同様である。
R 1 -R 20 As described in the above formula (4), R 1 to R 20 each independently represent a hydrogen atom, a halogen atom, an optionally substituted hydrocarbon group, an alkoxy group, an alkyl group, It is a carbonyloxy group, a cyano group or a silyl group. Examples of the halogen atom include a fluorine atom, a chlorine atom, a bromine atom and an iodine atom. The hydrocarbon group preferably includes a chain or cyclic hydrocarbon group having 1 to 20 carbon atoms; more preferably, a chain having 1 to 20 carbon atoms, preferably 1 to 10, and more preferably 1 to 6 carbon atoms. Alkyl group; having 2-20 carbon atoms, preferably 2-10 carbon atoms, more preferably 2 carbon atoms.
A chain alkenyl group having -6; a cyclic alkyl group having 3-15, preferably 3-8, and more preferably 5-6 carbon atoms; an aryl group having 6-12, preferably 6-8, and more preferably 6 carbon atoms Is mentioned. The alkoxy group has 1 to 20 carbon atoms, preferably 1 to 10 carbon atoms, and more preferably 1 to 6 carbon atoms.
A chain alkoxy group. Examples of the alkylcarbonyloxy group include a methylcarbonyloxy group,
1-carbon atoms such as an ethylcarbonyloxy group, a propylcarbonyloxy group, and an isopropylcarbonyloxy group;
6 lower alkylcarbonyloxy groups. Examples of the alkylidene group include lower alkylidene groups having 1 to 6 carbon atoms, such as a methylidene group, an ethylidene group, a propylidene group, and an isopropylidene group. Among them, a hydrogen atom and a hydrocarbon group are preferable as R 1 to R 20 , and a hydrogen atom and a chain alkyl group are more preferable. The substituents of the hydrocarbon group, the alkoxy group, the alkylcarbonyloxy group, the cyano group, the silyl group and the alkylidene group are the same as those described as the substituent of R.

【0016】基本骨格 上記の式(4)で示される環構造の好ましい基本骨格
は、下記のようなものである。 (i)テトラシクロ[4.4.0.12.5 .17.10]−
3−ドデシル骨格、
Preferred basic skeleton of the ring structure represented by the basic skeleton above formula (4) is as follows. (I) tetracyclo [4.4.0.1 2.5. 1 7.10 ]-
3-dodecyl skeleton,

【0017】[0017]

【化9】 (ii) ペンタシクロ[7.4.0.12.5
9.12.08.13]−3−ペンタデシル骨格、
Embedded image (Ii) pentacyclo [7.4.0.1 2.5 .
19.12 . 0 8.13 ] -3-pentadecyl skeleton,

【0018】[0018]

【化10】 (iii) ペンタシクロ[8.4.0.12.5 .19.12
8.13]−3−ヘキサデシル骨格、
Embedded image (Iii) pentacyclo [8.4.0.1 2.5. 19.12 .
0 8.13 ] -3-hexadecyl skeleton,

【0019】[0019]

【化11】 (iv) ペンタシクロ[6.5.1.13.6 .02.7
9.14]−4−ペンタデシル骨格、
Embedded image (Iv) Pentacyclo [6.5.1.1 3.6 . 0 2.7 .
0 9.14 ] -4-pentadecyl skeleton,

【0020】[0020]

【化12】 (v) ペンタシクロ[6.6.1.13.6 .02.7 .0
9.14]−4−ヘキサデシル骨格、
Embedded image (V) Pentacyclo [6.6.1.1 3.6 . 0 2.7 . 0
9.14 ] -4-Hexadecyl skeleton,

【0021】[0021]

【化13】 (vi) ヘキサシクロ[6.6.1.13.6
10.13 .02.7 .09.14]−4−ヘプタデシル骨格、
Embedded image (Vi) Hexacyclo [6.6.1.1 3.6 .
1 10.13 . 0 2.7 . 09.14 ] -4-heptadecyl skeleton,

【0022】[0022]

【化14】 (vii) ヘプタシクロ[8.7.0.12.9 .14.7
11.17 .03.8 .0 12.16 ]−5−エイコシル骨格、
Embedded image(Vii) heptacyclo [8.7.0.12.9. 14.7.
111.17. 03.8. 0 12.16] -5-eicosyl skeleton,

【0023】[0023]

【化15】 (viii) ヘプタシクロ[8.8.0.12.9
4.7 .111.17 .03.8 .012.16 ]−5−エイコシ
ル骨格。
Embedded image (Viii) Heptacyclo [8.8.0.1 2.9 .
1 4.7 . 1 11.17 . 0 3.8 . 0 12.16 ] -5-eicosyl skeleton.

【0024】[0024]

【化16】 Embedded image

【0025】これらの基としては、具体的には、無置換
のテトラシクロ[4.4.0.12. 5 .17.10]−3−
ドデシル基;3位がモノメチル置換、モノエチル置換、
モノn−プロピル置換またはモノn−ブチル置換された
テトラシクロ[4.4.0.12.5 .17.10]−3−ド
デシル基;4位がモノメチル置換、モノエチル置換、モ
ノn−プロピル置換またはモノn−ブチル置換されたテ
トラシクロ[4.4.0.12.5 .17.10]−3−ドデ
シル基;9位がモノメチル置換、モノエチル置換、モノ
n−プロピル置換またはモノn−ブチル置換されたテト
ラシクロ[4.4.0.12.5 .17.10]−3−ドデシ
ル基;3位がモノメチル置換であり、11位がジメチル
置換であるテトラシクロ[4.4.0.12.5
7.10]−3−ドデシル基;3位がモノエチル置換であ
り、11位がジメチル置換であるテトラシクロ[4.
4.0.12.5 .17.10]−3−ドデシル基、3位がモ
ノn−プロピル置換であり、11位がジメチル置換であ
るテトラシクロ[4.4.0.12. 5 .17.10]−3−
ドデシル基;3位がモノn−ブチル置換であり、11位
がジメチル置換であるテトラシクロ[4.4.0.1
2.5 .17.10]−3−ドデシル基;2位がモノメチル置
換であり、11位がジメチル置換であるテトラシクロ
[4.4.0.12.5 .17.10]−3−ドデシル基;2
位がモノエチル置換であり、11位がジメチル置換であ
るテトラシクロ[4.4.0.12.5 .17.10]−3−
ドデシル基、3位がモノn−プロピル置換であり、11
位がジメチル置換であるテトラシクロ[4.4.0.1
2.5 .17.10]−3−ドデシル基;2位がモノn−ブチ
ル置換であり、11位がジメチル置換であるテトラシク
ロ[4.4.0.12.5 .17.10]−3−ドデシル基;
無置換のペンタシクロ[6.5.1.13.6 .02.7
9.13]−4−ペンタデシル基;3位がモノメチル置
換、モノエチル置換、モノn−プロピル置換またはモノ
n−ブチル置換されたペンタシクロ[6.5.1.1
3.6 .02.7 .09.13]−4−ペンタデシル基;2位が
モノメチル置換、モノエチル置換、モノn−プロピル置
換またはモノn−ブチル置換されたペンタシクロ[6.
5.1.13.6 .02.7 .09.14]−4−ペンタデシル
基;無置換のペンタシクロ[6.6.1.13.6 .0
2.7 .09.14]−4−ヘキサデシル基;4位がモノメチ
ル置換、モノエチル置換、モノn−プロピル置換または
モノn−ブチル置換されたペンタシクロ[6.6.1.
3.6 .02.7 .09.14]−4−ヘキサデシル基;3位
がモノメチル置換、モノエチル置換、モノn−プロピル
置換またはモノn−ブチル置換され14位がジメチル置
換であるペンタシクロ[6.6.1.13.6 .02.7
9.14]−4−ヘキサデシル基などが挙げられる。
[0025] These groups, specifically, unsubstituted tetracyclo [4.4.0.1 2. 5. 1 7.10 ] -3-
Dodecyl group; 3-position is monomethyl-substituted, monoethyl-substituted,
Mono-n- propyl-substituted or mono-n- butyl-substituted tetracyclo [4.4.0.1 2.5. 1 7.10] -3-dodecyl group; 4-position monomethyl substituted, monoethyl substituted, mono-n- propyl-substituted or mono-n- butyl-substituted tetracyclo [4.4.0.1 2.5. 1 7.10] -3-dodecyl group; 9-position monomethyl substituted, monoethyl substituted, mono-n- propyl-substituted or mono-n- butyl-substituted tetracyclo [4.4.0.1 2.5. 1 7.10] -3-dodecyl group; 3-position is the monomethyl substituted, tetracyclo [4.4.0.1 2.5 11-position is dimethyl substituted.
17.10 ] -3-dodecyl group; tetracyclo [3.
4.0.1 2.5 . 1 7.10] -3-dodecyl group, the 3-position is mono-n- propyl-substituted, tetracyclo [4.4.0.1 2. 5 11-position is dimethyl substituted. 1 7.10 ] -3-
Dodecyl group; tetracyclo [4.4.0.1 in which 3-position is mono-n-butyl-substituted and 11-position is dimethyl-substituted
2.5 . 1 7.10] -3-dodecyl group; 2-position is the monomethyl substituted, tetracyclo [4.4.0.1 2.5 11-position is dimethyl substituted. 17.10 ] -3-dodecyl group; 2
Tetracyclo [4.4.0.1 2.5 . 1 7.10 ] -3-
Dodecyl group, 3-position is mono-n-propyl substituted, 11
Tetracyclo [4.4.0.1 substituted at the dimethyl position
2.5 . 1 7.10] -3-dodecyl group; 2-position is mono-n- butyl-substituted, tetracyclo [4.4.0.1 2.5 11-position is dimethyl substituted. 17.10 ] -3-dodecyl group;
Unsubstituted pentacyclo [6.5.1.1 3.6 . 0 2.7 .
0 9.13 ] -4-pentadecyl group; pentacyclo [6.5.1.1] substituted at the 3-position with monomethyl, monoethyl, mono-n-propyl or mono-n-butyl.
3.6 . 0 2.7 . 0 9.13 ] -4-pentadecyl group; pentacyclo [6. Monosubstituted, monoethyl-substituted, mono-n-propyl-substituted or mono-n-butyl-substituted 2-position];
5.1.1 3.6 . 0 2.7 . 0 9.14] -4-pentadecyl group; unsubstituted pentacyclo [6.6.1.1 3.6. 0
2.7 . 0 9.14 ] -4-hexadecyl group; pentacyclo [6.6.l. 4-substituted mono-, mono-, mono-n-propyl- or mono-n-butyl-substituted 4-position;
1 3.6 . 0 2.7 . 0 9.14] -4-hexadecyl; 3-position monomethyl substituted, monoethyl substituted, pentacyclo [6.6.1.1 3.6 mono n- propyl substituted or mono-n- butyl-substituted 14-position is dimethyl substituted. 0 2.7 .
09.14 ] -4-hexadecyl group.

【0026】特に好ましいモノマー 下記に特に好ましい本発明のモノマーをいくつか列挙す
るが、本願発明はこれらの例示に限定されるものではな
い。
Particularly Preferred Monomers Some of the particularly preferred monomers of the present invention are listed below, but the present invention is not limited to these examples.

【0027】[0027]

【化17】 Embedded image

【0028】モノマーの合成法 本発明のモノマーは常法に従って合成することができ
る。下記にその一例を挙げる。
Method for synthesizing monomer The monomer of the present invention can be synthesized according to a conventional method. An example is given below.

【0029】[0029]

【化18】 Embedded image

【0030】反応条件(1) 上記の合成法(1)において、上段の反応および中段の
反応は、それぞれ、ORGANIC SYNTHESE
S P852、R.L.Snowden,Helv.C
him.Acta 66,1031(1983)に記載
されている。下段の反応については、およびを有機
溶媒に溶解させ、好ましくはトリエチルアミン、トリ−
n−ブチルアミン、ピリジンなどの3級アミンを添加し
て、反応温度−100℃〜50℃、好ましくは−50℃
〜30℃、より好ましくは−30℃〜20℃で、1〜4
8時間、好ましくは2〜24時間、より好ましくは3〜
12時間反応させることによってを得ることができ
る。有機溶媒としては、ジクロロメタン、クロロホル
ム、四塩化炭素、ベンゼン、トルエン、キシレン、テト
ラヒドロフラン、ジオキサンなどを挙げることができ
る。その中でも後の抽出工程を考えるとジクロロメタン
が好ましい。また、の反応仕込み比(モル比)
は、1:5〜5:1、好ましくは1:3〜3:
1、より好ましくは1:2〜2:1である。
Reaction conditions (1) In the above synthesis method (1), the upper reaction and the middle reaction were respectively performed by ORGANIC SYNTHESE.
SP852, R.P. L. Snowden, Helv. C
him. Acta 66, 1031 (1983). For the lower reaction, 1 and 2 are dissolved in an organic solvent, and preferably triethylamine, tri-
A tertiary amine such as n-butylamine or pyridine is added, and the reaction temperature is -100C to 50C, preferably -50C.
-30 ° C, more preferably -30 ° C-20 ° C, 1-4
8 hours, preferably 2 to 24 hours, more preferably 3 to
By reacting for 12 hours, 3 can be obtained. Examples of the organic solvent include dichloromethane, chloroform, carbon tetrachloride, benzene, toluene, xylene, tetrahydrofuran, dioxane and the like. Among them, dichloromethane is preferable in consideration of the subsequent extraction step. The reaction charge ratio (molar ratio) of 1 and 2
1 : 2 is 1: 5 to 5: 1, preferably 1: 3 to 3:
1, more preferably 1: 2 to 2: 1.

【0031】[0031]

【化19】 Embedded image

【0032】反応条件(2) 上記の合成法(2)において、上段の反応は、先に記載
のとおり、ORGANIC SYNTHESES P8
52に記載されている。中段の反応については、およ
びメチルリチウムを有機溶媒に溶解させ、反応温度−1
00℃〜20℃、好ましくは−50℃〜10℃、より好
ましくは−30℃〜0℃で、30分〜24時間、好まし
くは45分〜12時間、より好ましくは1時間〜8時間
反応させることによって、を得ることができる。有機
溶媒としては、テトラヒドロフラン、ジオキサン、エー
テル、ベンゼン、トルエンなどを挙げることができ、好
ましくはテトラヒドロフランである。また、とメチル
リチウムの反応仕込み比(モル比):CH3 Liは、
1:5〜1:1、好ましくは1:3〜1:1、より好ま
しくは1:2〜1:1である。下段の反応については、
およびを有機溶媒に溶解させ、反応温度−100℃
〜50℃、好ましくは−50℃〜30℃、より好ましく
は−30℃〜20℃で、30分〜24時間、好ましくは
45分〜12時間、より好ましくは1時間〜8時間反応
させることによって、を得ることができる。有機溶媒
としては、テトラヒドロフラン、ジオキサン、エーテ
ル、ベンゼン、トルエンなどを挙げることができ、好ま
しくはテトラヒドロフランである。また、の反応
仕込み比(モル比)は、1:5〜5:1、好まし
くは1:3〜3:1、より好ましくは1:2〜2:1で
ある。
Reaction conditions (2) In the above synthesis method (2), the reaction in the upper stage is, as described above, ORGANIC SYNTHESES P8
52. For the middle reaction, 4 and methyllithium were dissolved in an organic solvent, and the reaction temperature was -1.
The reaction is performed at 00C to 20C, preferably -50C to 10C, more preferably -30C to 0C, for 30 minutes to 24 hours, preferably 45 minutes to 12 hours, more preferably 1 hour to 8 hours. Thereby, 5 can be obtained. Examples of the organic solvent include tetrahydrofuran, dioxane, ether, benzene, toluene and the like, and preferred is tetrahydrofuran. In addition, the reaction charge ratio (molar ratio) of 4 and methyllithium 4 : CH 3 Li is
The ratio is 1: 5 to 1: 1, preferably 1: 3 to 1: 1, and more preferably 1: 2 to 1: 1. For the lower reaction,
5 and 6 were dissolved in an organic solvent, and the reaction temperature was -100 ° C.
By reacting at -50 ° C, preferably -50 ° C to 30 ° C, more preferably -30 ° C to 20 ° C, for 30 minutes to 24 hours, preferably 45 minutes to 12 hours, more preferably 1 hour to 8 hours. , 7 can be obtained. Examples of the organic solvent include tetrahydrofuran, dioxane, ether, benzene, toluene and the like, and preferred is tetrahydrofuran. The reaction charging ratio of 5 and 6 (molar ratio) 5: 6, 1: 5 to 5: 1, preferably 1: 3 to 3: 1, more preferably 1: 2 to 2: 1.

【0033】(ポリマー)本発明は、また式(2)で示
される構造単位を有するポリマーに関する。このポリマ
ーは、式(2)で示される構造単位のほかに他の構造単
位を含んでも良い。他の構造単位は、式(1)で示され
るモノマーと重合可能なモノマー由来の構造単位であれ
ば特に限定されず、後述する式(3)および式(4)以
外の酸と反応して脱離する基を有する構造単位や酸と反
応して脱離しない基を有する構造単位が挙げられる。ま
た、ポリマーの調製方法も特に限定されないが、後述す
るレジスト組成物用ポリマーの製造方法が好ましい。但
し、用いるモノマーとして、式(1)で示されるモノマ
ーを必ず用いるものとする。
(Polymer) The present invention also relates to a polymer having a structural unit represented by the formula (2). This polymer may contain other structural units in addition to the structural unit represented by the formula (2). The other structural unit is not particularly limited as long as it is a structural unit derived from a monomer polymerizable with the monomer represented by the formula (1), and is removed by reacting with an acid other than the formulas (3) and (4) described below. Examples include a structural unit having a leaving group and a structural unit having a group which does not leave by reacting with an acid. The method for preparing the polymer is not particularly limited, but a method for producing a polymer for a resist composition described below is preferable. However, the monomer represented by the formula (1) is necessarily used as the monomer to be used.

【0034】(レジスト組成物)本発明はまた、式
(3)好ましくは式(4)で示される酸と反応して脱離
する基を含有する構造単位(以下、構造単位Iという場
合がある)を含むポリマー及び酸発生剤(酸発生化合
物;PAG)を含有することを特徴とするレジスト組成
物に関する。構造単位I 構造単位Iは、式(3)好ましくは式(4)で示される
酸と反応して脱離する基(LG)を含有するLG LGは、酸と反応して脱離する式(3)、好ましくは式
(4)で示される基を有する。式(3)および式(4)
は前記したとおりである。
(Resist Composition) The present invention also relates to a structural unit containing a group capable of leaving upon reaction with an acid represented by the formula (3), preferably the formula (4) (hereinafter sometimes referred to as a structural unit I). ) And an acid generator (acid generating compound; PAG). Structural Unit I Structural unit I is a compound represented by the formula (3), preferably an LG having a group (LG) which is eliminated by reacting with an acid represented by the formula (4): 3), preferably having a group represented by the formula (4). Equation (3) and Equation (4)
Is as described above.

【0035】構造 LGを含有する構造単位Iは、好ましくは下式(5)で
示される構造を有する。
The structural unit I containing the structure LG preferably has a structure represented by the following formula (5).

【0036】[0036]

【化20】 Embedded image

【0037】 上記式(5)中、Mは、重合体の主鎖を形成する単位で
あり、下式(6)または下式(7)で示され、好ましく
は式(6)で示される。
M In the above formula (5), M is a unit forming the main chain of the polymer, and is represented by the following formula (6) or (7), preferably represented by the following formula (6) .

【0038】[0038]

【化21】 (式中、R21およびR22は同一もしくは異なって水素原
子;置換されていてもよい低級アルキル基または−CO
24で示される基(R24は置換されていてもよい低級ア
ルコキシ基または例えばフェノキシ基、ベンジルオキシ
基などのアリールオキシ基である)、R23は水素原子;
ハロゲン原子または置換されていてもよい低級アルキル
基を表す)
Embedded image (Wherein, R 21 and R 22 are the same or different and are each a hydrogen atom; a lower alkyl group which may be substituted or -CO
Groups represented by R 24 (R 24 is optionally substituted lower alkoxy group, or for example a phenoxy group, an aryloxy group such as a benzyl group), R 23 is a hydrogen atom;
Represents a halogen atom or an optionally substituted lower alkyl group)

【0039】R21およびR22は、好ましくは水素原子ま
たは置換されていてもよい炭素数1−4のアルキル基で
あり、さらに好ましくは水素原子またはメチル基であ
る。R 23は、好ましくは水素原子または置換されていて
よい炭素数1−4のアルキル基であり、さらに好ましく
は水素原子またはメチル基である。R24は、好ましくは
置換されていてもよい炭素数1−4のアルコキシ基であ
る。置換基として挙げたものと同様である。
Rtwenty oneAnd Rtwenty twoIs preferably a hydrogen atom
Or an optionally substituted alkyl group having 1-4 carbon atoms
And more preferably a hydrogen atom or a methyl group.
You. R twenty threeIs preferably a hydrogen atom or a substituted
Alkyl groups having a good carbon number of 1-4 are more preferable.
Is a hydrogen atom or a methyl group. Rtwenty fourIs preferably
An alkoxy group having 1 to 4 carbon atoms which may be substituted;
You. The same as the substituents described above.

【0040】[0040]

【化22】 (式中、R23は前記と同様である)Embedded image (Wherein, R 23 is the same as described above)

【0041】好ましい式(6)の具体例としては、下式
(8)や(9)で示される単位が挙げられ、特に式
(8)で示される単位が好ましい。式中、R23およびR
24は前記と同様である。
Specific examples of preferred formula (6) include units represented by the following formulas (8) and (9), and particularly preferred is a unit represented by the formula (8). Wherein R 23 and R
24 is the same as above.

【0042】[0042]

【化23】 Embedded image

【0043】[0043]

【化24】 Embedded image

【0044】 上記式(5)中、Bは単結合または炭素数1−10の二
価の有機基である。Bの二価の有機基の具体例として
は、メチレン、エチレン、プロパン−1,3−ジイル、
プロパン−1,2−ジイル、ブタン−1,4−ジイル、
ブタン−2,2−ジイル、ペンタン−1,5−ジイル、
へキサン−1,6−ジイル、シクロペンチレン、シクロ
ヘキシレン、2−メチルシクロヘキシレンなどの鎖状ま
たは環状の置換されていてもよいアルキレン;ビニレ
ン、プロペニレン、ブチニレン、へキシニレン、2−ク
ロロペンチニレン、3−エチルヘキセニレン、シクロヘ
キセニレン、2−クロロシクロヘキセニレン、−CH=
CH−CH=CH−、−CH2 C=CHCH2 CH=C
H−、−CCl=CH−CH=CCl−などの鎖状また
は環状の置換されていてもよいアルケニレン;置換され
ていてもよいフェニレン;およびこれらが組合わされた
2価の有機基が挙げられる。ただし、ベンゼン環を含む
有機基はレジストの透明性に悪影響を与えない範囲で存
在することができる。Bとしては単結合、アルキレン、
アルケニレンが好ましく、特に単結合が好ましい。
B In the above formula (5), B is a single bond or a divalent organic group having 1 to 10 carbon atoms. Specific examples of the divalent organic group of B include methylene, ethylene, propane-1,3-diyl,
Propane-1,2-diyl, butane-1,4-diyl,
Butane-2,2-diyl, pentane-1,5-diyl,
Chain or cyclic optionally substituted alkylene such as hexane-1,6-diyl, cyclopentylene, cyclohexylene, 2-methylcyclohexylene; vinylene, propenylene, butynylene, hexynylene, 2-chloropentynylene , 3-ethylhexenylene, cyclohexenylene, 2-chlorocyclohexenylene, -CH =
CHCH = CH -, - CH 2 C = CHCH 2 CH = C
Linear or cyclic alkenylene which may be substituted, such as H-, -CCl = CH-CH = CCl-; phenylene which may be substituted; and a divalent organic group obtained by combining these. However, an organic group containing a benzene ring can be present in a range that does not adversely affect the transparency of the resist. B is a single bond, alkylene,
Alkenylene is preferred, and a single bond is particularly preferred.

【0045】 上記式(5)中、Aは、酸と反応して開裂する結合を有
する二価の有機基である。酸はポリマー100重量部に
対して、光酸発生剤0.01−50重量部、好ましくは
0.2−30重量部、さらに好ましくは0.5−5重量
部を含む化学増幅型レジスト組成物において、エキシマ
レーザ光による露光によって生じる酸である。好ましい
光酸発生剤としてはトリフェニルスルホニウムトリフル
オロメタンスルホナートが挙げられ、また、好ましいエ
キシマレーザ光はKrFエキシマレーザまたはArFエ
キシマレーザ、より好ましくはArFエキシマレーザで
ある。具体例としては、カルボニルオキシ基、オキシカ
ルボニルオキシ基、スルホニル基、アミド基、フェノキ
シ基が挙げられ、好ましくはカルボニルオキシ基、オキ
シカルボニルオキシ基である。
A In the above formula (5), A is a divalent organic group having a bond that is cleaved by reacting with an acid. Chemically amplified resist composition containing 0.01 to 50 parts by weight, preferably 0.2 to 30 parts by weight, more preferably 0.5 to 5 parts by weight of a photoacid generator per 100 parts by weight of a polymer Is an acid generated by exposure to excimer laser light. Preferred photoacid generators include triphenylsulfonium trifluoromethanesulfonate, and preferred excimer laser light is a KrF excimer laser or an ArF excimer laser, more preferably an ArF excimer laser. Specific examples include a carbonyloxy group, an oxycarbonyloxy group, a sulfonyl group, an amide group, and a phenoxy group, and preferably a carbonyloxy group and an oxycarbonyloxy group.

【0046】LG 上記式(5)中、LGは、式(3)および式(4)に関
連して説明したとおりである。本発明のLGは、その構
造中に少なくとも2個のノルボルナン骨格を有すること
を特徴とする。ベンゼン環を含まないので短波長に対す
る透過率がよく、ArFエキシマレーザーなどの短波長
の活性光線を用いても微細なパターンを形成することが
できる。また、透過率は、アダマンタン骨格を有するも
のと比べてさらに優れており、また、少なくとも2個の
ノルボルナン骨格を有することによって、アダマンタン
骨格を1つ有するものと比較してさらに優れたドライエ
ッチング耐性を有している。さらにそれぞれのノルボル
ナン骨格における環が2個であり、環が3個であるアダ
マンタン骨格に比較して剛直性が緩和されているので、
現像時にレジスト膜にかかる歪みを減少させることがで
き、クラックやパターンの剥がれを防止することができ
る。ノルボルナン骨格の数が多いほど、ドライエッチン
グ耐性は良好であるが、現像時にアルカリ現像液に溶け
にくくなったり、レジスト膜にかかる歪みが増加するの
で、ノルボルナン骨格の数は好ましくは2−3個であ
り、さらに好ましくは2個である。
LG In the above formula (5), LG is as described in relation to formulas (3) and (4). The LG of the present invention is characterized by having at least two norbornane skeletons in its structure. Since it does not contain a benzene ring, it has good transmittance for short wavelengths, and can form a fine pattern even when using short wavelength active light such as an ArF excimer laser. In addition, the transmittance is more excellent than that having an adamantane skeleton, and by having at least two norbornane skeletons, the dry etching resistance is more excellent than that having one adamantane skeleton. Have. Further, each norbornane skeleton has two rings, and the rigidity is reduced as compared with the adamantane skeleton having three rings.
The distortion applied to the resist film during development can be reduced, and cracks and peeling of the pattern can be prevented. The greater the number of norbornane skeletons, the better the dry etching resistance. However, the number of norbornane skeletons is preferably 2-3 because the solubility in an alkaline developer becomes less during development and the strain on the resist film increases. Yes, and more preferably two.

【0047】その他の構造単位 本発明のポリマーには上記した構造単位Iのほか、レジ
ストの感度や解像度を高めるために、式(3)および式
(4)以外の酸と反応して脱離する基(P*)を有する
構造単位(以下、構造単位IIという場合がある)およ
び/またはレジストと基板との密着性を高めるために酸
と反応して脱離しない基(Q)を有する構造単位(以
下、構造単位IIIという場合がある)を含めてもよ
い。
Other Structural Units In addition to the structural units I described above, the polymer of the present invention reacts with an acid other than those of the formulas (3) and (4) and is eliminated in order to enhance the sensitivity and resolution of the resist. A structural unit having a group (P *) (hereinafter sometimes referred to as a structural unit II) and / or a structural unit having a group (Q) which is not desorbed by reacting with an acid in order to enhance adhesion between a resist and a substrate; (Hereinafter may be referred to as a structural unit III).

【0048】構造単位II 構造単位IIは、式(3)及び式(4)以外の酸と反応
して脱離する基(P*)を有する。P* P*は前記したLG以外の基であって、酸と反応して脱
離する有機基である。具体的には、特公平2−2766
0号公報、特公平5−69420号公報記載の3級アル
キル基;置換アリル基;3−メチルピラニル基などのピ
ラニル基;テトラヒドロフラニル基、テトラヒドロピラ
ニル基などの環状エーテル基;オキソシクロヘキシル基
などの環状ケトン構造を有する基;特開平9−9063
7号公報記載のラクトン構造を有する基などが挙げられ
る。
Structural Unit II The structural unit II has a group (P *) which reacts with an acid other than the formulas (3) and (4) to leave. P * P * is a group other than the above-described LG, and is an organic group which is eliminated by reacting with an acid. More specifically,
No. 0, Japanese Patent Publication No. 5-69420, tertiary alkyl group; substituted allyl group; pyranyl group such as 3-methylpyranyl group; cyclic ether group such as tetrahydrofuranyl group and tetrahydropyranyl group; oxocyclohexyl group and the like. Groups having a cyclic ketone structure;
And the group having a lactone structure described in Japanese Patent Publication No. 7 (1994) -78, and the like.

【0049】3級アルキル基としては、具体的には、t
−ブチル基、t−アミル基などが挙げられる。
Specific examples of the tertiary alkyl group include t
-Butyl group, t-amyl group and the like.

【0050】置換アリル基としては、下式(10)で示
される基が挙げられる。
The substituted allyl group includes a group represented by the following formula (10).

【0051】[0051]

【化25】 (式中、R25はそれぞれ独立に、水素原子;ハロゲン原
子;ニトロ基;シアノ基;炭素数1−12の置換されて
いてもよいアルキル基、アルケニル基またはアルカジエ
ニル基である) アルキル基としては、好ましくはメチル基、エチル基、
イソプロピル基、t−ブチル基などが挙げられ;アルケ
ニル基としては、好ましくはビニル基、1−プロペニル
基、アリル基、1−ブテニル基、3−ブテニル基、1−
ペンテニル基、2−ペンテニル基、4−ペンテニル基、
ヘキセニル基などが挙げられ;アルカジエニル基として
は、好ましくは1,2−プロパジエニル基、1,3−ブ
タジエニル基、2,3−ブタジエニル基、1,3−ペン
タジエニル基、2,3−ペンタジエニル基、2,4−ペ
ンタジエニル基などが挙げられる。置換基は、Rの置換
基として挙げたものと同様である。
Embedded image (Wherein, R 25 is each independently a hydrogen atom; a halogen atom; a nitro group; a cyano group; an optionally substituted alkyl group, alkenyl group or alkadienyl group having 1 to 12 carbon atoms). , Preferably a methyl group, an ethyl group,
An isopropyl group, a t-butyl group and the like; an alkenyl group is preferably a vinyl group, a 1-propenyl group, an allyl group, a 1-butenyl group, a 3-butenyl group,
Pentenyl group, 2-pentenyl group, 4-pentenyl group,
A hexenyl group and the like; an alkadienyl group is preferably a 1,2-propadienyl group, a 1,3-butadienyl group, a 2,3-butadienyl group, a 1,3-pentadienyl group, a 2,3-pentadienyl group, , 4-pentadienyl group and the like. The substituents are the same as those described as the substituents for R.

【0052】ラクトン構造を有する基としては下式(1
1)で示される基が挙げられる。
The group having a lactone structure is represented by the following formula (1)
The group shown by 1) is mentioned.

【0053】[0053]

【化26】 (式中、R26は、炭素数1−4の置換されていてもよい
アルキル基であり、nは、1−4の整数である) アルキル基として好ましくはメチル基、エチル基、n−
プロピル基、n−ブチル基などが挙げられ;nは好まし
くは1または2である。置換基は、Rの置換基として挙
げたものと同様である。
Embedded image (Wherein, R 26 is an optionally substituted alkyl group having 1 to 4 carbon atoms, and n is an integer of 1 to 4). As the alkyl group, preferably a methyl group, an ethyl group, or an n-
A propyl group, an n-butyl group and the like; n is preferably 1 or 2. The substituents are the same as those described as the substituents for R.

【0054】構造単位IIは、好ましくは下式(12)
で示される構造を有する。
The structural unit II preferably has the following formula (12)
It has a structure shown by.

【0055】[0055]

【化27】 (式中、M、BおよびAは前記と同様である)。Embedded image (Wherein, M, B and A are as defined above).

【0056】構造単位III 構造単位IIIは、酸と反応して脱離しない基(Q)を
有する。 Qは、前記した−A−LGや−A−P*以外の基であれ
ばよいが、好ましくは水酸基;カルボキシル基;シアノ
基;−CONH2 基;ホルミル基;これらのいずれかを
有する置換されていてもよいアルキル基;およびオキシ
基、カルボニルオキシ基、オキシカルボニルオキシ基、
カルボニルオキシカルボニル基のいずれかとアルキレン
とからなる環状基からなる群から選択される基である。
置換基はRの置換基として挙げたものと同様である。
Structural Unit III The structural unit III has a group (Q) which does not leave upon reaction with an acid. Q Q may be any group other than -A-LG or -AP * described above, and is preferably a hydroxyl group; a carboxyl group; a cyano group; a -CONH 2 group; a formyl group; An oxy group, a carbonyloxy group, an oxycarbonyloxy group,
It is a group selected from the group consisting of a cyclic group consisting of any one of carbonyloxycarbonyl groups and alkylene.
The substituents are the same as those described as the substituents for R.

【0057】構造単位IIIは、好ましくは下式(1
3)で示される構造を有する。
The structural unit III preferably has the following formula (1)
It has the structure shown in 3).

【0058】[0058]

【化28】 (式中、MおよびBは前記と同様である)Embedded image (Wherein, M and B are the same as described above)

【0059】ポリマー構造 上記した各構造単位を有する本発明の好ましいポリマー
構造のいくつかを下記に示す。式中、R23、R′、Z、
B、A、P* およびQは前記のとおりである。
[0059] show some preferred polymer structures of the present invention having the structural unit polymer structure above below. Wherein R 23 , R ′, Z,
B, A, P * and Q are as described above.

【0060】[0060]

【化29】 Embedded image

【0061】[0061]

【化30】 Embedded image

【0062】[0062]

【化31】 Embedded image

【0063】上記のポリマーにおいて、構造単位Iと、
他の構造単位(構造単位IIと構造単位IIIとの合
計)との比率(モル%)は、100:0〜20:80、
好ましくは90:10〜30:70、より好ましくは7
0:30〜40:60である。他の単位を存在させたほ
うが感度や解像度の点で好ましい。構造単位IIと構造
単位IIIとの比率(モル%)は、10:90〜90:
10、好ましくは20:80〜80:20、より好まし
くは30:70〜70:30である。
In the above polymer, the structural unit I
The ratio (mol%) to other structural units (total of structural units II and III) is 100: 0 to 20:80,
Preferably 90:10 to 30:70, more preferably 7
0:30 to 40:60. The presence of another unit is preferred in terms of sensitivity and resolution. The ratio (mol%) of the structural unit II to the structural unit III is from 10:90 to 90:
10, preferably 20:80 to 80:20, more preferably 30:70 to 70:30.

【0064】重量平均分子量(Mw;以下、分子量とい
う)は、通常、2,000−50,000、好ましくは
3,000−30,000である。分散度(Mw/M
n)は、5.0以下、好ましくは4.0以下である。重
量平均分子量(Mw)及び分散度(Mw/Mn)が大き
すぎるとポリマーのレジスト溶媒への溶解性が低下し、
レジスト塗布時にレジスト膜が白濁したり、あるいは感
度や解像度などのレジスト特性が低下する傾向を示す。
重量平均分子量(Mw)が小さすぎると、レジスト膜が
もろくなったり耐熱性が低下する傾向をしめす。なお、
重量平均分子量(Mw)と数平均分子量(Mn)は、屈
折計(RI)を用いたテトラヒドロフラン溶媒でのゲル
パーミエーションクロマトグラフィーにより測定された
ポリスチレン換算重量平均分子量およびポリスチレン換
算数平均分子量である。
The weight average molecular weight (Mw; hereinafter, referred to as molecular weight) is usually from 2,000 to 50,000, preferably from 3,000 to 30,000. Dispersion (Mw / M
n) is 5.0 or less, preferably 4.0 or less. If the weight average molecular weight (Mw) and the degree of dispersion (Mw / Mn) are too large, the solubility of the polymer in the resist solvent is reduced,
When the resist is applied, the resist film tends to be clouded or the resist characteristics such as sensitivity and resolution tend to decrease.
If the weight average molecular weight (Mw) is too small, the resist film tends to become brittle and the heat resistance tends to decrease. In addition,
The weight average molecular weight (Mw) and the number average molecular weight (Mn) are a weight average molecular weight in terms of polystyrene and a number average molecular weight in terms of polystyrene measured by gel permeation chromatography in a tetrahydrofuran solvent using a refractometer (RI).

【0065】ポリマーの製造に使用するモノマーは、上
述した各構造単位のうち任意の必要な単位を与えるモノ
マーであり、いずれも純度95%以上の高純度のもので
あることが好ましく、さらに好ましくは97%以上であ
る。モノマーの純度が低すぎると、生成する共重合体の
重量平均分子量(Mw)及び分散度(Mw/Mn)が大
きくなり、感度や解像度などのレジスト特性が低下す
る。モノマーを精製する方法は、特に限定されず、例え
ば、分別蒸留、分別沈殿、分別結晶、溶媒抽出、吸着ク
ロマトグラフィーなど各種の方法を挙げることができ
る。好ましいモノマーの一成分として本発明のアクリル
モノマーが挙げられる。
The monomer used for the production of the polymer is a monomer that gives any necessary unit among the above-mentioned structural units, and it is preferable that each of the monomers has a high purity of 95% or more, more preferably 97% or more. If the purity of the monomer is too low, the weight average molecular weight (Mw) and the degree of dispersion (Mw / Mn) of the resulting copolymer will increase, and the resist properties such as sensitivity and resolution will decrease. The method for purifying the monomer is not particularly limited, and examples thereof include various methods such as fractional distillation, fractional precipitation, fractional crystallization, solvent extraction, and adsorption chromatography. One component of the preferred monomer is the acrylic monomer of the present invention.

【0066】ポリマーは、上記のモノマー成分を有機溶
媒中に溶解させ、重合開始剤の存在下、重合温度40−
150℃、好ましくは60−90℃で、重合時間1−2
4時間、好ましくは3−15時間反応させることにより
得ることができる。有機溶媒としては、例えば、テトラ
ヒドロフラン、ジオキサンなどのエーテル類;ベンゼ
ン、トルエンなどの芳香族炭化水素類;アセトン、メチ
ルエチルケトンなどのケトン類;四塩化炭素、クロロホ
ルム、塩化メチレンなどのハロゲン化メチル類;ジメチ
ルホルムアミド、ジメチルスルホキシドなどを挙げるこ
とかできる。これらの有機溶媒は、それぞれ単独で、あ
るいは2種以上を組み合わせて使用することができる。
これらの中でも、エーテル類が特に好ましい。
The polymer is prepared by dissolving the above-mentioned monomer components in an organic solvent, and reacting the mixture at a polymerization temperature of 40-45 in the presence of a polymerization initiator.
150 ° C., preferably 60-90 ° C., polymerization time 1-2
It can be obtained by reacting for 4 hours, preferably 3 to 15 hours. Examples of the organic solvent include ethers such as tetrahydrofuran and dioxane; aromatic hydrocarbons such as benzene and toluene; ketones such as acetone and methyl ethyl ketone; methyl halides such as carbon tetrachloride, chloroform and methylene chloride; Formamide, dimethyl sulfoxide and the like can be mentioned. These organic solvents can be used alone or in combination of two or more.
Among these, ethers are particularly preferred.

【0067】重合開始剤としては、例えば、過酸化ベン
ゾイル、t−ブチルヒドロペルオキシド、過酸化ジt−
ブチル、クメンヒドロペルオキシドなどの過酸化物;過
硫酸カリウム、過硫酸アンモニウムなどの過硫酸塩;塩
化アルミニウム、塩化第二スズ、四塩化チタンなどの塩
化物;n−ブチルリチウム、ナフタリンナトリウムなど
のアルカリ金属塩;2,2’−アゾビスイソブチロニト
リル、2,2’−アゾビス(2,4−ジメチルバレロニ
トリル)、2,2’−アゾビス(4−メトキシ−2,4
−ジメチルバレロニトリル)、ジメチル−2,2’−ア
ゾビスイソブチレート、4,4’−アゾビス(4−シア
ノペンタノイック酸)、アゾビスシクロへキサンカルボ
ニトリルなどのアゾ化合物;2,2’−アゾビス(2−
アミノジプロパン)ジヒドロクロライド、2,2’−ア
ゾビス(N,N’−ジメチレンイソブチルアミジン)ジ
ヒドロクロライドなどのアミジン化合物;三フッ化ホウ
素ジエチルエーテラートなどが挙げられる。これらの中
でも、アゾ化合物が好ましい。
Examples of the polymerization initiator include benzoyl peroxide, t-butyl hydroperoxide, and di-t-peroxide.
Peroxides such as butyl and cumene hydroperoxide; persulfates such as potassium persulfate and ammonium persulfate; chlorides such as aluminum chloride, stannic chloride and titanium tetrachloride; alkali metals such as n-butyllithium and sodium naphthalene Salts: 2,2′-azobisisobutyronitrile, 2,2′-azobis (2,4-dimethylvaleronitrile), 2,2′-azobis (4-methoxy-2,4
Azo compounds such as dimethylvaleronitrile), dimethyl-2,2'-azobisisobutyrate, 4,4'-azobis (4-cyanopentanoic acid) and azobiscyclohexanecarbonitrile; 2,2'- Azobis (2-
Amidine compounds such as aminodipropane) dihydrochloride and 2,2′-azobis (N, N′-dimethyleneisobutylamidine) dihydrochloride; and boron trifluoride diethyl etherate. Of these, azo compounds are preferred.

【0068】本発明では、不活性ガス雰囲気下または真
空下で、上記のモノマー成分と重合開始剤とを含有する
有機溶媒溶液を撹拌しながら重合反応させることが好ま
しく、重合反応を開始するに当たり、各成分を含む溶液
及び反応容器内から酸素を実質的に完全に除去すること
が好ましい。重合開始剤は、市販品をそのまま使用する
のではなく、精製してから使用することが望ましい。精
製法としては、特に限定されないが、例えば、再結晶法
を挙げることかできる。例えば、アゾビスイソブチロニ
トリルなどのアゾ化合物を再結晶すると、99%以上の
高純度とすることができる。
In the present invention, it is preferable to carry out a polymerization reaction while stirring an organic solvent solution containing the above-mentioned monomer component and polymerization initiator under an inert gas atmosphere or under vacuum. It is preferable that oxygen is substantially completely removed from the solution containing each component and the inside of the reaction vessel. It is desirable to use the polymerization initiator after purification, instead of using a commercial product as it is. The purification method is not particularly limited, and examples thereof include a recrystallization method. For example, when an azo compound such as azobisisobutyronitrile is recrystallized, a high purity of 99% or more can be obtained.

【0069】不活性ガス雰囲気下、精製した重合開始剤
を使用すると、得られたポリマーの分子量が大きくなり
すぎず、分散も小さくできるため、レジスト用溶剤に対
する溶解性が適当であり、均一なレジスト溶液を調製す
ることが容易であり、また、感度や解像度などのレジス
ト特性が良好になる。
When a purified polymerization initiator is used in an inert gas atmosphere, the molecular weight of the obtained polymer does not become too large and the dispersion can be made small. It is easy to prepare a solution, and the resist characteristics such as sensitivity and resolution are improved.

【0070】酸発生剤 酸発生剤は特に限定されないが、例えばレジスト分野に
おいて通常使用される紫外線、KrFエキシマレーザや
ArFエキシマレーザによる遠紫外線、真空紫外線、電
子線、X線、レーザ光などの放射線の照射によりブレン
ステッド酸またはルイス酸を発生する光酸発生剤が挙げ
られ、例えば、オニウム塩、ハロゲン化有機化合物、キ
ノンジアジド化合物、α,α’−ビス(スルホニル)ジ
アゾメタン系化合物、α−カルボニル−α’−スルホニ
ルジアゾメタン系化合物、スルホン化合物、有機酸エス
テル化合物、有機酸アミド化合物、有機酸イミド化合物
などを使用することができる。
Acid generator The acid generator is not particularly limited. For example, ultraviolet rays generally used in the field of resists, radiation such as far ultraviolet rays by KrF excimer laser or ArF excimer laser, vacuum ultraviolet rays, electron beams, X-rays, laser beams, etc. And a photoacid generator that generates a Bronsted acid or a Lewis acid upon irradiation with, for example, onium salts, halogenated organic compounds, quinonediazide compounds, α, α′-bis (sulfonyl) diazomethane compounds, α-carbonyl- α′-Sulfonyldiazomethane compounds, sulfone compounds, organic acid ester compounds, organic acid amide compounds, organic acid imide compounds and the like can be used.

【0071】オニウム塩としては、ジアゾニウム塩、ア
ンモニウム塩、ジフェニルヨードニウムトリフレートな
どのヨードニウム塩、トリフェニルスルホニウムトリフ
レートなどのスルホニウム塩、ホスホニウム塩、アルソ
ニウム塩、オキソニウム塩などが挙げられる。
Examples of the onium salt include diazonium salts, ammonium salts, iodonium salts such as diphenyliodonium triflate, sulfonium salts such as triphenylsulfonium triflate, phosphonium salts, arsonium salts and oxonium salts.

【0072】ハロゲン化有機化合物としては、ハロゲン
含有オキサジアゾール系化合物、ハロゲン含有トリアジ
ン系化合物、ハロゲン含有アセトフェノン系化合物、ハ
ロゲン含有ベンゾフェノン系化合物、ハロゲン含有スル
ホキサイド系化合物、ハロゲン含有スルホン系化合物、
ハロゲン含有チアゾール系化合物、ハロゲン含有オキサ
ゾール系化合物、ハロゲン含有トリアゾール化合物、ハ
ロゲン含有2−ピロン系化合物、その他ハロゲン含有へ
テロ環状化合物、ハロゲン含有脂肪族炭化水素化合物、
ハロゲン含有芳香族炭化水素化合物、スルフェニルハラ
イド化合物などが挙げられる。より具体的には、トリス
(2,3−ジブロモプロピル)ホスフェート、トリス
(2,3−ジブロモ−3−クロロプロピル)ホスフェー
ト、テトラブロモクロロブタン、へキサクロロベンゼ
ン、へキサブロモベンゼン、へキサブロモシクロドデカ
ン、へキサブロモシクロドデセン、へキサブロモビフェ
ニル、アリルトリブロモフェニルエーテル、テトラクロ
ロビスフェノールA、テトラブロモビスフェノールA、
テトラクロロビスフェノールAのビス(クロロエチル)
エーテル、テトラブロモビスフェノールAのビス(ブロ
モエチル)エーテル、ビスフェノールAのビス(2,3
−ジクロロプロピル)エーテル、ビスフェノールAのビ
ス(2,3−ジブロモプロピル)エーテル、テトラクロ
ロビスフェノールAのビス(2,3−ジクロロプロピ
ル)エーテル、テトラブロモビスフェノールAのビス
(2,3−ジブロモプロピル)エーテル、テトラクロロ
ビスフェノールS、テトラブロモビスフェノールS、テ
トラクロロビスフェノールSのビス(クロロエチル)エ
ーテル、テトラブロモビスフェノールSのビス(ブロモ
エチル)エーテル、ビスフェノールSのビス(2,3−
ジクロロプロピル)エーテル、ビスフェノールSのビス
(2,3−ジブロモプロピル)エーテル、トリス(2,
3−ジブロモプロピル)イソシアヌレート、2,2−ビ
ス(4−ヒドロキシ−3,5−ジブロモフェニル)プロ
パン、2,2−ビス(4−(2−ヒドロキシエトキシ)
−3,5−ジブロモフェニル)プロパンなどのハロゲン
系難然剤やジクロロジフェニルトリクロロエタン、ペン
タクロロフェノール、2,4,6−トリクロロフェニル
4−ニトロフェニルエーテル、2,4−ジクロロフェ
ニル 3’−メトキシ−4’−ニトロフェニルエーテ
ル、2,4−ジクロロフェノキシ酢酸、4,5,6,7
−テトラクロロフタリド、1,1−ビス(4−クロロフ
ェニル)エタノール、1,1−ビス(4−クロロフェニ
ル)−2,2,2−トリクロロエタノール、2,4,
4’,5−テトラクロロジフェニルスルフィド、2,
4,4’,5−テトラクロロジフェニルスルホンなどの
有機クロロ系農薬などが例示される。
The halogenated organic compounds include halogen-containing oxadiazole compounds, halogen-containing triazine compounds, halogen-containing acetophenone compounds, halogen-containing benzophenone compounds, halogen-containing sulfoxide compounds, halogen-containing sulfone compounds,
Halogen-containing thiazole compounds, halogen-containing oxazole-based compounds, halogen-containing triazole compounds, halogen-containing 2-pyrone-based compounds, other halogen-containing heterocyclic compounds, halogen-containing aliphatic hydrocarbon compounds,
Examples thereof include a halogen-containing aromatic hydrocarbon compound and a sulfenyl halide compound. More specifically, tris (2,3-dibromopropyl) phosphate, tris (2,3-dibromo-3-chloropropyl) phosphate, tetrabromochlorobutane, hexachlorobenzene, hexabromobenzene, hexabromocyclo Dodecane, hexabromocyclododecene, hexabromobiphenyl, allyltribromophenyl ether, tetrachlorobisphenol A, tetrabromobisphenol A,
Bis (chloroethyl) of tetrachlorobisphenol A
Ether, bis (bromoethyl) ether of tetrabromobisphenol A, bis (2,3
-Dichloropropyl) ether, bis (2,3-dibromopropyl) ether of bisphenol A, bis (2,3-dichloropropyl) ether of tetrachlorobisphenol A, bis (2,3-dibromopropyl) of tetrabromobisphenol A Ether, tetrachlorobisphenol S, tetrabromobisphenol S, bis (chloroethyl) ether of tetrachlorobisphenol S, bis (bromoethyl) ether of tetrabromobisphenol S, bis (2,3-
Dichloropropyl) ether, bis (2,3-dibromopropyl) ether of bisphenol S, tris (2
3-dibromopropyl) isocyanurate, 2,2-bis (4-hydroxy-3,5-dibromophenyl) propane, 2,2-bis (4- (2-hydroxyethoxy)
-3,5-dibromophenyl) propane and the like, dichlorodiphenyltrichloroethane, pentachlorophenol, 2,4,6-trichlorophenyl 4-nitrophenyl ether, 2,4-dichlorophenyl 3'-methoxy-4 '-Nitrophenyl ether, 2,4-dichlorophenoxyacetic acid, 4,5,6,7
-Tetrachlorophthalide, 1,1-bis (4-chlorophenyl) ethanol, 1,1-bis (4-chlorophenyl) -2,2,2-trichloroethanol, 2,4,
4 ', 5-tetrachlorodiphenyl sulfide, 2,
Organic chloro-based pesticides such as 4,4 ', 5-tetrachlorodiphenyl sulfone are exemplified.

【0073】キノンジアジド化合物の具体例としては、
1,2−ベンゾキノンジアジド−4−スルホン酸エステ
ル、1,2−ナフトキノンジアジド−4−スルホン酸エ
ステル、1,2−ナフトキノンジアジド−5−スルホン
酸エステル、2,1−ナフトキノンジアジド−4−スル
ホン酸エステル、2,1−ベンゾキノンジアジド−5−
スルホン酸エステルのようなキノンジアジド誘導体のス
ルホン酸エステルや1,2−ベンゾキノン−2−ジアジ
ド−4−スルホン酸クロライド、1,2−ナフトキノン
−2−ジアジド−4−スルホン酸クロライド、1,2−
ナフトキノン−2−ジアジド−5−スルホン酸クロライ
ド、1,2−ナフトキノン−1−ジアジド−6−スルホ
ン酸クロライド、1,2ベンゾキノン−1−ジアジド−
5−スルホン酸クロライド等のキノンジアジド誘導体の
スルホン酸クロライドなどが挙げられる。
Specific examples of the quinonediazide compound include:
1,2-benzoquinonediazide-4-sulfonic acid ester, 1,2-naphthoquinonediazide-4-sulfonic acid ester, 1,2-naphthoquinonediazide-5-sulfonic acid ester, 2,1-naphthoquinonediazide-4-sulfonic acid Ester, 2,1-benzoquinonediazide-5
Sulfonic acid esters of quinonediazide derivatives such as sulfonic acid esters, 1,2-benzoquinone-2-diazide-4-sulfonic acid chloride, 1,2-naphthoquinone-2-diazide-4-sulfonic acid chloride, 1,2-
Naphthoquinone-2-diazide-5-sulfonic acid chloride, 1,2-naphthoquinone-1-diazide-6-sulfonic acid chloride, 1,2benzoquinone-1-diazide-
And sulfonic acid chlorides of quinonediazide derivatives such as 5-sulfonic acid chloride.

【0074】α,α’−ビス(スルホニル)ジアゾメタ
ン系化合物としては、未置換、対称的にまたは非対称的
に置換されたアルキル基、アルケニル基、アラルキル
基、芳香族基、またはへテロ環状基を有するα,α’−
ビス(スルホニル)ジアゾメタンなどが挙げられる。α
−カルボニル−α−スルホニルジアゾメタン系化合物の
具体例としては、未置換、対称的にまたは非対称的に置
換されたアルキル基、アルケニル基、アラルキル基、芳
香族基、またはへテロ環状基を有するα−カルボニル−
α−スルホニルジアゾメタンなどが挙げられる。スルホ
ン化合物の具体例としては、未置換、対称的にまたは非
対称的に置換されたアルキル基、アルケニル基、アラル
キル基、芳香族基、またはへテロ環状基を有するスルホ
ン化合物、ジスルホン化合物などが挙げられる。有機酸
エステルとしては、カルボン酸エステル、スルホン酸エ
ステル、リン酸エステルなどが挙げられ、有機酸アミド
としては、カルボン酸アミド、スルホン酸アミド、リン
酸アミドなどが挙げられ、有機酸イミドとしては、カル
ボン酸イミド、スルホン酸イミド、リン酸イミドなどか
挙げられる。
The α, α′-bis (sulfonyl) diazomethane compound includes an unsubstituted, symmetrically or asymmetrically substituted alkyl, alkenyl, aralkyl, aromatic or heterocyclic group. Α, α'-
Bis (sulfonyl) diazomethane and the like can be mentioned. α
Specific examples of the -carbonyl-α-sulfonyldiazomethane-based compound include an unsubstituted, symmetrically or asymmetrically substituted alkyl, alkenyl, aralkyl, aromatic, or heterocyclic group-containing α- Carbonyl-
α-sulfonyldiazomethane and the like. Specific examples of the sulfone compound include a sulfone compound and a disulfone compound having an unsubstituted, symmetrically or asymmetrically substituted alkyl group, alkenyl group, aralkyl group, aromatic group, or heterocyclic group. . Examples of the organic acid ester include a carboxylic acid ester, a sulfonic acid ester, and a phosphoric acid ester.Examples of the organic acid amide include a carboxylic acid amide, a sulfonic acid amide, and a phosphoric acid amide. Examples thereof include carboxylic imide, sulfonic imide, and phosphoric imide.

【0075】上述したような光酸発生剤は、KrFエキ
シマレーザ用レジストにも用いられるものである。これ
らの中でも、ArFエキシマレーザの波長付近(18
5.5−220nm)の光吸収が少ないもの、例えば、
特開平7−199467号公報の第9−10欄に一般式
(II)または(III)で記載されたような化合物や
その具体例、すなわち、シクロヘキシルメチル(2−オ
キソシクロヘキシル)スルホニウムトリフルオロメタン
スルホナート、ジシクロヘキシル(2−オキソシクロヘ
キシル)スルホニウムトリフルオロメタンスルホナー
ト、2−オキソシクロヘキシル(2−ノルボルニル)ス
ルホニウムトリフルオロメタンスルホナート、2−シク
ロヘキシルスルホニルシクロヘキサノン、ジメチル(2
−オキソシクロヘキシル)スルホニウムトリフルオロメ
タンスルホナート、トリフェニルスルホニウムトリフル
オロメタンスルホナート、ジフェニルヨードニウムトリ
フルオロメタンスルホナート、N−ヒドロキシスクシイ
ミドトリフルオロメタンスルホナート、フェニルパラト
ルエンスルホナート、次式(17)−(26)で示され
る化合物等が挙げられる。
The photoacid generator as described above is also used for a resist for a KrF excimer laser. Among these, around the wavelength of the ArF excimer laser (18)
(5.5-220 nm), for example,
Compounds represented by formula (II) or (III) in column 9-10 of JP-A-7-199467 and specific examples thereof, that is, cyclohexylmethyl (2-oxocyclohexyl) sulfonium trifluoromethanesulfonate , Dicyclohexyl (2-oxocyclohexyl) sulfonium trifluoromethanesulfonate, 2-oxocyclohexyl (2-norbornyl) sulfonium trifluoromethanesulfonate, 2-cyclohexylsulfonylcyclohexanone, dimethyl (2
-Oxocyclohexyl) sulfonium trifluoromethanesulfonate, triphenylsulfonium trifluoromethanesulfonate, diphenyliodonium trifluoromethanesulfonate, N-hydroxysuccinimide trifluoromethanesulfonate, phenylparatoluenesulfonate, the following formula (17)-(26) And the like.

【0076】[0076]

【化32】 Embedded image

【0077】[0077]

【化33】 Embedded image

【0078】[0078]

【化34】 Embedded image

【0079】[0079]

【化35】 Embedded image

【0080】[0080]

【化36】 Embedded image

【0081】[0081]

【化37】 Embedded image

【0082】[0082]

【化38】 Embedded image

【0083】[0083]

【化39】 Embedded image

【0084】[0084]

【化40】 Embedded image

【0085】[0085]

【化41】 Embedded image

【0086】これらの光酸発生剤は、それぞれ単独で、
あるいは2種類以上を組み合わせて用いることができ
る。光酸発生剤の配合割合は、ポリマー100重量部に
対して、通常0.01−50重量部、好ましくは0.2
−30重量部、特に好ましくは0.5−5重量部であ
る。この範囲にあるときに良好なパターンが得られ、好
ましい。これらの光酸発生剤のうち、ベンゼン環を含有
する化合物は、多量に使用するとArFエキシマレーザ
光の吸収が大きくなる傾向を示すので、それぞれ単独
で、あるいは他の光酸発生剤と併用する場合、その配合
割合を、ポリマー100重量部に対して、0.5−5重
量部とすることが望ましい。
These photoacid generators may be used alone,
Alternatively, two or more types can be used in combination. The mixing ratio of the photoacid generator is generally 0.01 to 50 parts by weight, preferably 0.2 to 100 parts by weight of the polymer.
It is -30 parts by weight, particularly preferably 0.5-5 parts by weight. When in this range, a good pattern is obtained, which is preferable. Of these photoacid generators, compounds containing a benzene ring tend to increase the absorption of ArF excimer laser light when used in large amounts, so when used alone or in combination with other photoacid generators The mixing ratio is preferably 0.5-5 parts by weight with respect to 100 parts by weight of the polymer.

【0087】その他の添加剤 本発明のレジスト組成物には前記のポリマーおよび酸発
生剤に加えて、必要に応じてその他の添加剤を加えても
よく、たとえばアミン類を加えてもよい。アミン類を併
用すると、ArFエキシマレーザなどの短波長の活性光
線を露光源として用いた場合であっても、解像度、パタ
ーン形状、マスクリニアリティなどのレジスト特性を向
上させることかできる。レジスト膜を高温でベークする
工程があることを考慮すると、アミン類は高沸点化合物
であることが好ましい。アミン類としては、分子量が1
00−240、好ましくは120−220、より好まし
くは140−200で、760mmHgでの沸点が20
0−350℃、好ましくは210−330℃のものであ
ることが望ましい。分子量が大きすぎると、一般に融点
が高くなる傾向にあり、保存時やアルカリ現像液による
現像時にアミン類が析出する可能性がある。逆に、小さ
すぎると、沸点が低くなってしまう。また、沸点が高す
ぎると、通常、分子量も大きく、形状がかさばるため膜
中での拡散が不十分となり、レジスト膜表面の難溶化層
の影響を受けて形状が低下するという問題があり、逆
に、沸点が低すぎると、パターン形成時のべーク温度で
揮発してしまい、十分な効果が得られない。
Other Additives In addition to the above-mentioned polymer and acid generator, other additives may be added to the resist composition of the present invention, if necessary, for example, amines. When amines are used in combination, resist characteristics such as resolution, pattern shape, and mask linearity can be improved even when active light having a short wavelength such as an ArF excimer laser is used as an exposure source. Considering that there is a step of baking the resist film at a high temperature, the amines are preferably high boiling compounds. The amines have a molecular weight of 1
00-240, preferably 120-220, more preferably 140-200 and a boiling point at 760 mmHg of 20
It is desirably 0-350 ° C, preferably 210-330 ° C. If the molecular weight is too large, the melting point generally tends to be high, and amines may be precipitated during storage or development with an alkaline developer. Conversely, if it is too small, the boiling point will be low. On the other hand, if the boiling point is too high, the molecular weight is usually large, the shape is bulky, and the diffusion in the film becomes insufficient, and there is a problem that the shape is reduced due to the effect of the hardly soluble layer on the resist film surface. On the other hand, if the boiling point is too low, it will volatilize at the bake temperature during pattern formation, and a sufficient effect cannot be obtained.

【0088】アミン類としては、具体的には、ノニルア
ミン、デシルアミン、トリデシルアミン、テトラデシル
アミン、ペンタデシルアミンなどの脂肪族第一アミン
類;ジアミルアミンなどの脂肪族第二アミン類;トリブ
チルアミン、トリアミルアミンなどの脂肪族第三アミン
類;ジ(4−メチルベンジル)アミン、ジフェニルアミ
ン、トリベンジルアミンなどの芳香族アミン類;へキサ
メチレンテトラミンなどの環状脂肪族アミン類;などが
挙げられ、これらの中でも、ジフェニルアミンなどの芳
香族アミン類と、へキサメチレンテトラミンなどの環状
脂肪族アミン類が好ましい。
Examples of the amines include aliphatic primary amines such as nonylamine, decylamine, tridecylamine, tetradecylamine and pentadecylamine; aliphatic secondary amines such as diamylamine; tributylamine; Aliphatic tertiary amines such as triamylamine; aromatic amines such as di (4-methylbenzyl) amine, diphenylamine, and tribenzylamine; cyclic aliphatic amines such as hexamethylenetetramine; Among them, aromatic amines such as diphenylamine and cyclic aliphatic amines such as hexamethylenetetramine are preferable.

【0089】レジスト溶媒 前記ポリマーおよび酸発生剤を含有するレジスト組成物
は、溶媒に均一に溶解させて、レジスト溶液として用い
る。溶媒は、一般にレジスト組成物用の溶媒として使用
されているものを用いることができる。その具体例とし
ては、アセトン、メチルエチルケトン、シクロペンタノ
ン、シクロへキサノンなどのケトン類;n−プロパノー
ル、i−プロパノール、n−ブタノール、i−ブタノー
ル、t−ブタノール、シクロヘキサノールなどのアルコ
ール類;エチレングリコールジメチルエーテル、エチレ
ングリコールジエチルエーテル、ジオキサンなどのエー
テル類;エチレングリコールジメチルエーテル、エチレ
ングリコールモノエチルエーテル、プロピレングリコー
ルモノメチルエーテル、プロピレングリコールモノエチ
ルエーテルなどのアルコールエーテル類;ギ酸プロピ
ル、ギ酸ブチル、酢酸プロピル、酢酸ブチル、プロピオ
ン酸メチル、プロピオン酸エチル、酪酸メチル、酪酸エ
チルなどのエステル類;2−オキシプロピオン酸メチ
ル、2−オキシプロピオン酸エチル、2−メトキシプロ
ピオン酸メチル、2−メトキシプロピオン酸エチルなど
のオキシカルボン酸エステル類;セロソルブアセテー
ト、メチルセロソルブアセテート、エチルセロソルブア
セテート、プロピルセロソルブアセテート、ブチルセロ
ソルブアセテートなどのセロソルブエステル類;プロピ
レングリコール、プロピレングリコールモノメチルエー
テルアセテート、プロピレングリコールモノエチルエー
テルアセテート、プロピレングリコールモノブチルエー
テルなどのプロピレングリコール類;ジエチレングリコ
ールモノメチルエーテル、ジエチレングリコールモノエ
チルエーテル、ジエチレングリコールジメチルエーテ
ル、ジエチレングリコールジエチルエーテルなどのジエ
チレングリコール類;トリクロロエチレンなどのハロゲ
ン化炭化水素類;トルエン、キシレンなどの芳香族炭化
水素類;N,N−ジメチルホルムアミド、N,N−ジメ
チルアセトアミド、N−メチルアセトアミド、N−メチ
ルピロリドンなどの極性溶媒などが例示される。これら
の溶媒は、それぞれ単独で、あるいは2種以上を組み合
わせて使用することができる。溶媒は、各成分を均一に
溶解するに足る量を使用する。また、レジスト組成物に
は、必要に応じて、保存安定剤、増感剤、界面活性剤な
どのストライエーション防止剤などの相溶性のある添加
剤を含有させることができる。
Resist Solvent The resist composition containing the polymer and the acid generator is uniformly dissolved in a solvent and used as a resist solution. As the solvent, those generally used as a solvent for a resist composition can be used. Specific examples thereof include ketones such as acetone, methyl ethyl ketone, cyclopentanone and cyclohexanone; alcohols such as n-propanol, i-propanol, n-butanol, i-butanol, t-butanol and cyclohexanol; ethylene. Ethers such as glycol dimethyl ether, ethylene glycol diethyl ether and dioxane; alcohol ethers such as ethylene glycol dimethyl ether, ethylene glycol monoethyl ether, propylene glycol monomethyl ether and propylene glycol monoethyl ether; propyl formate, butyl formate, propyl acetate and acetic acid Esters such as butyl, methyl propionate, ethyl propionate, methyl butyrate, and ethyl butyrate; methyl 2-oxypropionate, 2 Oxycarboxylic acid esters such as ethyl oxypropionate, methyl 2-methoxypropionate and ethyl 2-methoxypropionate; cellosolve esters such as cellosolve acetate, methyl cellosolve acetate, ethyl cellosolve acetate, propyl cellosolve acetate and butyl cellosolve acetate; propylene Propylene glycols such as glycol, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, propylene glycol monobutyl ether; diethylene glycols such as diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol dimethyl ether, diethylene glycol diethyl ether; Halogenated hydrocarbons such as ethylene; aromatic hydrocarbons such as toluene and xylene; polar solvents such as N, N-dimethylformamide, N, N-dimethylacetamide, N-methylacetamide and N-methylpyrrolidone; Is done. These solvents can be used alone or in combination of two or more. The solvent is used in an amount sufficient to dissolve each component uniformly. Further, the resist composition may contain a compatible additive such as a striation inhibitor such as a storage stabilizer, a sensitizer, or a surfactant, if necessary.

【0090】このレジスト組成物からなるレジスト膜に
放射線を照射(露光)すると、酸発生剤が酸を生成し、
その酸の作用を受けて、ポリマーの構造単位IおよびI
Iにおいて各保護基が脱離してカルボン酸などの極性基
を形成する。この結果、被照射部分(露光領域)の溶解
度が変化し、アルカリ水溶液や極性溶媒に対して可溶性
となる。したがって、このレジスト組成物はポジ型レジ
ストとして作用する。また、ポリマーの構造単位III
は上記酸の作用によっても脱離せず基板との密着性を維
持する。
When a resist film comprising the resist composition is irradiated (exposed) with radiation, the acid generator generates an acid,
Under the action of the acid, the structural units I and I of the polymer
In I, each protecting group is eliminated to form a polar group such as a carboxylic acid. As a result, the solubility of the irradiated part (exposed area) changes, and the part becomes soluble in an alkaline aqueous solution or a polar solvent. Therefore, this resist composition acts as a positive resist. Further, the structural unit III of the polymer
Does not desorb even by the action of the acid and maintains the adhesion to the substrate.

【0091】現像液 現像液としては、通常、アルカリ水溶液を用いるが、そ
の具体例としては、水酸化ナトリウム、水酸化カリウ
ム、ケイ酸ナトリウム、アンモニアなどの無機アルカリ
の水溶液;エチルアミン、プロピルアミンなどの第一ア
ミン類の水溶液;ジエチルアミン、ジプロピルアミンな
どの第二アミンの水溶液;トリメチルアミン、トリエタ
ノールアミンなどのアルコールアミン類の水溶液;テト
ラメチルアンモニウムヒドロキシド、テトラエチルアン
モニウムヒドロキシド、テトラブチルアンモニウムヒド
ロキシド、トリメチルヒドロキシメチルアンモニウムヒ
ドロキシド、トリエチルヒドロキシメチルアンモニウム
ヒドロキシド、トリメチルヒドロキシエチルアンモニウ
ムヒドロキシドなどの第四級アンモニウムヒドロキシド
の水溶液などが挙げられる。また、必要に応じて、上記
アルカリ水溶液に通常、メタノール、エタノール、プロ
パノール、エチレングリコールなど、好ましくはイソプ
ロパノールなどの水溶性有機溶媒、界面活性剤、樹脂の
溶解抑止剤などを添加することができる。
[0091] As the developing solution developing solution generally using an alkaline aqueous solution, and specific examples thereof include sodium hydroxide, potassium hydroxide, sodium silicate, inorganic alkali such as aqueous ammonia solution; ethylamine, such as propylamine Aqueous solutions of primary amines; aqueous solutions of secondary amines such as diethylamine and dipropylamine; aqueous solutions of alcohol amines such as trimethylamine and triethanolamine; tetramethylammonium hydroxide, tetraethylammonium hydroxide, tetrabutylammonium hydroxide; Quaternary ammonium hydroxides such as trimethylhydroxymethylammonium hydroxide, triethylhydroxymethylammonium hydroxide, trimethylhydroxyethylammonium hydroxide Aqueous solution and the like. If necessary, a water-soluble organic solvent such as methanol, ethanol, propanol, ethylene glycol or the like, preferably isopropanol, or the like, a surfactant, a resin dissolution inhibitor or the like can be added to the above-mentioned alkaline aqueous solution.

【0092】パターン形成方法 このレジスト組成物を用いてパターンを形成するには、
レジスト溶液を基板上に回転塗布などの方法で塗布し、
任意の膜厚(通常、乾燥膜厚で0.01μm−2μm程
度)の膜を形成させ、これを紫外線、KrFエキシマレ
ーザやArFエキシマレーザによる遠紫外線、真空紫外
線、電子線、X線、レーザ光などの放射線を光源として
用いて露光する。レジスト膜を形成した後、露光前にレ
ジストが熱により劣化しない範囲の温度、通常80−1
90℃、好ましくは100−170℃でベークすること
が好ましい。べーク温度が低すぎると、露光後のレジス
ト表面に難溶解層が発生し、逆に、高すぎると、レジス
ト膜の熱硬化や熱分解が起こるおそれがある。露光光源
として、マスクを介して露光できる180−250nm
の短波長領域の光源、特にArFエキシマレーザ光を用
いるとき、とりわけ良好な結果を得ることができる。さ
らに、露光後のベークを行うのが普通であるが、この露
光後ベークは、通常70−180℃程度である。現像
は、上述した現像液を用いればよい。
Pattern Forming Method To form a pattern using this resist composition,
Apply the resist solution on the substrate by spin coating etc.,
A film having an arbitrary thickness (usually about 0.01 μm to 2 μm in dry film thickness) is formed, and this is applied to ultraviolet light, far ultraviolet light by KrF excimer laser or ArF excimer laser, vacuum ultraviolet light, electron beam, X-ray, laser light. Exposure is performed using such radiation as a light source. After forming the resist film, before exposure, the temperature is in a range where the resist is not deteriorated by heat, usually 80-1.
It is preferred to bake at 90C, preferably 100-170C. If the bake temperature is too low, a hardly soluble layer will be formed on the resist surface after exposure, and if it is too high, the thermosetting or thermal decomposition of the resist film may occur. 180-250 nm that can be exposed through a mask as an exposure light source
Particularly good results can be obtained when using a light source in the short wavelength region, particularly an ArF excimer laser beam. Further, baking after exposure is usually performed, and the baking after exposure is usually at about 70 to 180 ° C. For the development, the above-described developer may be used.

【0093】[0093]

【実施例】以下に本発明の新規モノマーの合成、ポリマ
ーの合成、レジスト組成物の調製およびレジストパター
ンの形成について実施例を参照して説明する。なお、本
願発明は下記の実施例によって限定されるものではな
い。
EXAMPLES The synthesis of a novel monomer, the synthesis of a polymer, the preparation of a resist composition and the formation of a resist pattern according to the present invention will be described below with reference to examples. The present invention is not limited by the following embodiments.

【0094】[実施例1]新規モノマーの合成 1L丸底フラスコに、テトラシクロ[4.4.0.1
2.5 .17.10]−3−ドデセン107g(0.668m
ol)、ぎ酸(純度98〜100%)127g(2.7
6mol)を仕込んだ。水を流したジムロートを付け
て、この溶液をテフロンバーにて激しく撹拌した。この
系をオイルバスにて100℃まで加熱し、溶液をリフラ
ックスさせた。4時間後、室温に戻し、蒸留水400m
Lを加え、n−ヘキサンで抽出した。水層の濁りがなく
なるまで抽出を繰り返した。抽出液を蒸留水で洗浄し、
次いで飽和炭酸水素ナトリウム水溶液で洗浄し、再び蒸
留水で中性になるまで洗浄した。その溶液に無水の硫酸
ナトリウムを加え、一晩脱水した。次の日、その溶液に
少量の活性炭を加え、ろ過し、そのろ液をロータリーエ
バポレーターで濃縮した。残さ144gを得た。等圧滴
下ロート、温度計付き1L三つ口フラスコに、先に得た
残さ144g、アセトン200mLを仕込んだ。攪拌後
均一溶液とした後、氷水浴にて冷却した。この溶液をテ
フロンバーにて激しく攪拌しながら、三酸化クロム26
7gと濃硫酸222mlを蒸留水で希釈した8Nのクロ
ム酸溶液360mlを滴下ロートからゆっくり滴下し
た。反応中は溶液を20〜30℃に保った。滴下終了3
時間後、アセトン100mLを新たに加えた。それから
3時間後反応を終了し、亜硫酸水素ナトリウムを加え
た。アセトン層を分液し、水層をアセトンで抽出した。
アセトン抽出液を炭酸カリウムと攪拌し、ろ過し、その
ろ液をロータリーエバポレーターで濃縮した。その残さ
に酢酸エチルを加えて、飽和炭酸カリウム水溶液で洗浄
した。その溶液に無水の炭酸カリウムと無水の硫酸ナト
リウムを加え、一晩脱水した。次の日、そのろ液をロー
タリーエバポレーターで濃縮した。残さ112gを得
た。その残さ107gを減圧蒸留して、80gのテトラ
シクロドデカノンを得た。等圧滴下ロート、温度計付き
1L三つ口フラスコに、テトラシクロドデカノン53g
(0.301mol)と乾燥テトラヒドロフラン18
0.2mLを仕込んだ。攪拌後均一溶液とした後、−2
0℃に冷却した。この後も反応中は−20℃に保った。
この溶液をテフロンバーにて激しく攪拌しながら、ジエ
チルエーテルで希釈したメチルリチウム溶液301ml
を滴下ロートからゆっくり滴下した。その後、1時間溶
液をテフロンバーで攪拌した。次に、メタクリル酸クロ
ライド35mLを滴下ロートからゆっくり滴下した。滴
下終了後、1時間溶液をテフロンバーで攪拌した。その
後、室温に戻し、その反応溶液を攪拌翼で攪拌した氷水
1Lに注ぎ込んだ。その溶液を炭酸水素ナトリウムで中
和し、分液して得られた有機層を蒸留水で4回洗浄し
た。その溶液を無水の硫酸ナトリウムで一晩脱水処理
後、ろ過した。ロータリーエバポレーターを用いて溶媒
を除去して得られた残さを、シリカゲルカラムで分離精
製することにより3−メチルテトラシクロ[4.4.
0.12.5 .17.10]−3−ドデシルメタクリレートを
47g得た(無色透明液体、収率40%)。
[Example 1] Synthesis of novel monomer In a 1 L round bottom flask, tetracyclo [4.4.0.1 was added.
2.5 . 1 7.10 ] -3-dodecene 107g (0.668m
ol), formic acid (purity 98-100%), 127 g (2.7)
6 mol). This solution was vigorously stirred with a Teflon bar with a Dimroth with water flowing down. The system was heated to 100 ° C. in an oil bath to reflux the solution. After 4 hours, return to room temperature, 400m of distilled water
L was added and extracted with n-hexane. The extraction was repeated until the aqueous layer no longer became cloudy. Wash the extract with distilled water,
Then, it was washed with a saturated aqueous solution of sodium hydrogen carbonate and again washed with distilled water until it became neutral. Anhydrous sodium sulfate was added to the solution and dehydrated overnight. The next day, a small amount of activated carbon was added to the solution, filtered, and the filtrate was concentrated on a rotary evaporator. 144 g of residue was obtained. 144 g of the residue obtained above and 200 mL of acetone were charged into a 1 L three-necked flask equipped with an equal pressure dropping funnel and a thermometer. After stirring, the mixture was made a homogeneous solution and then cooled in an ice-water bath. While vigorously stirring this solution with a Teflon bar, chromium trioxide 26
360 g of an 8N chromic acid solution obtained by diluting 7 g and 222 ml of concentrated sulfuric acid with distilled water was slowly dropped from the dropping funnel. During the reaction, the solution was kept at 20-30 ° C. End of dripping 3
After an hour, 100 mL of acetone was newly added. Three hours later, the reaction was terminated, and sodium bisulfite was added. The acetone layer was separated, and the aqueous layer was extracted with acetone.
The acetone extract was stirred with potassium carbonate, filtered, and the filtrate was concentrated on a rotary evaporator. Ethyl acetate was added to the residue, and the mixture was washed with a saturated aqueous solution of potassium carbonate. Anhydrous potassium carbonate and anhydrous sodium sulfate were added to the solution and dehydrated overnight. The next day, the filtrate was concentrated on a rotary evaporator. 112 g of residue were obtained. 107 g of the residue was distilled under reduced pressure to obtain 80 g of tetracyclododecanone. 53 g of tetracyclododecanone in a 1 L three-necked flask equipped with a constant-pressure dropping funnel and a thermometer
(0.301 mol) and dry tetrahydrofuran 18
0.2 mL was charged. After stirring to make a homogeneous solution, -2
Cooled to 0 ° C. Thereafter, the temperature was maintained at -20 ° C during the reaction.
While vigorously stirring this solution with a Teflon bar, 301 ml of a methyllithium solution diluted with diethyl ether was used.
Was slowly dropped from the dropping funnel. Thereafter, the solution was stirred with a Teflon bar for 1 hour. Next, 35 mL of methacrylic acid chloride was slowly dropped from the dropping funnel. After dropping, the solution was stirred with a Teflon bar for 1 hour. Thereafter, the temperature was returned to room temperature, and the reaction solution was poured into 1 L of ice water stirred with a stirring blade. The solution was neutralized with sodium hydrogen carbonate, and the resulting organic layer was separated and washed four times with distilled water. The solution was dehydrated with anhydrous sodium sulfate overnight, and then filtered. The residue obtained by removing the solvent using a rotary evaporator was separated and purified on a silica gel column to give 3-methyltetracyclo [4.4.
0.1 2.5 . 47 g of 17.10 ] -3-dodecyl methacrylate were obtained (colorless and transparent liquid, yield: 40%).

【0095】IR(NaCl,neat.cm-1):2
960(ν,CH)、1720(ν,C=O)、164
0(ν,C=C)、1160(ν,C−O)1 H−NMR(CDCl3 、内部標準物質:テトラメチ
ルシラン、ppm):6.03.5.50(それぞれ
1H)、2.49(s,1H)、2.09(s,1
H)、2.07(s,2H)、1.93(s,3H)、
1.93(d,J=12.1Hz,1He )、1.88
(d,J=10.9Hz,1He )、1.82(d,J
=7.3Hz,1H)、1.63(dd,J=13.2
Hz,J=4.4Hz,1H4 )、1.51(dd,J
=13.2Hz,J=3.8Hz,1Ha )、1.48
(s,3H)、1.45(d,J=7.3Hz,1
H)、1.39(d,J=6.9Hz,2He )、1.
12(d,J=12.1Hz,1H a )、1.09(d
d,J=6.9Hz,J=2.3Hz,2Ha )、0.
88(d,J=10.9Hz,1Ha )、a;アキシャ
ル、e;エカトリアル13 C−NMR(CDCl3 、ppm):166.8(C
=O)、137.6(−C(CH3 )=)、124.2
(=CH2 )、87.1(C−O)、52.4,50.
8,44.6,40.6,40.2,39.6(C
H)、47.1,35.9,33.6,31.6,,3
0.4(CH2 )、25.6(CH3 )、18.3(−
C(CH3 )=),GC MS m/z 260,69
IR (NaCl, neat.cm)-1): 2
960 (ν, CH), 1720 (ν, C = O), 164
0 (v, C = C), 1160 (v, C-O)1 H-NMR (CDClThree, Internal standard substance: tetramethyl
Silane, ppm): 6.03.5.50 (each
1H), 2.49 (s, 1H), 2.09 (s, 1
H), 2.07 (s, 2H), 1.93 (s, 3H),
1.93 (d, J = 12.1 Hz, 1He), 1.88
(D, J = 10.9 Hz, 1He), 1.82 (d, J
= 7.3 Hz, 1H), 1.63 (dd, J = 13.2)
Hz, J = 4.4Hz, 1HFour), 1.51 (dd, J
= 13.2 Hz, J = 3.8 Hz, 1Ha), 1.48
(S, 3H), 1.45 (d, J = 7.3 Hz, 1
H), 1.39 (d, J = 6.9 Hz, 2He), 1.
12 (d, J = 12.1 Hz, 1H a), 1.09 (d
d, J = 6.9 Hz, J = 2.3 Hz, 2Ha), 0.
88 (d, J = 10.9 Hz, 1Ha), A; Akisha
E, equatorial13 C-NMR (CDClThree, Ppm): 166.8 (C
= O), 137.6 (-C (CHThree) =), 124.2
(= CHTwo), 87.1 (C-O), 52.4, 50.
8, 44.6, 40.6, 40.2, 39.6 (C
H), 47.1, 35.9, 33.6, 31.6, 3,
0.4 (CHTwo), 25.6 (CHThree), 18.3 (-
C (CHThree) =), GC MS m / z 260, 69

【0096】[実施例2]実施例1で合成したメタクリ
レートモノマーのホモポリマーの合成 100mLの耐圧サイダーびんに、実施例1で合成した
メタクリレートモノマー13.02g(0.05mo
l)、及びメタノールで再結晶した精製2,2’−アゾ
ビスイソブチロニトリル1.23g(0.0075mo
l)を入れ、次いで、1,4−ジオキサン16.7mL
を加え、テフロンバーを入れて王冠せんで密栓し、その
各成分をテフロンバーで攪拌し、完全に溶解させて溶液
とした。この溶液に窒素ガスを10分間吹き込み(バブ
リング)、溶液内を含む系内から酸素を完全に除去し
た。その後、耐圧サイダーびんを80℃のオイルバスに
浸漬して、重合反応を開始した。溶液をテフロンバーで
激しく攪拌しながら、80℃のオイルバスに7時間浸漬
した。その後、室温に戻し、圧抜きを行った後、脱栓し
た。得られた反応混合物を攪拌された3Lのメタノール
中に注ぎ、そのまま1時間攪拌し続け、その後攪拌を止
め、放置した。重合反応生成物がメタノール中で沈殿し
た。沈殿物をろ紙で減圧ろ過した後、40℃の減圧乾燥
機中で1晩乾燥した。乾燥した重合反応生成物をテトラ
ヒドロフラン60mLに溶解し、次いで、その溶液を3
Lのメタノール中に注いで、先と同様に処理して、ろ
過、乾燥した。このようにして、目的のポリマーを1
0.98g(収率84%)得た。ポリスチレン換算の重
量平均分子量(Mw)=15,199、分散度(Mw/
Mn)=3.74であった。
Example 2 Synthesis of homopolymer of methacrylate monomer synthesized in Example 1 In a 100 mL pressure-resistant cider bottle, 13.02 g (0.05 mol) of the methacrylate monomer synthesized in Example 1 was added.
l) and 1.23 g of purified 2,2′-azobisisobutyronitrile recrystallized with methanol (0.0075 mol)
1), then 16.7 mL of 1,4-dioxane
Was added, and a Teflon bar was put therein, sealed tightly with a crown plug, and each component was stirred with a Teflon bar to completely dissolve to give a solution. Nitrogen gas was blown into this solution for 10 minutes (bubbling) to completely remove oxygen from the system including the solution. Thereafter, the pressure-resistant cider bottle was immersed in an oil bath at 80 ° C. to start the polymerization reaction. The solution was immersed in an 80 ° C. oil bath for 7 hours with vigorous stirring with a Teflon bar. Thereafter, the temperature was returned to room temperature, the pressure was released, and then the stopper was removed. The obtained reaction mixture was poured into 3 L of stirred methanol, and the mixture was continuously stirred for 1 hour. Then, the stirring was stopped and the mixture was allowed to stand. The polymerization reaction product precipitated in methanol. After the precipitate was filtered under reduced pressure through filter paper, it was dried overnight in a vacuum drier at 40 ° C. The dried polymerization reaction product was dissolved in 60 mL of tetrahydrofuran, and the solution was then added to 3 mL.
L, poured into methanol, treated as before, filtered and dried. In this way, the desired polymer is
0.98 g (yield 84%) was obtained. Polystyrene equivalent weight average molecular weight (Mw) = 15,199, dispersity (Mw /
Mn) = 3.74.

【0097】[実施例3]実施例1で合成したメタクリ
レートモノマーとメバロニックラクトンメタクリレート
とのコポリマーの合成 100mLの耐圧サイダーびんに、実施例1で合成した
メタクリレートモノマー7.81g(0.03mo
l)、メバロニックラクトンメタクリレート5.95g
(0.03mol)、及びメタノールで再結晶した精製
2,2’−アゾビスイソブチロニトリル1.48g
(0.009mol)を入れ、次いで、1,4−ジオキ
サン20mLを加え、テフロンバーを入れて王冠せんで
密栓し、その各成分をテフロンバーで攪拌し、完全に溶
解させて溶液とした。以下、実施例2と同様にして重合
反応を行い、目的のポリマーを9.82g(収率71
%)得た。ポリスチレン換算の重量平均分子量(Mw)
=12,990、分散度(Mw/Mn)=2.74であ
った。この時の共重合比は 1H−NMRの積分比から実
施例1で得られたメタクリレートモノマー/メバロニッ
クラクトンメタクリレート=54/46であった。
Example 3 Synthesis of Copolymer of Methacrylate Monomer Synthesized in Example 1 and Mevalonic Lactone Methacrylate In a 100 mL pressure-resistant cider bottle, 7.81 g (0.03 mol) of the methacrylate monomer synthesized in Example 1 was added.
l), 5.95 g of mevalonic lactone methacrylate
(0.03 mol), and 1.48 g of purified 2,2'-azobisisobutyronitrile recrystallized with methanol.
(0.009 mol) was added, and then 1,4-dioxane (20 mL) was added. A Teflon bar was added, the tube was sealed with a crown plug, and each component was stirred with a Teflon bar to completely dissolve the solution. Thereafter, a polymerization reaction was carried out in the same manner as in Example 2, and 9.82 g of the target polymer was obtained (yield: 71).
%)Obtained. Weight average molecular weight (Mw) in terms of polystyrene
= 12,990 and the degree of dispersion (Mw / Mn) = 2.74. The copolymerization ratio at this time was 54/46 of the methacrylate monomer / mevalonic lactone methacrylate obtained in Example 1 from the integration ratio of 1 H-NMR.

【0098】[実施例4]実施例1で合成したメタクリ
レートモノマーとメトキシカルボニルメチルエチルメタ
クリレートとのコポリマーの合成 100mLの耐圧サイダーびんに、実施例1で合成した
メタクリレートモノマー7.81g(0.03mo
l)、メトキシカルボニルメチルエチルメタクリレート
5.59g(0.03mol)、及びメタノールで再結
晶した精製2,2’−アゾビスイソブチロニトリル1.
48g(0.009mol)を入れ、次いで、1,4−
ジオキサン20mLを加え、テフロンバーを入れて王冠
せんで密栓し、その各成分をテフロンバーで攪拌し、完
全に溶解させて溶液とした。以下、実施例2と同様にし
て目的のポリマーを8.82g(収率66%)得た。ポ
リスチレン換算の重量平均分子量(Mw)=16,69
2、分散度(Mw/Mn)=3.02であった。この時
の共重合比は 1H−NMRの積分比から実施例1で得ら
れたメタクリレートモノマー/メトキシカルボニルメチ
ルエチルメタクリレート=49/51であった。
Example 4 Synthesis of Copolymer of Methacrylate Monomer Synthesized in Example 1 and Methoxycarbonylmethylethyl Methacrylate In a 100 mL pressure-resistant cider bottle, 7.81 g (0.03 mol) of the methacrylate monomer synthesized in Example 1 was added.
l), 5.59 g (0.03 mol) of methoxycarbonylmethylethyl methacrylate, and purified 2,2′-azobisisobutyronitrile recrystallized with methanol.
48 g (0.009 mol), and then 1,4-
Dioxane (20 mL) was added, a Teflon bar was added, the tube was sealed with a crown plug, and each component was stirred with a Teflon bar to completely dissolve the solution. Hereinafter, 8.82 g (yield 66%) of the target polymer was obtained in the same manner as in Example 2. Weight average molecular weight (Mw) in terms of polystyrene = 16,69
2. The degree of dispersion (Mw / Mn) was 3.02. The copolymerization ratio at this time was methacrylate monomer / methoxycarbonylmethylethyl methacrylate obtained in Example 1 = 49/51 from the integration ratio of 1 H-NMR.

【0099】[実施例5]レジスト膜の透過率 実施例2で合成したホモポリマー1.3g、シリコン系
界面活性剤0.0005gをシクロヘキサノン8.7g
に溶解させ、孔径0.1μmのテトラフルオロエチレン
製フィルター(ミリポア社製)でろ過して、ホモポリマ
ーの溶液を調製した。この溶液を、1インチ石英基板上
にスピンコートし、115℃、20分間オーブン内でベ
ーキングをおこない、膜厚0.5μmの薄膜を形成し
た。得られた膜の透過率の波長依存性を紫外可視分光光
度計(日本分光社製)を用いて測定した結果、この薄膜
の193nmにおける透過率は85%であり、単層レジ
ストとして充分な透明性を示すことを確認した。
[Example 5] Permeability of resist film 1.3 g of the homopolymer synthesized in Example 2, 0.0005 g of a silicon-based surfactant, and 8.7 g of cyclohexanone
, And filtered through a tetrafluoroethylene filter (manufactured by Millipore) having a pore size of 0.1 μm to prepare a homopolymer solution. This solution was spin-coated on a 1-inch quartz substrate and baked in an oven at 115 ° C. for 20 minutes to form a thin film having a thickness of 0.5 μm. The wavelength dependence of the transmittance of the obtained film was measured using an ultraviolet-visible spectrophotometer (manufactured by JASCO Corporation). As a result, the transmittance at 193 nm of this thin film was 85%, which was sufficient for a single-layer resist. It was confirmed that it exhibited the property.

【0100】[比較例1]ポリ(2−メチル−2−アダ
マンチルメタクリレート)の透過率 実施例2で合成したホモポリマーの代わりにポリ(2−
メチル−2−アダマンチルメタクリレート)(Mw=1
5,203、Mw/Mn=3.50)1.3gを用いた
以外は実施例5と同様にして薄膜を形成し、透過率を測
定した。この薄膜の193nmにおける透過率は75%
であった。
Comparative Example 1 Transmittance of Poly (2-methyl-2-adamantyl methacrylate) Instead of the homopolymer synthesized in Example 2, poly (2-methyl-2-adamantyl methacrylate) was used.
Methyl-2-adamantyl methacrylate) (Mw = 1
5,203, Mw / Mn = 3.50) Except for using 1.3 g, a thin film was formed in the same manner as in Example 5, and the transmittance was measured. The transmittance of this thin film at 193 nm is 75%.
Met.

【0101】[実施例6]実施例2で合成したホモポリ
マーのエッチング耐性 実施例2で合成したホモポリマー1.3g、シリコン系
界面活性剤0.0005gをシクロヘキサノン8.7g
に溶解させ、孔径0.1μmのテトラフルオロエチレン
製フィルター(ミリポア社製)でろ過して、ホモポリマ
ーの溶液を調製した。この溶液を、ヘキサメチルジシラ
ザンで前処理(90℃、60秒間)した8インチシリコ
ン基板上にスピンコートし、120℃、60秒間ホット
プレート上でプリベークをおこない、更に120℃で6
0秒間ホットプレート上でベーキングをおこない、膜厚
0.7μmの薄膜を形成した。得られた膜を東京エレク
トロン製IEMエッチャーを用いてフッ素系ガスに対す
るエッチング速度を測定した(エッチング条件:Pow
er Top/Bottom=2000/1400W、
圧力40mT、ガス流量C4 8 21sccm、O2
1sccm、Ar510sccm)。エッチング速度
は、10690Å/minであった。
[Example 6] Etching resistance of the homopolymer synthesized in Example 2 1.3 g of the homopolymer synthesized in Example 2, 0.0005 g of a silicon-based surfactant, and 8.7 g of cyclohexanone
, And filtered through a tetrafluoroethylene filter (manufactured by Millipore) having a pore size of 0.1 μm to prepare a homopolymer solution. This solution was spin-coated on an 8-inch silicon substrate pre-treated with hexamethyldisilazane (90 ° C., 60 seconds), prebaked on a hot plate at 120 ° C. for 60 seconds, and further heated at 120 ° C. for 6 seconds.
Baking was performed on a hot plate for 0 second to form a thin film having a thickness of 0.7 μm. The etching rate of the obtained film with respect to a fluorine-based gas was measured using an IEM etcher manufactured by Tokyo Electron (etching condition: Pow).
er Top / Bottom = 2000 / 1400W,
Pressure 40mT, gas flow rate C 4 F 8 21sccm, O 2 1
1 sccm, Ar 510 sccm). The etching rate was 10690 ° / min.

【0102】[比較例2]ポリ(2−メチル−2−アダ
マンチルメタクリレート)のエッチング耐性 実施例2で合成したホモポリマーの代わりにポリ(2−
メチル−2−アダマンチルメタクリレート)(Mw=1
5,203、Mw/Mn=3.50)1.3gを用いた
以外は実施例6と同様にして、薄膜を形成し、エッチン
グ速度を測定した。エッチング速度は、10790Å/
minであった。
Comparative Example 2 Etching Resistance of Poly (2-methyl-2-adamantyl methacrylate) Instead of the homopolymer synthesized in Example 2, poly (2-methyl-2-adamantyl methacrylate) was used.
Methyl-2-adamantyl methacrylate) (Mw = 1
5,203, Mw / Mn = 3.50) Except for using 1.3 g, a thin film was formed and the etching rate was measured. The etching rate is 10790 ° /
min.

【0103】[実施例7]実施例3で合成したコポリマ
ーのレジスト評価 以下の実験は、イエローランプ下にておこなった。実施
例3で合成したコポリマー100重量部、トリフェニル
スルホニウムトリフルオロメタンスルホナート(光酸発
生剤)2重量部、シリコン系界面活性剤50ppm、ジ
フェニルアミン0.15重量部をシクロヘキサノンに溶
解させ、孔径0.1μmのテトラフルオロエチレン製フ
ィルター(ミリポア社製)でろ過して、レジスト溶液を
調製した(固形分14%)。得られたレジスト溶液を、
ヘキサメチルジシラザンで前処理したシリコン基板上に
スピンコートし、130℃で60秒間プリベークして、
膜厚0.5μmのレジスト膜を形成した。このレジスト
膜に、ArFエキシマレーザ露光装置(ニコン社製、N
A=0.55)で露光した後、100℃で60秒間ベー
クした。次いで、2.38重量%テトラメチルアンモニ
ウムハイドロオキシド水溶液で60秒間現像した後、超
純水でリンスした。30mJ/cm2 の露光量により
0.17μmのL&S(ラインアンドスペース)が解像
できた。
Example 7 Evaluation of Resist of Copolymer Synthesized in Example 3 The following experiment was conducted under a yellow lamp. 100 parts by weight of the copolymer synthesized in Example 3, 2 parts by weight of triphenylsulfonium trifluoromethanesulfonate (photoacid generator), 50 ppm of a silicon-based surfactant, and 0.15 parts by weight of diphenylamine were dissolved in cyclohexanone. The solution was filtered through a 1 μm filter made of tetrafluoroethylene (manufactured by Millipore) to prepare a resist solution (solid content: 14%). The obtained resist solution is
Spin-coated on a silicon substrate pretreated with hexamethyldisilazane, pre-baked at 130 ° C for 60 seconds,
A resist film having a thickness of 0.5 μm was formed. An ArF excimer laser exposure apparatus (Nikon Corporation, N
(A = 0.55) and baked at 100 ° C. for 60 seconds. Next, the film was developed with a 2.38% by weight aqueous solution of tetramethylammonium hydroxide for 60 seconds, and then rinsed with ultrapure water. An L & S (line and space) of 0.17 μm could be resolved with an exposure amount of 30 mJ / cm 2.

【0104】[比較例3]2−メチル−2−アダマンチ
ルメタクリレートとメバロニックラクトンメタクリレー
トとのコポリマーのレジスト評価 以下の実験は、イエローランプ下にておこなった。2−
メチル−2−アダマンチルメタクリレートとメバロニッ
クラクトンメタクリレートとのコポリマー(組成比50
/50、Mw=13,100、Mw/Mn=2.70)
100重量部、トリフェニルスルホニウムトリフルオロ
メタンスルホナート(光酸発生剤)2重量部、シリコン
系界面活性剤50ppm、ジフェニルアミン0.15重
量部を乳酸エチルに溶解させ、孔径0.1μmのテトラ
フルオロエチレン製フィルター(ミリポア社製)でろ過
して、レジスト溶液を調製した(固形分13%)。以
下、実施例7と同様にして露光、現像し、0.20μm
のL&S(ラインアンドスペース)が解像できた。
Comparative Example 3 Evaluation of Resist of Copolymer of 2-Methyl-2-adamantyl Methacrylate and Mevalonic Lactone Methacrylate The following experiment was conducted under a yellow lamp. 2-
Copolymer of methyl-2-adamantyl methacrylate and mevalonic lactone methacrylate (composition ratio 50
/ 50, Mw = 13,100, Mw / Mn = 2.70)
100 parts by weight, 2 parts by weight of triphenylsulfonium trifluoromethanesulfonate (photoacid generator), 50 ppm of a silicon-based surfactant, and 0.15 parts by weight of diphenylamine are dissolved in ethyl lactate and made of tetrafluoroethylene having a pore diameter of 0.1 μm. The solution was filtered through a filter (manufactured by Millipore) to prepare a resist solution (solid content: 13%). Thereafter, exposure and development were carried out in the same manner as in Example 7, and 0.20 μm
L & S (line and space) could be resolved.

【0105】[実施例8]実施例4で合成したコポリマ
ーのレジスト評価 以下の実験は、イエローランプ下にておこなった。実施
例4で合成したコポリマー100重量部、トリフェニル
スルホニウムトリフルオロメタンスルホナート(光酸発
生剤)2重量部、シリコン系界面活性剤50ppm、ジ
フェニルアミン0.15重量部をプロピレングリコール
モノメチルエーテルアセテートに溶解させ、孔径0.1
μmのテトラフルオロエチレン製フィルター(ミリポア
社製)でろ過して、レジスト溶液を調製した(固形分1
5%)。以下、実施例7と同様にして露光、現像し、
0.30μmのL&S(ラインアンドスペース)が解像
できた。
Example 8 Evaluation of Resist of Copolymer Synthesized in Example 4 The following experiment was performed under a yellow lamp. 100 parts by weight of the copolymer synthesized in Example 4, 2 parts by weight of triphenylsulfonium trifluoromethanesulfonate (photoacid generator), 50 ppm of a silicon surfactant, and 0.15 part by weight of diphenylamine are dissolved in propylene glycol monomethyl ether acetate. , Pore size 0.1
The solution was filtered through a μm filter made of tetrafluoroethylene (manufactured by Millipore) to prepare a resist solution (solid content 1).
5%). Thereafter, exposure and development were performed in the same manner as in Example 7,
0.30 μm L & S (line and space) could be resolved.

【0106】[比較例4]2−メチル−2−アダマンチ
ルメタクリレートとメトキシカルボニルメチルエチルメ
タクリレートとのコポリマーのレジスト評価 以下の実験は、イエローランプ下にておこなった。2−
メチル−2−アダマンチルメタクリレートとメトキシカ
ルボニルメチルエチルメタクリレートとのコポリマー
(組成比50/50、Mw=16,000、Mw/Mn
=2.80)100重量部、トリフェニルスルホニウム
トリフルオロメタンスルホナート(光酸発生剤)2重量
部、シリコン系界面活性剤50ppm、ジフェニルアミ
ン0.15重量部をプロピレングリコールモノメチルエ
ーテルアセテートに溶解させ、孔径0.1μmのテトラ
フルオロエチレン製フィルター(ミリポア社製)でろ過
して、レジスト溶液を調製した(固形分15%)。以
下、実施例7と同様にして露光、現像したが、0.50
μmのL&S(ラインアンドスペース)しか解像できな
かった。
Comparative Example 4 Evaluation of Resist of Copolymer of 2-Methyl-2-adamantyl Methacrylate and Methoxycarbonylmethylethyl Methacrylate The following experiment was conducted under a yellow lamp. 2-
Copolymer of methyl-2-adamantyl methacrylate and methoxycarbonylmethylethyl methacrylate (composition ratio 50/50, Mw = 16,000, Mw / Mn
= 2.80) 100 parts by weight, 2 parts by weight of triphenylsulfonium trifluoromethanesulfonate (photoacid generator), 50 ppm of a silicon-based surfactant, and 0.15 parts by weight of diphenylamine are dissolved in propylene glycol monomethyl ether acetate, and the pore size is determined. The solution was filtered through a 0.1 μm filter made of tetrafluoroethylene (manufactured by Millipore) to prepare a resist solution (solid content: 15%). Thereafter, exposure and development were performed in the same manner as in Example 7, except that 0.50
Only L & S (line and space) of μm could be resolved.

【0107】[0107]

【発明の効果】酸と反応して脱離する基として、少なく
とも2個のノルボルナン骨格を有する基を含有する構造
単位を含むポリマーを含む本発明のレジスト組成物は、
透過率がよく、ArFエキシマレーザによる露光での微
細加工が可能である。また、安定して優れたパターン形
状が得られる。また、エッチング耐性にも優れているた
め、高性能半導体の製造に有用である。
According to the present invention, there is provided a resist composition comprising a polymer having a structural unit containing at least two groups having a norbornane skeleton as a group capable of reacting with an acid to leave.
It has good transmittance and enables fine processing by exposure with an ArF excimer laser. Further, an excellent pattern shape can be obtained stably. Further, since it has excellent etching resistance, it is useful for manufacturing high-performance semiconductors.

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 FI H01L 21/027 H01L 21/30 502R ──────────────────────────────────────────────────の Continued on the front page (51) Int.Cl. 6 Identification code FI H01L 21/027 H01L 21/30 502R

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】 下式(1)で示されるモノマー。 【化1】 (式中、Rは、水素原子または炭素数1−3の置換され
ていてもよいアルキル基であり;R’は、炭素数1−6
の置換されていてもよいアルキル基であり;Zは、記載
のCと共に少なくとも2個のノルボルナン骨格を有する
置換されていてもよい脂環式炭化水素基を完成するのに
必要な複数個の原子を表す)
1. A monomer represented by the following formula (1). Embedded image (Wherein, R is a hydrogen atom or an optionally substituted alkyl group having 1-3 carbon atoms; R ′ is 1-6 carbon atoms)
Is an optionally substituted alkyl group; and Z is a plurality of atoms necessary to complete an optionally substituted alicyclic hydrocarbon group having at least two norbornane skeletons together with the described C. Represents)
【請求項2】 下式(2)で示される構造単位を有する
ポリマー。 【化2】 (式中、Rは、水素原子または炭素数1−3の置換され
ていてもよいアルキル基であり;R’は、炭素数1−6
の置換されていてもよいアルキル基であり;Zは、記載
のCと共に少なくとも2個のノルボルナン骨格を有する
置換されていてもよい脂環式炭化水素基を完成するのに
必要な複数個の原子を表し;nは2〜100,000の
整数である)
2. A polymer having a structural unit represented by the following formula (2). Embedded image (Wherein, R is a hydrogen atom or an optionally substituted alkyl group having 1-3 carbon atoms; R ′ is 1-6 carbon atoms)
Is an optionally substituted alkyl group; and Z is a plurality of atoms necessary to complete an optionally substituted alicyclic hydrocarbon group having at least two norbornane skeletons together with the described C. Represents an integer of 2 to 100,000)
【請求項3】 下式(3)で示される酸と反応して脱離
する基を含有する構造単位を含むポリマーおよび酸発生
剤を含有することを特徴とするレジスト組成物。 【化3】 (式中、R’は、炭素数1−6の置換されていてもよい
アルキル基であり;Zは、記載のCと共に少なくとも2
個のノルボルナン骨格を有する置換されていてもよい脂
環式炭化水素基を完成するのに必要な複数個の原子を表
す)
3. A resist composition comprising a polymer containing a structural unit having a group capable of reacting with an acid represented by the following formula (3) and leaving, and an acid generator. Embedded image Wherein R ′ is an optionally substituted alkyl group having 1-6 carbon atoms; Z is at least 2
Represents a plurality of atoms necessary for completing an optionally substituted alicyclic hydrocarbon group having a norbornane skeleton)
【請求項4】 請求項3記載のレジスト組成物を基板上
に塗布する工程と波長180−250nmの光源を用い
て露光する工程を含むことを特徴とするパターン形成方
法。
4. A pattern forming method, comprising a step of applying the resist composition according to claim 3 on a substrate and a step of exposing using a light source having a wavelength of 180 to 250 nm.
JP10074295A 1998-03-23 1998-03-23 Novel acrylic monomer, novel acrylic polymer, resist composition and pattern forming method using same Pending JPH11271974A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10074295A JPH11271974A (en) 1998-03-23 1998-03-23 Novel acrylic monomer, novel acrylic polymer, resist composition and pattern forming method using same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10074295A JPH11271974A (en) 1998-03-23 1998-03-23 Novel acrylic monomer, novel acrylic polymer, resist composition and pattern forming method using same

Publications (1)

Publication Number Publication Date
JPH11271974A true JPH11271974A (en) 1999-10-08

Family

ID=13543018

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10074295A Pending JPH11271974A (en) 1998-03-23 1998-03-23 Novel acrylic monomer, novel acrylic polymer, resist composition and pattern forming method using same

Country Status (1)

Country Link
JP (1) JPH11271974A (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1004568A2 (en) * 1998-11-02 2000-05-31 Shin-Etsu Chemical Co., Ltd. Novel ester compounds, polymers, resist compositions and patterning process
JP2002020424A (en) * 2000-07-11 2002-01-23 Daicel Chem Ind Ltd Polymeric compound for photoresist and photoresist composition
JP2002193884A (en) * 2000-12-21 2002-07-10 Daicel Chem Ind Ltd Method for producing (meth)acrylic ester
WO2004090637A1 (en) * 2003-04-01 2004-10-21 Jsr Corporation Photosensitive resin film and cured film made therefrom
JP2005154363A (en) * 2003-11-27 2005-06-16 Idemitsu Kosan Co Ltd Adamantane derivative and method for producing the same
KR100676802B1 (en) * 2001-07-24 2007-02-05 신에쓰 가가꾸 고교 가부시끼가이샤 Polymer, Resist Material and Patterning Method
JP2009258585A (en) * 2008-03-25 2009-11-05 Fujifilm Corp Resist composition for negative type development, and pattern forming method using the same

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1004568A2 (en) * 1998-11-02 2000-05-31 Shin-Etsu Chemical Co., Ltd. Novel ester compounds, polymers, resist compositions and patterning process
EP1004568A3 (en) * 1998-11-02 2001-02-28 Shin-Etsu Chemical Co., Ltd. Novel ester compounds, polymers, resist compositions and patterning process
US6312867B1 (en) * 1998-11-02 2001-11-06 Shin-Etsu Chemical Co., Ltd. Ester compounds, polymers, resist compositions and patterning process
JP2002020424A (en) * 2000-07-11 2002-01-23 Daicel Chem Ind Ltd Polymeric compound for photoresist and photoresist composition
JP2002193884A (en) * 2000-12-21 2002-07-10 Daicel Chem Ind Ltd Method for producing (meth)acrylic ester
KR100676802B1 (en) * 2001-07-24 2007-02-05 신에쓰 가가꾸 고교 가부시끼가이샤 Polymer, Resist Material and Patterning Method
WO2004090637A1 (en) * 2003-04-01 2004-10-21 Jsr Corporation Photosensitive resin film and cured film made therefrom
US7214471B2 (en) * 2003-04-01 2007-05-08 Jsr Corporation Photosensitive resin film and cured film made therefrom
KR101042777B1 (en) 2003-04-01 2011-06-20 제이에스알 가부시끼가이샤 Photosensitive Resin Film and Cured Film Made Therefrom
JP2005154363A (en) * 2003-11-27 2005-06-16 Idemitsu Kosan Co Ltd Adamantane derivative and method for producing the same
JP2009258585A (en) * 2008-03-25 2009-11-05 Fujifilm Corp Resist composition for negative type development, and pattern forming method using the same

Similar Documents

Publication Publication Date Title
US8088556B2 (en) Thiopyran derivative, polymer, resist composition, and method for manufacturing semiconductor device using such resist composition
JP2776204B2 (en) Alkylsulfonium salt, photosensitive resin composition for deep ultraviolet exposure, and pattern forming method using the same
US11142495B2 (en) Composition and method for manufacturing device using same
KR101604623B1 (en) Acrylate ester derivatives and polymer comounds
JP2017008068A (en) Base reactive photoacid generator and photoresist comprising the same
US8062829B2 (en) Chemically amplified resist composition and chemically amplified resist composition for immersion lithography
TW201136957A (en) Photosensitive compositions
WO2002021216A2 (en) Polymers and photoresist compositions comprising electronegative groups
KR100243712B1 (en) Chemically Amplified Resist Large in Transparency and Sensitivity to Exposure Light Less than 248 Nanometer Wavelength and Process of Forming Mask
KR20180077082A (en) Chemically amplified positive resist composition and resist pattern forming process
US7338742B2 (en) Photoresist polymer and photoresist composition containing the same
JP6745738B2 (en) Photoacid generating monomers, polymers derived therefrom, photoresist compositions containing the polymers, and methods of forming photoresist relief images using the photoresist compositions
JPH11271974A (en) Novel acrylic monomer, novel acrylic polymer, resist composition and pattern forming method using same
JP4407358B2 (en) Fluoropolymer and resist composition
US6010826A (en) Resist composition
JPH11218927A (en) Resist composition
WO2022196258A1 (en) Onium salt, photoacid generator, composition, and method for producing device using same
JP2606655B2 (en) Photosensitive compound and photosensitive composition
JPH10120628A (en) Carboxylic acid derivative having tricyclic aromatic skeleton
TWI478951B (en) Additive for resist and resist composition comprising same
JP2965016B2 (en) Photosensitive resin composition for far ultraviolet exposure and pattern forming method using the same
JP2024000259A (en) Polymerizable monomer, polymer compound, resist composition, and patterning process
EP1505050A1 (en) Bridged carbocyclic compounds and methods of making and using same
US10088749B2 (en) Photoacid-generating compound and associated polymer, photoresist composition, and method of forming a photoresist relief image
JPH11352693A (en) Resist composition and resist pattern forming method