JPH11236686A - Dry etching method and production of x-ray mask - Google Patents

Dry etching method and production of x-ray mask

Info

Publication number
JPH11236686A
JPH11236686A JP5901098A JP5901098A JPH11236686A JP H11236686 A JPH11236686 A JP H11236686A JP 5901098 A JP5901098 A JP 5901098A JP 5901098 A JP5901098 A JP 5901098A JP H11236686 A JPH11236686 A JP H11236686A
Authority
JP
Japan
Prior art keywords
etching
dry etching
protective film
forming
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP5901098A
Other languages
Japanese (ja)
Other versions
JP3995784B2 (en
Inventor
Akinori Kurikawa
明典 栗川
Tsutomu Shiyouki
勉 笑喜
Akira Okubo
亮 大久保
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hoya Corp
Original Assignee
Hoya Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hoya Corp filed Critical Hoya Corp
Priority to JP5901098A priority Critical patent/JP3995784B2/en
Publication of JPH11236686A publication Critical patent/JPH11236686A/en
Application granted granted Critical
Publication of JP3995784B2 publication Critical patent/JP3995784B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a dry etching method capable of easily manufacturing patterns having extremely high perpendicularity with good reproducibility even in design rule of 0.1 μm and a process for producing an X-ray mask, etc. SOLUTION: This dry etching method consists in executing dry etching while forming a protective film to hinder the progression of the etching on pattern flanks on the pattern flanks at the time of forming the pattern by dry etching of a thin-film consisting essentially of tantalum or the like. A substrate stage 21 having a portion 21a consisting of a solid material for forming the protective film together with the substrate 27 to be etched which has the thin film as mentioned above is arranged within the dry etching apparatus and the dry etching of the above-mentioned thin film is executed. The dry etching is executed while the protective film is formed on the pattern flanks by depositing the reactant of the solid material for forming the protective film and an etching gas on the pattern flanks.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、ドライエッチング
方法、及びX線マスクの製造方法等に関する。
The present invention relates to a dry etching method, an X-ray mask manufacturing method, and the like.

【0002】[0002]

【従来の技術】従来、半導体産業において、シリコン基
板等に微細なパターンからなる集積回路を形成する上で
必要な微細パターンの転写技術としては、露光用電磁波
として可視光や紫外光を用いて微細パターンを転写する
フォトリソグラフィー法が用いられてきた。
2. Description of the Related Art Conventionally, in the semiconductor industry, as a technique for transferring a fine pattern necessary for forming an integrated circuit having a fine pattern on a silicon substrate or the like, a fine pattern using visible light or ultraviolet light as an exposure electromagnetic wave is used. Photolithography techniques for transferring patterns have been used.

【0003】しかし、近年、半導体技術の進歩ととも
に、超LSIなどの半導体装置の高集積化が著しく進
み、従来のフォトリソグラフィー法で用いてきた可視光
や紫外光での転写限界を超えた高精度の微細パターンの
転写技術が要求されるに至った。
However, in recent years, with the advancement of semiconductor technology, the integration of semiconductor devices such as VLSIs has been remarkably advanced, and high precision exceeding the transfer limit of visible light or ultraviolet light used in the conventional photolithography method has been used. The technology for transferring fine patterns has been required.

【0004】そして、このような微細パターンの転写を
実現するために、可視光や紫外光よりも波長の短いX線
を用いたX線リソグラフィー法の開発が進められてい
る。
[0004] In order to realize the transfer of such a fine pattern, the development of an X-ray lithography method using X-rays having a shorter wavelength than visible light or ultraviolet light has been promoted.

【0005】X線リソグラフィーに用いるX線マスクの
構造を図1に示す。
FIG. 1 shows the structure of an X-ray mask used for X-ray lithography.

【0006】同図に示すように、X線マスク1は、X線
を透過するX線透過膜(メンブレン)12と、X線を吸
収するX線吸収体パターン13aから構成されており、
これらは、シリコンからなる支持基板(支持枠)11a
で支持されている。
As shown in FIG. 1, an X-ray mask 1 comprises an X-ray transmitting film (membrane) 12 for transmitting X-rays, and an X-ray absorber pattern 13a for absorbing X-rays.
These are a support substrate (support frame) 11a made of silicon.
Supported by.

【0007】X線マスクブランクの構造を図2に示す。
X線マスクブランク2は、シリコン基板11上に形成さ
れたX線透過膜12とX線吸収体膜13から構成されて
いる。
FIG. 2 shows the structure of an X-ray mask blank.
The X-ray mask blank 2 includes an X-ray transmission film 12 and an X-ray absorber film 13 formed on a silicon substrate 11.

【0008】X線透過膜としては、高いヤング率をも
ち、X線照射に対して優れた耐性をもつ炭化ケイ素など
が一般に用いられ、X線吸収体膜には、X線照射に対し
て優れた耐性をもつTaを含むアモルファス材料が良く
用いられている。
As the X-ray transmitting film, silicon carbide or the like having a high Young's modulus and excellent resistance to X-ray irradiation is generally used, and the X-ray absorbing film is excellent in X-ray irradiation. An amorphous material containing Ta having a high resistance is often used.

【0009】X線マスクブランク2からX線マスク1を
作製するプロセスとしては、例えば、以下の方法が用い
られる。
As a process for manufacturing the X-ray mask 1 from the X-ray mask blank 2, for example, the following method is used.

【0010】X線マスクブランク2上に所望のパターン
を形成したレジスト膜を配し、このレジストパターンを
マスクとしてドライエッチングを行いX線吸収体パター
ンを形成する。その後、裏面に形成されたX線透過膜の
うちのウインドウエリア(裏面凹部)に位置する領域部
分の膜をCF4又はCl2をエッチングガスとしたリアク
ティブイオンエッチング(RIE)により除去し、残っ
た膜をマスクにして、フッ酸と硝酸の混合液からなるエ
ッチング液によりシリコン基板の裏面をエッチング加工
してX線マスク1を得る。
A resist film having a desired pattern formed thereon is arranged on the X-ray mask blank 2, and dry etching is performed using the resist pattern as a mask to form an X-ray absorber pattern. Thereafter, the film in the region of the X-ray transmission film formed on the back surface located in the window area (back surface concave portion) is removed by reactive ion etching (RIE) using CF 4 or Cl 2 as an etching gas, and the remaining film is removed. Using the resulting film as a mask, the X-ray mask 1 is obtained by etching the back surface of the silicon substrate with an etching solution comprising a mixture of hydrofluoric acid and nitric acid.

【0011】この際、レジストには、一般に、電子線ビ
ーム(EB)レジストを用い、EB描画法によりパター
ン形成(露光)を行う。
In this case, an electron beam (EB) resist is generally used as a resist, and pattern formation (exposure) is performed by an EB drawing method.

【0012】X線吸収体膜をパターンニングする場合、
レジストパターンとX線吸収体パターンとのサイズのず
れ(パターン変換差と呼ぶ)をなくすために、エッチン
グマスク層の厚みはできるだけ薄くする必要がある。こ
のように、エッチングマスク層の厚さ薄くする場合、X
線吸収体膜のエッチング速度に対して、エッチングマス
ク層のエッチング速度が十分に小さい(高いエッチング
選択比をもつ)必要がある。
When patterning an X-ray absorber film,
The thickness of the etching mask layer needs to be as small as possible in order to eliminate the size shift between the resist pattern and the X-ray absorber pattern (referred to as a pattern conversion difference). Thus, when the thickness of the etching mask layer is reduced, X
It is necessary that the etching rate of the etching mask layer is sufficiently small (has a high etching selectivity) with respect to the etching rate of the line absorber film.

【0013】一方、X線吸収体膜のエッチングは、マス
ク面内に部分的なエッチング残りを生じることなくウエ
ハ面内で均一なパターン形状を確保するために、X線吸
収体膜のエッチングに要する時間よりもエッチングを長
く行ういわゆるオーバーエッチングをある程度行う必要
がある。さらに、0.2μm以下のパターンを含む場合
にあっては、パターンサイズが0.2μm以下になると
エッチング速度が急激に低下する現象(マイクロローデ
ィング効果)が起きることから、0.2μm以上のパタ
ーンのエッチングに要する時間に対して、0.2μm以
下のパターンのエッチングに要する時間に合わせて、オ
ーバーエッチングを行う必要がある。
On the other hand, the etching of the X-ray absorber film is required for etching the X-ray absorber film in order to secure a uniform pattern shape on the wafer surface without causing partial etching residue in the mask surface. It is necessary to perform so-called over-etching, in which etching is performed longer than time, to some extent. Further, when a pattern having a size of 0.2 μm or less is included, a phenomenon (microloading effect) that the etching rate sharply decreases when the pattern size becomes 0.2 μm or less occurs. It is necessary to perform over-etching in accordance with the time required for etching a pattern of 0.2 μm or less with respect to the time required for etching.

【0014】しかしながら、Taなどの高融点金属から
なるX線吸収体膜のエッチングは、等方性エッチングで
あるため、図7に示すようにパターン31にアンダーカ
ット30が生じて、必要とする垂直性の高いパターンを
得ることは困難である。また、上述したオーバーエッチ
ングを行うことで、アンダーカットがさらに大きくなる
ため、垂直性の高いパターンを得ることはさらに困難で
ある。
However, since the etching of the X-ray absorber film made of a high melting point metal such as Ta is isotropic etching, an undercut 30 occurs in the pattern 31 as shown in FIG. It is difficult to obtain a highly reliable pattern. Further, by performing the above-described over-etching, the undercut is further increased, so that it is more difficult to obtain a pattern with high perpendicularity.

【0015】このような問題を解決するための技術とし
て、例えば、特開平4−247619号に記載の方法が
提案されている。この方法は、エッチングガスと共に保
護膜形成用ガスとして炭化水素ガスをエッチング装置内
に導入することにより、パターン側壁に保護膜を設け、
パターンのアンダーカットを防止するというものであ
る。
As a technique for solving such a problem, for example, a method described in Japanese Patent Laid-Open No. Hei 4-247719 has been proposed. In this method, a protective film is provided on a pattern side wall by introducing a hydrocarbon gas as a protective film forming gas together with an etching gas into an etching apparatus,
This is to prevent undercut of the pattern.

【0016】[0016]

【発明が解決しようとする課題】しかしながら、上記の
ようなエッチングガスと共に保護膜形成用ガスとして炭
化水素ガスをエッチング装置内に導入して保護膜を設け
る方法には以下に示す問題がある。
However, the method for providing a protective film by introducing a hydrocarbon gas as a protective film forming gas into the etching apparatus together with the above etching gas has the following problems.

【0017】第一に、炭化水素ガスをエッチング装置内
に導入すると、チャンバーを汚染してしまい、チャンバ
ー内に付着した炭化水素が再度プラズマ中に曝されたと
き容易に重合してしまうため装置の維持管理が容易でな
く、再現性が悪いという問題がある。
First, when a hydrocarbon gas is introduced into the etching apparatus, the chamber is contaminated, and the hydrocarbon adhering to the chamber is easily polymerized when exposed again to the plasma. There is a problem that maintenance is not easy and reproducibility is poor.

【0018】第二に、エッチング装置内に導入するガス
が混合ガスの場合、概して、プラズマが安定しにくく、
再現性が悪いという問題がある。
Second, when the gas introduced into the etching apparatus is a mixed gas, the plasma is generally difficult to stabilize,
There is a problem that reproducibility is poor.

【0019】第三に、炭化水素ガスは可燃性ガスである
ため、その取り扱いのためにガス警報装置等の安全対策
が必要になるという問題がある。
Third, since hydrocarbon gas is a flammable gas, there is a problem that safety measures such as a gas alarm device are required for handling the hydrocarbon gas.

【0020】本発明は上述した背景の下になされたもの
であり、上記問題を解消しつつ、0.1μmのデザイン
ルールにおいても、極めて垂直性の高いパターンを再現
性良く容易に作製することができるドライエッチング方
法及びX線マスクの製造方法等の提供を目的とする。
The present invention has been made under the above-mentioned background, and it is possible to easily produce a pattern with extremely high perpendicularity with good reproducibility even under a 0.1 μm design rule while solving the above problems. An object of the present invention is to provide a dry etching method and an X-ray mask manufacturing method that can be performed.

【0021】[0021]

【課題を解決するための手段】上記目的を達成するため
に本発明は以下に示す構成としてある。
In order to achieve the above object, the present invention has the following arrangement.

【0022】(構成1)薄膜をドライエッチングしてパ
ターン形成を行う際に、パターン側面のエッチングの進
行を妨げる保護膜をパターン側面に形成しつつ薄膜のド
ライエッチングを行うドライエッチング方法であって、
ドライエッチング装置内に前記薄膜を有する被エッチン
グ基板と共に保護膜形成用固体材料を配置し、前記薄膜
のドライエッチングを行うと共に前記保護膜形成用固体
材料とエッチングガスとの反応物をパターン側面に堆積
させて保護膜をパターン側面に形成しつつドライエッチ
ングを行うことを特徴とするドライエッチング方法。
(Structure 1) A dry etching method for dry-etching a thin film while forming a protective film on the side surface of the pattern when forming a pattern by dry-etching the thin film.
Placing a solid material for forming a protective film together with the substrate to be etched having the thin film in a dry etching apparatus, performing dry etching of the thin film and depositing a reactant of the solid material for forming a protective film and an etching gas on a pattern side surface. And performing dry etching while forming a protective film on the side surface of the pattern.

【0023】(構成2)前記薄膜のドライエッチングに
よるパターン形成を行う際に、オーバーエッチングを行
うことを特徴とする構成1に記載のドライエッチング方
法。
(Structure 2) The dry etching method according to Structure 1, wherein over-etching is performed when forming a pattern by dry etching of the thin film.

【0024】(構成3)前記保護膜形成用固体材料とエ
ッチングガスとの反応物の蒸気圧が、前記薄膜を形成す
る材料とエッチングガスとの反応物の蒸気圧よりも低く
なるような材料を保護膜形成用固体材料として用いるこ
とを特徴とする構成1又は2に記載のドライエッチング
方法。
(Structure 3) A material such that the vapor pressure of a reactant of the protective film forming solid material and the etching gas is lower than the vapor pressure of a reactant of the material for forming the thin film and the etching gas. The dry etching method according to Configuration 1 or 2, wherein the dry etching method is used as a solid material for forming a protective film.

【0025】(構成4)前記保護膜の堆積の制御を、導
入するエッチングガスの流速の制御、排気速度の制御、
保護膜形成用固体材料の露出面積の制御により行うこと
を特徴とする構成1乃至3に記載のドライエッチング方
法。
(Structure 4) The deposition of the protective film is controlled by controlling the flow rate of the introduced etching gas, controlling the exhaust speed,
The dry etching method according to any one of Configurations 1 to 3, wherein the dry etching is performed by controlling an exposed area of the solid material for forming a protective film.

【0026】(構成5)前記薄膜が、タンタルを主成分
とする材料からなることを特徴とする構成1乃至4に記
載のドライエッチング方法。
(Structure 5) The dry etching method according to any one of Structures 1 to 4, wherein the thin film is made of a material containing tantalum as a main component.

【0027】(構成6)前記エッチングガスとして塩素
系ガスを用い、前記保護膜形成用固体材料として塩素と
反応する重金属を用いて、前記保護膜を前記重金属と塩
素との反応物としたことを特徴とする構成1乃至5に記
載のドライエッチング方法。
(Structure 6) A chlorine-based gas is used as the etching gas, and a heavy metal that reacts with chlorine is used as the solid material for forming the protective film, and the protective film is a reactant of the heavy metal and chlorine. 6. The dry etching method according to any one of the first to fifth aspects.

【0028】(構成7)前記重金属が、Cr、Mo、
W、あるいはこれらの重金属を含む化合物又はこれらの
合金であることを特徴とする構成6に記載のドライエッ
チング方法。
(Structure 7) The heavy metal is Cr, Mo,
7. The dry etching method according to Configuration 6, wherein W is a compound containing a heavy metal or an alloy thereof.

【0029】(構成8)前記エッチングガスとして酸素
を含有する混合ガスを用い、前記保護膜形成用固体材料
として珪素を含む材料を用いて、前記保護膜を珪素と酸
素との反応物としたことを特徴とする構成1乃至5に記
載のドライエッチング方法。
(Structure 8) A mixed gas containing oxygen is used as the etching gas, a material containing silicon is used as the solid material for forming the protective film, and the protective film is a reactant of silicon and oxygen. The dry etching method according to any one of Configurations 1 to 5, further comprising:

【0030】(構成9)前記保護膜形成用固体材料が、
基板載置台の基板載置面に基板を載置したときの露出部
分に少なくとも配置されていることを特徴とする構成1
乃至8に記載のドライエッチング方法。
(Structure 9) The solid material for forming a protective film comprises:
Configuration 1 characterized by being disposed at least at an exposed portion when a substrate is mounted on a substrate mounting surface of a substrate mounting table.
9. The dry etching method according to any one of items 1 to 8.

【0031】(構成10)構成1〜9に記載のドライエ
ッチング方法を用いて、タンタルを主成分とするX線吸
収体膜のドライエッチングを行うことを特徴とするX線
マスクの製造方法。
(Structure 10) A method of manufacturing an X-ray mask, comprising performing dry etching of an X-ray absorber film containing tantalum as a main component by using the dry etching method described in Structures 1 to 9.

【0032】(構成11)2つの反応チャンバーを有す
るICPドライエッチング装置を使用し、エッチングマ
スク層のエッチングに使用した反応チャンバーとは別の
反応チャンバーをX線吸収体膜のエッチングに使用する
ことを特徴とする構成10に記載のX線マスクの製造方
法。
(Configuration 11) An ICP dry etching apparatus having two reaction chambers is used, and another reaction chamber different from the reaction chamber used for etching the etching mask layer is used for etching the X-ray absorber film. 13. The method for manufacturing an X-ray mask according to Configuration 10, wherein:

【0033】(構成12)X線吸収体膜の上下に、クロ
ム、又はクロムと炭素及び/又は窒素とを含む材料から
なるエッチングマスク層及びエッチング停止層を形成す
ることを特徴とする構成10又は11に記載のX線マス
クの製造方法。
(Structure 12) Structure 10 or 10 characterized in that an etching mask layer and an etching stop layer made of chromium or a material containing chromium and carbon and / or nitrogen are formed above and below the X-ray absorber film. 12. The method for manufacturing an X-ray mask according to item 11.

【0034】[0034]

【作用】本発明のドライエッチング方法及びX線マスク
の製造方法によれば、炭化水素ガスを使用せず、保護膜
形成用固体材料とエッチングガスとの反応物をパターン
側面に堆積させて保護膜を形成しているので、上述した
問題を解消できるとともに、アンダーカットがなく極め
て垂直性の高いパターンを再現性良く容易に作製するこ
とができる。また、本発明のX線マスクの製造方法によ
れば、0.1μmのデザインルールにおいても、極めて
垂直性の高いパターンを再現性良く容易に作製すること
ができる。
According to the dry etching method and the X-ray mask manufacturing method of the present invention, the protective film is formed by depositing a reactant of the protective film forming solid material and the etching gas on the side of the pattern without using a hydrocarbon gas. Is formed, so that the above-mentioned problem can be solved, and a pattern having extremely high perpendicularity without undercut can be easily produced with good reproducibility. Further, according to the method of manufacturing an X-ray mask of the present invention, a pattern having extremely high perpendicularity can be easily manufactured with good reproducibility even with a design rule of 0.1 μm.

【0035】以下、本発明を詳細に説明する。Hereinafter, the present invention will be described in detail.

【0036】本発明の作用は、次のような原理で起こる
と考えられる。すなわち、ドライエッチング装置内に導
入されたエッチングガスにより生成したプラズマガス
は、タンタルを主成分とする薄膜と接触しタンタルと反
応してエッチングが進行すると同時に、装置内に配置さ
れた保護膜形成用固体材料と反応する。この保護膜形成
用固体材料とエッチングガスとの反応物が、タンタルを
主成分とする薄膜パターンの側面に選択的に付着し保護
膜を形成する。その際、保護膜形成用固体材料とエッチ
ングガスとの反応物の蒸気圧が、タンタルとエッチング
ガスとの反応物の蒸気圧よりも低くなるような材料を保
護膜形成用固体材料として用いることにより、保護膜形
成用固体材料とエッチングガスとの反応物がパターンの
側面に選択的に堆積し、タンタルとエッチングガスとの
反応物は選択的に排気されることになる。そして、パタ
ーンの側面に堆積した膜が保護膜として作用するため、
オーバーエッチングを行ってもパターン側面のエッチン
グが進行しにくくなり、その結果、パターンのアンダー
カットを防止できる。なお、上記本発明は、タンタルを
主成分とする薄膜以外の薄膜のドライエッチングについ
ても上記と同様の原理で適用できる。
The operation of the present invention is considered to take place on the following principle. That is, the plasma gas generated by the etching gas introduced into the dry etching apparatus contacts a thin film containing tantalum as a main component and reacts with tantalum to progress the etching, and at the same time, forms a protective film disposed in the apparatus. Reacts with solid materials. The reactant of the solid material for forming a protective film and the etching gas selectively adheres to the side surface of the thin film pattern mainly composed of tantalum to form a protective film. At this time, by using a material such that the vapor pressure of the reactant of the protective film forming solid material and the etching gas is lower than the vapor pressure of the reactant of the tantalum and the etching gas as the protective film forming solid material. Then, the reactant of the protective film forming solid material and the etching gas is selectively deposited on the side surface of the pattern, and the reactant of the tantalum and the etching gas is selectively exhausted. And since the film deposited on the side of the pattern acts as a protective film,
Even when over-etching is performed, etching of the side surface of the pattern hardly proceeds, and as a result, undercut of the pattern can be prevented. The present invention can be applied to dry etching of a thin film other than a thin film containing tantalum as a main component according to the same principle as described above.

【0037】本発明において、保護膜の堆積の制御は、
導入するエッチングガスの流速の制御、排気速度の制
御、保護膜形成用固体材料の露出面積の制御、基板温度
の制御等により行うことができる。すなわち、導入する
エッチングガスの流速を早くする、排気速度を早める、
あるいは、保護膜形成用固体材料の露出面積を小さくす
ることにより、保護膜の堆積を少なくすることができ
る。なお、本発明では、保護膜の堆積の制御を応用し、
パターンのアンダーカットを制御することによって、パ
ターンの傾斜角を任意に設定することもできる。
In the present invention, the deposition of the protective film is controlled by
The control can be performed by controlling the flow rate of the introduced etching gas, controlling the pumping speed, controlling the exposed area of the solid material for forming the protective film, controlling the substrate temperature, and the like. That is, to increase the flow rate of the etching gas to be introduced, to increase the pumping speed,
Alternatively, by reducing the exposed area of the solid material for forming the protective film, the deposition of the protective film can be reduced. In the present invention, the control of the deposition of the protective film is applied,
By controlling the undercut of the pattern, the inclination angle of the pattern can be arbitrarily set.

【0038】本発明において、保護膜形成用固体材料
は、エッチングガスとの反応が起こる場所に配置すれば
よいが、被エッチング基板を載置する載置台の露出部分
に配置することが好ましい。具体的には、例えば、基板
の載置台を保護膜形成用固体材料で被覆したり、あるい
は、図3及び4に示すように、基板載置台21における
被エッチング基板27を載置する部分21aを保護膜形
成用固体材料で形成すればよい。
In the present invention, the protective film forming solid material may be disposed at a place where a reaction with the etching gas occurs, but is preferably disposed at an exposed portion of a mounting table on which a substrate to be etched is mounted. Specifically, for example, the mounting table of the substrate is coated with a solid material for forming a protective film, or, as shown in FIGS. 3 and 4, a portion 21 a of the substrate mounting table 21 on which the substrate 27 to be etched is mounted. What is necessary is just to form with a solid material for protective film formation.

【0039】ドライエッチングガスは、被エッチング薄
膜材料をエッチングすることができると共に、保護膜形
成用固体材料とエッチングガスとの反応物がパターン側
面に堆積して保護膜を形成しうるものを適宜選択する。
例えば、タンタルを主成分とする材料のエッチングを行
う場合にあっては、ドライエッチングガスとして、タン
タルを主成分とする材料をエッチングすることができる
塩素を含有する塩素系ガスやフッ素系ガスを用いること
が好ましい。塩素系ガスとしては、例えば、Cl2、C
2+O2、Ar+Cl2、Cl2+BCl3等が挙げられ
る。フッ素系ガスとしては、SF6、Ar+SF6、SF
6+CHF3等が挙げられる。
The dry etching gas is appropriately selected so as to etch the thin film material to be etched and to form a protective film by depositing a reactant of the solid material for forming the protective film and the etching gas on the side surface of the pattern. I do.
For example, when etching a material containing tantalum as a main component, a chlorine-containing gas or a fluorine-containing gas containing chlorine capable of etching a material containing tantalum as a main component is used as a dry etching gas. Is preferred. Examples of the chlorine-based gas include Cl 2 , C
l 2 + O 2 , Ar + Cl 2 , Cl 2 + BCl 3 and the like. SF 6 , Ar + SF 6 , SF
6 + CHF 3 and the like.

【0040】タンタルを主成分とする材料としては、例
えば、タンタル単体や、ホウ化タンタル等のタンタル化
合物が挙げられる。
Examples of the material mainly containing tantalum include tantalum alone and tantalum compounds such as tantalum boride.

【0041】保護膜形成用固体材料は、使用するエッチ
ングガスとの反応物がパターン側面に堆積して保護膜を
形成しうるものであればよい。例えば、エッチングガス
として塩素を含有するガスを用いる場合にあっては、塩
素と反応するCr、Mo、Wなどの重金属、あるいはこ
れらの重金属を含む化合物(例えば、CrN、CrC、
CrO等)、又はこれらの重金属の合金や、Cを含む固
体材料等を使用できる。また、エッチングガスとして酸
素を含有する混合ガスを用いる場合にあっては、酸素と
反応する珪素を含む固体(被エッチング)材料(例え
ば、Si、SiN、SiC、SiO等)等を使用でき
る。
The solid material for forming the protective film may be any material as long as the reactant with the etching gas to be used can be deposited on the side of the pattern to form the protective film. For example, when a gas containing chlorine is used as an etching gas, heavy metals such as Cr, Mo, W, etc., which react with chlorine, or compounds containing these heavy metals (for example, CrN, CrC,
CrO), an alloy of these heavy metals, a solid material containing C, and the like. When a mixed gas containing oxygen is used as an etching gas, a solid (etched) material (eg, Si, SiN, SiC, SiO, or the like) containing silicon that reacts with oxygen can be used.

【0042】ドライエッチング装置としては、ICP
(Inductive Coupled Plasma)ドライエッチング装置、
プラズマエッチング装置、光エッチング装置、RIE
(反応性イオンエッチング:Reactive Ion Etching)装
置、反応性イオンビームエッチング(RIBE)装置、
スパッタエッチング装置、イオンビームエッチング装置
などが使用できる。図5にICP(Inductive Coupled
Plasma:高周波誘導プラズマ)ドライエッチング装置の
一例を示す。同図において、ICPドライエッチング装
置20は、基板載置台21、電極22、ICPコイル2
3、導入ガス系24び排気系25等で構成されており、
電極22及びコイル23にそれぞれ13.56MHzの
高周波を印加して、高周波誘導プラズマ26を発生させ
る。基板載置台21は冷却ガス(Heガス等)で冷却で
きる。排気はターボポンプなどで行い、排気量は適宜
(例えば1000l/s程度)調節できる。導入ガス及
び排気の流量等は制御系(図示せず)により調節できる
ようになっている。
As a dry etching apparatus, ICP
(Inductive Coupled Plasma) dry etching equipment,
Plasma etching equipment, light etching equipment, RIE
(Reactive Ion Etching) equipment, reactive ion beam etching (RIBE) equipment,
Sputter etching equipment, ion beam etching equipment and the like can be used. FIG. 5 shows an ICP (Inductive Coupled).
Plasma: shows an example of a dry etching apparatus. In the figure, an ICP dry etching apparatus 20 includes a substrate mounting table 21, an electrode 22, an ICP coil 2
3. It is composed of an introduction gas system 24 and an exhaust system 25, etc.
A high frequency of 13.56 MHz is applied to each of the electrode 22 and the coil 23 to generate a high frequency induction plasma 26. The substrate mounting table 21 can be cooled by a cooling gas (such as He gas). The exhaust is performed by a turbo pump or the like, and the amount of exhaust can be appropriately adjusted (for example, about 1000 l / s). The flow rates of the introduced gas and the exhaust gas can be adjusted by a control system (not shown).

【0043】上述した本発明のドライエッチング方法
は、タンタルを主成分とするX線吸収体膜のドライエッ
チングを行う工程を含むX線マスクの製造方法として好
適に利用できる。以下、本発明のX線マスクの製造方法
について説明する。
The above-described dry etching method of the present invention can be suitably used as a method of manufacturing an X-ray mask including a step of performing dry etching of an X-ray absorber film containing tantalum as a main component. Hereinafter, a method for manufacturing an X-ray mask of the present invention will be described.

【0044】本発明のX線マスクの製造方法で使用する
X線マスクブランクは、基板上にX線透過膜、タンタル
を主成分とするX線吸収体膜を少なくとも形成したもの
であり、必要に応じて、X線吸収体膜の下に、エッチン
グ停止層、密着層、反射防止層、導電層などを設けたも
のや、X線吸収体膜上に、マスク層、保護層、導電層な
どを設けたものである。これらの膜は通常基板上にスパ
ッタリング法で形成する。スパッタリング方法は特に制
限されないが、例えば、RFマグネトロンスパッタリン
グ法、DCスパッタリング法、DCマグネトロンスパッ
タリング法などが挙げられる。スパッタガスとしては、
アルゴン、キセノン、クリプトン、ヘリウムなどの不活
性ガス等の他、膜の組成に応じて反応性ガスを用いても
よい。
The X-ray mask blank used in the method of manufacturing an X-ray mask of the present invention is obtained by forming at least an X-ray transmission film and an X-ray absorber film mainly composed of tantalum on a substrate. Accordingly, an etching stop layer, an adhesion layer, an antireflection layer, a conductive layer, and the like are provided below the X-ray absorber film, and a mask layer, a protective layer, a conductive layer, and the like are provided on the X-ray absorber film. It is provided. These films are usually formed on a substrate by a sputtering method. The sputtering method is not particularly limited, and examples thereof include an RF magnetron sputtering method, a DC sputtering method, and a DC magnetron sputtering method. As the sputtering gas,
In addition to an inert gas such as argon, xenon, krypton, and helium, a reactive gas may be used depending on the composition of the film.

【0045】X線吸収体膜の材料としては、タンタルを
主成分とする材料を使用する。具体的には、例えば、T
aとBの化合物[例えばTa4B(Ta:B=8:2)
や、Ta4B以外の組成をもつホウ化タンタルなど]、
金属Ta、Taを含むアモルファス材料、Taと他の物
質を含むTa系の材料等が挙げられる。
As a material for the X-ray absorber film, a material containing tantalum as a main component is used. Specifically, for example, T
Compound of a and B [eg, Ta 4 B (Ta: B = 8: 2)
Or tantalum boride having a composition other than Ta 4 B],
Examples include metal Ta, an amorphous material containing Ta, and a Ta-based material containing Ta and other substances.

【0046】タンタルを主成分とするX線吸収体材料
は、Ta以外に少なくともBを含むことが好ましい。こ
れは、Ta及びBを含むX線吸収体膜は、内部応力が小
さく、高純度で不純物を含まず、X線吸収率が大きい等
の利点を有するからである。また、スパッタリングで成
膜する際のガス圧を制御することで容易に内部応力を制
御できるからである。
The X-ray absorber material containing tantalum as a main component preferably contains at least B in addition to Ta. This is because the X-ray absorber film containing Ta and B has advantages such as low internal stress, high purity, no impurities, and high X-ray absorption. Also, the internal stress can be easily controlled by controlling the gas pressure when forming a film by sputtering.

【0047】Ta及びBを含むX線吸収体膜におけるB
の割合は、15〜25原子%とすることが好ましい。X
線吸収体膜におけるBの割合が上記範囲を超えると微結
晶の粒径が大きくなりサブミクロンオーダーの微細加工
が難しくなる。なお、X線吸収体膜におけるBの割合に
関しては、本願出願人はすでに出願を行っている(特開
平2−192116号公報)。
B in the X-ray absorber film containing Ta and B
Is preferably 15 to 25 atomic%. X
If the proportion of B in the line absorber film exceeds the above range, the grain size of the microcrystal becomes large, and it becomes difficult to perform sub-micron order fine processing. The applicant of the present invention has already filed an application for the ratio of B in the X-ray absorber film (Japanese Patent Application Laid-Open No. 2-192116).

【0048】タンタルを主成分とするX線吸収体材料等
は、アモルファス構造あるいは微結晶構造を有すること
が好ましい。これは、結晶構造(金属構造)であるとサ
ブミクロンオーダーの微細加工が難しく、内部応力が大
きくX線マスクに歪みが生じるからである。
The X-ray absorber material mainly composed of tantalum preferably has an amorphous structure or a microcrystalline structure. This is because, if the crystal structure (metal structure) is used, it is difficult to perform fine processing on the order of submicrons, and the internal stress is large, causing distortion in the X-ray mask.

【0049】なお、X線吸収体膜の膜応力は、10MP
a以下であることが好ましい。また、X線吸収体膜の膜
厚は、0.3〜0.8μm程度であることが好ましい。
さらに、X線吸収体膜の膜応力と膜厚との積は0〜±1
×104dyn/cmの範囲内であることが好ましく、
0〜±5×103dyn/cmの範囲内であることがよ
り好ましい。これによって、不均一な応力分布に基づく
パターン歪みをなくし、高い位置精度の実現に寄与でき
る。膜応力や膜応力と膜厚との積が上記範囲を超える
と、垂直な側壁のパターンが得られたとしても、応力に
よる位置歪みが大きく、極めて高い位置精度を有するX
線マスクが得られない。
Incidentally, the film stress of the X-ray absorber film is 10MPa.
It is preferable that it is not more than a. The thickness of the X-ray absorber film is preferably about 0.3 to 0.8 μm.
Further, the product of the film stress and the film thickness of the X-ray absorber film is 0 to ± 1.
It is preferably within the range of × 10 4 dyn / cm,
More preferably, it is within the range of 0 ± 5 × 10 3 dyn / cm. This eliminates pattern distortion due to non-uniform stress distribution and contributes to realizing high positional accuracy. When the film stress or the product of the film stress and the film thickness exceeds the above range, even if a vertical sidewall pattern is obtained, the positional distortion due to the stress is large, and X having extremely high positional accuracy is obtained.
No line mask is obtained.

【0050】基板としては、シリコン基板(シリコンウ
エハ)どの公知の基板が挙げられる。X線透過膜として
は、SiC、SiN、ダイヤモンド薄膜などが挙げられ
る。メンブレンの高剛性化及びX線照射耐性等の観点か
らはSiCが好ましい。なお、X線透過膜の膜応力は、
50〜400MPa以下であることが好ましい。また、
X線透過膜の膜厚は、1〜3μm程度であることが好ま
しい。
As the substrate, a known substrate such as a silicon substrate (silicon wafer) can be used. Examples of the X-ray transmission film include SiC, SiN, and a diamond thin film. SiC is preferred from the viewpoints of increasing the rigidity of the membrane and resistance to X-ray irradiation. Note that the film stress of the X-ray transmission film is:
It is preferably 50 to 400 MPa or less. Also,
The thickness of the X-ray transmission film is preferably about 1 to 3 μm.

【0051】エッチング停止層及びエッチングマスク層
の材料としては、例えば、クロム、又はクロムと炭素及
び/又は窒素とを含む材料や、さらにこれらにエッチン
グ選択比や膜応力に影響を与えない範囲で酸素、フッ素
などの他の元素を添加した材料等が挙げられる。
As the material of the etching stop layer and the etching mask layer, for example, chromium or a material containing chromium and carbon and / or nitrogen, and oxygen as long as they do not affect the etching selectivity or the film stress. And a material to which another element such as fluorine is added.

【0052】エッチングマスク層の膜厚は、10〜20
0nm、好ましくは15〜60nm、より好ましくは3
0〜50nmである。エッチングマスク層の膜厚を薄く
すると、垂直な側壁のエッチングマスクパターンが得ら
れるとともにマイクロローディング効果の影響を低減で
きるので、エッチングマスクパターンをマスクとしてX
線吸収体材料層をドライエッチングする際のパターン変
換差を低減できる。
The thickness of the etching mask layer is 10 to 20
0 nm, preferably 15-60 nm, more preferably 3 nm.
0 to 50 nm. When the thickness of the etching mask layer is reduced, an etching mask pattern on a vertical side wall can be obtained and the influence of the microloading effect can be reduced.
The pattern conversion difference at the time of dry-etching the line absorber material layer can be reduced.

【0053】エッチング停止層の膜厚は、5〜100n
m、好ましくは7〜50nm、より好ましくは10〜3
0nmである。エッチング停止層の膜厚を薄くすると、
エッチング時間が短くできるので、エッチング停止層を
除去する際のX線吸収体パターンのエッチングによる形
状変化を低減できる。
The thickness of the etching stopper layer is 5 to 100 n.
m, preferably 7 to 50 nm, more preferably 10 to 3
0 nm. When the thickness of the etching stop layer is reduced,
Since the etching time can be shortened, it is possible to reduce a change in shape of the X-ray absorber pattern due to etching when removing the etching stop layer.

【0054】エッチング停止層、エッチングマスク層に
おける膜応力と膜厚との積は、±1×104dyn/c
m以下であることが好ましい。膜応力と膜厚との積が上
記範囲を超えると、垂直な側壁のパターンが得られたと
しても、応力による位置歪みが大きく、極めて高い位置
精度を有するX線マスクが得られない。
The product of the film stress and the film thickness in the etching stop layer and the etching mask layer is ± 1 × 10 4 dyn / c.
m or less. If the product of the film stress and the film thickness exceeds the above range, even if a vertical side wall pattern is obtained, positional distortion due to the stress is large, and an X-ray mask having extremely high positional accuracy cannot be obtained.

【0055】本発明のX線マスクの製造方法では、上述
したX線マスクブランクを用いてX線マスクを製造す
る。この際、タンタルを主成分とするX線吸収体膜のエ
ッチングには、上述した本発明のドライエッチング方法
を使用する。
In the method of manufacturing an X-ray mask of the present invention, an X-ray mask is manufactured using the above-described X-ray mask blank. At this time, the above-described dry etching method of the present invention is used for etching the X-ray absorber film mainly containing tantalum.

【0056】X線マスクの他の製造工程に関しては特に
制限されず、従来より公知のX線マスクの製造工程を使
用できる。
Other manufacturing steps of the X-ray mask are not particularly limited, and a conventionally known X-ray mask manufacturing step can be used.

【0057】例えば、エッチングマスク層のパターニン
グには、レジスト(フォト、電子線)を用いたリソグラ
フィー法(レジスト塗布、露光、現像、エッチング、レ
ジスト剥離、洗浄など)、多層レジスト法、多層マスク
(金属膜/レジスト膜等)法などの公知のパターニング
技術を使用できる。レジストを用いる場合にあっては、
レジストの膜厚は薄い方が好ましく、50〜1000n
m、好ましくは100〜300nmである。
For example, the etching mask layer is patterned by a lithography method using a resist (photo, electron beam) (resist coating, exposure, development, etching, resist peeling, washing, etc.), a multi-layer resist method, a multi-layer mask (metal A known patterning technique such as a film / resist film method can be used. When using resist,
The thickness of the resist is preferably as thin as 50 to 1000 n.
m, preferably 100 to 300 nm.

【0058】エッチングマスク層及びエッチング停止層
をドライエッチングする際のエッチングガスとしては、
塩素と酸素の混合ガスを用いることが好ましい。これ
は、エッチングガスである塩素に対して酸素を混入させ
た混合ガスによるエッチングを行うことで、タンタルを
主成分とする材料のエッチング速度(エッチングレー
ト)を極端に低下させることができるので、タンタルを
主成分とする材料に対するCrと炭素及び/又は窒素と
を含む材料等のエッチング選択比(Cr/Ta)を大き
くすることが可能となり、塩素ガス単体によるエッチン
グの場合(エッチング選択比は0.1)に比べ、相対エ
ッチング速度を逆転(1以上に)することが可能となる
からである。
The etching gas for dry-etching the etching mask layer and the etching stop layer is as follows.
It is preferable to use a mixed gas of chlorine and oxygen. This is because the etching rate (etching rate) of a material containing tantalum as a main component can be extremely reduced by performing etching using a mixed gas in which oxygen is mixed with chlorine as an etching gas. It is possible to increase the etching selectivity (Cr / Ta) of a material containing Cr and carbon and / or nitrogen with respect to a material containing as a main component. This is because the relative etching rate can be reversed (1 or more) as compared with 1).

【0059】[0059]

【実施例】以下、実施例にもとづき本発明をさらに詳細
に説明する。
The present invention will be described below in more detail with reference to examples.

【0060】実施例1 X線マスクブランクの製造 図6は本発明の一実施例に係るX線マスクブランクの製
造工程を示す断面図である。
Embodiment 1 Manufacturing of X-ray Mask Blank FIG. 6 is a sectional view showing a manufacturing process of an X-ray mask blank according to one embodiment of the present invention.

【0061】まず、シリコン基板11の両面に、X線透
過膜12として、炭化ケイ素膜を成膜した(図6
(a))。ここで、シリコン基板11としては、大きさ
3インチφ、厚さ2mmで結晶方位(100)のシリコ
ン基板を用いた。また、X線透過膜12である炭化ケイ
素膜は、ジクロロシランとアセチレンを用いてCVD法
により2μmの厚みに成膜した。次いで、機械研磨によ
り炭化ケイ素膜の表面の平坦化を行い、Ra=1nm以
下の表面粗さを得た。
First, a silicon carbide film was formed on both surfaces of the silicon substrate 11 as the X-ray transmission film 12 (FIG. 6).
(A)). Here, as the silicon substrate 11, a silicon substrate having a size of 3 inches φ, a thickness of 2 mm and a crystal orientation (100) was used. The silicon carbide film as the X-ray transmission film 12 was formed to a thickness of 2 μm by a CVD method using dichlorosilane and acetylene. Next, the surface of the silicon carbide film was flattened by mechanical polishing to obtain a surface roughness of Ra = 1 nm or less.

【0062】次に、X線透過膜12上に、タンタル及び
ホウ素からなるX線吸収体膜13をRFマグネトロンス
パッタリング法によって0.5μmの厚さで形成した
(図6(b))。この際、スパッタターゲットは、タン
タルとホウ素を原子数比(Ta/B)で8/2の割合で
含む焼結体とした。スパッタ条件は、スパッタガス:A
r、RFパワー密度:6.5W/cm2、スパッタガス
圧:1.0Paとした。
Next, an X-ray absorber film 13 made of tantalum and boron was formed on the X-ray transmission film 12 to a thickness of 0.5 μm by RF magnetron sputtering (FIG. 6B). At this time, the sputter target was a sintered body containing tantalum and boron at an atomic ratio (Ta / B) of 8/2. The sputtering conditions were as follows: sputtering gas: A
r, RF power density: 6.5 W / cm 2 , sputtering gas pressure: 1.0 Pa.

【0063】続いて、上記基板について、250℃、2
時間アニーリングを行って、5MPa以下の低応力のX
線吸収体膜13を得た。
Subsequently, the above substrate was heated at 250 ° C. for 2 hours.
Time annealing is performed to obtain a low stress X of 5 MPa or less.
A line absorber film 13 was obtained.

【0064】次に、X線吸収体膜13上に、エッチング
マスク層14としてクロムと炭素を含む膜をRFマグネ
トロンスパッタリング法によって0.05μmの厚さで
形成した。この結果、100MPa以下の低応力のエッ
チングマスク層14を得た(図6(c))。この際、ス
パッタターゲットにはCrを用い、スパッタ条件は、ス
パッタガス:Arにメタンを7%混合したガス、RFパ
ワー密度:6.5W/cm2、スパッタガス圧:1.2
Paとした。
Next, on the X-ray absorber film 13, a film containing chromium and carbon was formed as an etching mask layer 14 to a thickness of 0.05 μm by RF magnetron sputtering. As a result, an etching mask layer 14 having a low stress of 100 MPa or less was obtained (FIG. 6C). At this time, Cr was used as a sputtering target, and sputtering conditions were as follows: sputtering gas: a gas in which methane was mixed with 7% in Ar, RF power density: 6.5 W / cm 2 , and sputtering gas pressure: 1.2.
Pa.

【0065】X線マスクの製造 上記で得られたX線マスクブランクを用いて、X線マス
クを製造した。
Production of X-Ray Mask An X-ray mask was produced using the X-ray mask blank obtained above.

【0066】具体的には、まず、X線マスクブランク上
に塗布した電子線レジスト(ZEP:日本ゼオン社製)
に最小線幅0.10μmを含むラインアンドスペース
(以下、L&Sと記す)パターンを電子線描画し、湿式
現像によって電子線レジストパターンを形成した。
Specifically, first, an electron beam resist (ZEP: manufactured by Zeon Corporation) applied on an X-ray mask blank
Then, a line and space (hereinafter, referred to as L & S) pattern including a minimum line width of 0.10 μm was drawn by an electron beam, and an electron beam resist pattern was formed by wet development.

【0067】この電子線レジストパターンをマスクとし
て、図5に示すICP(inductivecoupled plasma)エ
ッチング装置を用いて、コイルパワー:200W、バイ
アス:0〜0.3×10-3W/cm2のエッチング条件
下、基板部分を10℃に冷却しながら塩素と酸素の混合
ガス(ガス流速;塩素:25sccm、酸素:5scc
m)にてエッチングマスク層のエッチングを行い、エッ
チングマスクパターンを得た。
Using this electron beam resist pattern as a mask, using an ICP (inductively coupled plasma) etching apparatus shown in FIG. 5, etching conditions of coil power: 200 W, bias: 0 to 0.3 × 10 −3 W / cm 2 . A mixed gas of chlorine and oxygen (gas flow rate; chlorine: 25 sccm, oxygen: 5 scc) while cooling the substrate to 10 ° C.
In step m), the etching mask layer was etched to obtain an etching mask pattern.

【0068】このエッチングマスクパターンをマスクと
して、ICPドライエッチング装置を用い、コイルパワ
ー:500〜800W、バイアス:6.0×10-3W/
cm2のエッチング条件下、基板部分を10℃に冷却し
ながら塩素(Cl2)(ガス流速;40〜100scc
m)をエッチングガスとして用いて、X線吸収体膜のエ
ッチングを行った。この際、0.2μm以上のパターン
のジャストエッチング時間に対して100%オーバーエ
ッチングを行った(エッチング時間はジャストエッチン
グ時間の2倍)。また、ICPドライエッチング装置と
して、2つの反応チャンバーを有するICPドライエッ
チング装置を使用し、エッチングマスク層のエッチング
に使用した反応チャンバーとは別の反応チャンバーを使
用した。これは、それぞれの工程に用いられるエッチン
グガス及び被エッチング材料が異なっており、ドライエ
ッチングでは反応チャンバーの微妙な環境汚染がエッチ
ング速度及びエッチング選択比の再現性に大きく影響す
るため、各反応チャンバーの管理には十分注意を払う必
要があり、反応チャンバーは各工程毎に設けることが好
ましいからである。X線吸収体膜のエッチングを行う反
応チャンバーには、基板の載置台としてCrで被覆され
た載置台を用いた。これにより、X線吸収体膜(Ta4
B)のエッチング時に、載置台のCrもエッチングされ
てCr反応物が生成され、選択的にパターン側壁にCr
反応物を再付着させて、パターン側壁の等方性エッチン
グを抑制して、パターンのアンダーカットを制御した。
なお、Crのエッチングと再付着のバランスは、主とし
て導入するガスの流量、排気速度、Crの露出面積によ
って制御した。
Using this etching mask pattern as a mask, using an ICP dry etching apparatus, coil power: 500 to 800 W, bias: 6.0 × 10 −3 W /
Chlorine (Cl 2 ) (gas flow rate: 40 to 100 sccc) while cooling the substrate to 10 ° C. under the etching condition of cm 2.
The x-ray absorber film was etched using m) as an etching gas. At this time, 100% over-etching was performed with respect to the just etching time of the pattern of 0.2 μm or more (the etching time was twice the just etching time). Further, as the ICP dry etching apparatus, an ICP dry etching apparatus having two reaction chambers was used, and a reaction chamber different from the reaction chamber used for etching the etching mask layer was used. This is because the etching gas and the material to be etched used in each process are different, and in dry etching, delicate environmental contamination of the reaction chamber greatly affects the reproducibility of the etching rate and etching selectivity. It is necessary to pay sufficient attention to management, and it is preferable to provide a reaction chamber for each step. In the reaction chamber for etching the X-ray absorber film, a mounting table coated with Cr was used as a mounting table for the substrate. Thereby, the X-ray absorber film (Ta 4
In the etching of B), Cr on the mounting table is also etched to generate a Cr reactant, and Cr is selectively formed on the pattern side wall.
The reactants were redeposited to suppress isotropic etching of the pattern sidewalls and control the pattern undercut.
The balance between the etching and re-deposition of Cr was mainly controlled by the flow rate of the introduced gas, the pumping speed, and the exposed area of Cr.

【0069】最後に、エッチングマスクパターン等の不
必要膜を除去してX線マスクを得た。
Finally, an unnecessary film such as an etching mask pattern was removed to obtain an X-ray mask.

【0070】評価 上記で得られたX線マスクのパターン断面をSEM(Sc
anning Electron Microscope)によって形状観察した結
果、パターンのアンダーカットがなく、側壁が垂直に形
成された極めて良好(側壁の垂直性、側壁の表面状態、
ラインの直線性等)な0.10μmのL&SのX線吸収
体パターンの形成が確認された。
Evaluation The cross section of the pattern of the X-ray mask obtained above was examined by SEM (Sc
As a result of observing the shape with an anning electron microscope, it was found that there was no pattern undercut and the sidewalls were formed vertically (the verticality of the sidewalls, the surface condition of the sidewalls,
The formation of a 0.10 μm L & S X-ray absorber pattern with line linearity and the like was confirmed.

【0071】また、上記で得られたX線マスクの位置歪
みを座標測定機により評価した結果、1Gbit−DR
AM用のX線マスクに要求される22nm以下の位置歪
みであり、高い位置精度が実現できることを確認した。
The position distortion of the X-ray mask obtained above was evaluated by a coordinate measuring machine, and as a result, 1 Gbit-DR was obtained.
The positional distortion required for the AM X-ray mask was 22 nm or less, and it was confirmed that high positional accuracy could be realized.

【0072】以上好ましい実施例をあげて本発明を説明
したが、本発明は上記実施例に限定されるものではな
い。
Although the present invention has been described with reference to the preferred embodiments, the present invention is not limited to the above embodiments.

【0073】例えば、保護膜形成用固体材料はCrに限
られず、Siや、Mo、W、カーボン等を用いても同様
の作用効果が認められる。
For example, the solid material for forming the protective film is not limited to Cr, and similar effects can be obtained by using Si, Mo, W, carbon or the like.

【0074】また、上記実施例ではエッチングガスとし
てCl2を用いたが、これに限定されず、SF6等のフッ
素系ガスを用いることもできる。ただし、SF6等を用
いる場合、保護膜形成用固体材料とエッチングガスとの
反応物の蒸気圧、及び保護膜としてパターン側面に堆積
させる反応物の化学種等を考慮し、保護膜形成用固体材
料を選択する。
In the above embodiment, Cl 2 is used as the etching gas. However, the present invention is not limited to this, and a fluorine-based gas such as SF 6 may be used. However, when SF 6 or the like is used, the vapor pressure of the reactant between the solid material for forming the protective film and the etching gas, and the chemical species of the reactant deposited on the side surface of the pattern as the protective film are taken into consideration. Select a material.

【0075】さらに、X線吸収体膜のドライエッチング
条件は実施例の値に制限されず、例えば、コイルパワー
は0〜800W、基板バイアスは0〜300W、基板温
度は−10〜30℃、ガス圧は0.1〜40mTorr
の範囲で調節できる。また、オーバーエッチングは10
0%に限られず、例えば50〜120%の範囲内で適宜
選択することができる。
Further, the dry etching conditions of the X-ray absorber film are not limited to the values of the embodiment, for example, the coil power is 0 to 800 W, the substrate bias is 0 to 300 W, the substrate temperature is -10 to 30 ° C. Pressure is 0.1-40mTorr
Can be adjusted in the range. The over-etching is 10
It is not limited to 0%, and can be appropriately selected within a range of, for example, 50 to 120%.

【0076】また、X線吸収体膜のエッチングに使用す
るICPドライエッチング装置は、エッチングマスク層
のエッチングに使用したICPドライエッチング装置と
は別個の装置とすることもできる。
The ICP dry etching apparatus used for etching the X-ray absorber film may be a separate apparatus from the ICP dry etching apparatus used for etching the etching mask layer.

【0077】なお、本発明においては、被エッチング薄
膜の厚さは特に制限されず、また、本発明には被エッチ
ング材料のエッチングを行う場合も含まれる。
In the present invention, the thickness of the thin film to be etched is not particularly limited, and the present invention includes a case where the material to be etched is etched.

【0078】[0078]

【発明の効果】以上説明したように本発明のドライエッ
チング方法及びX線マスクの製造方法によれば、炭化水
素ガスを使用せず、保護膜形成用固体材料とエッチング
ガスとの反応物をパターン側面に堆積させて保護膜を形
成しているので、保護膜形成用ガスとして炭化水素ガス
を用いる従来法の問題点を解消できるとともに、アンダ
ーカットがなく極めて垂直性の高いパターンを再現性良
く容易に作製することができる。
As described above, according to the dry etching method and the X-ray mask manufacturing method of the present invention, a reactant between a solid material for forming a protective film and an etching gas is patterned without using a hydrocarbon gas. Since the protective film is formed by depositing on the side surface, the problem of the conventional method using hydrocarbon gas as the protective film forming gas can be solved, and an extremely vertical pattern without undercut can be easily reproduced with good reproducibility. Can be manufactured.

【0079】また、本発明のX線マスクの製造方法によ
れば、0.1μmのデザインルールにおいても、極めて
垂直性の高いパターンを再現性良く容易に作製すること
ができる。
Further, according to the method of manufacturing an X-ray mask of the present invention, a pattern having extremely high perpendicularity can be easily manufactured with good reproducibility even with a design rule of 0.1 μm.

【図面の簡単な説明】[Brief description of the drawings]

【図1】X線マスクの構造を説明するための断面図であ
る。
FIG. 1 is a cross-sectional view for explaining a structure of an X-ray mask.

【図2】X線マスクブランクを説明するための断面図で
ある。
FIG. 2 is a cross-sectional view illustrating an X-ray mask blank.

【図3】基板の載置台の一例を示す斜視図である。FIG. 3 is a perspective view showing an example of a substrate mounting table.

【図4】基板の載置台の一例を示す平面図である。FIG. 4 is a plan view showing an example of a substrate mounting table.

【図5】ICPドライエッチング装置の一例を示す斜視
図である。
FIG. 5 is a perspective view showing an example of an ICP dry etching apparatus.

【図6】本発明の一実施例に係るX線マスクブランクの
製造工程を示す断面図である。
FIG. 6 is a cross-sectional view showing a manufacturing process of the X-ray mask blank according to one embodiment of the present invention.

【図7】パターンのアンダーカットを説明するための断
面図である。
FIG. 7 is a cross-sectional view for explaining an undercut of a pattern.

【符号の説明】[Explanation of symbols]

1 X線マスク 2 X線マスクブランク 11 シリコン基板 11a 支持基板(支持枠) 12 X線透過膜 13 X線吸収体膜 13a X線吸収体パターン 14 エッチングマスク層 20 ICPドライエッチング装置 21 基板載置台 22 電極 23 コイル 24 導入ガス系 25 排気系 26 高周波誘導プラズマ 27 被エッチング基板 30 アンダーカット DESCRIPTION OF SYMBOLS 1 X-ray mask 2 X-ray mask blank 11 Silicon substrate 11a Support substrate (support frame) 12 X-ray transmission film 13 X-ray absorber film 13a X-ray absorber pattern 14 Etching mask layer 20 ICP dry etching apparatus 21 Substrate mounting table 22 Electrode 23 Coil 24 Introduced gas system 25 Exhaust system 26 High frequency induction plasma 27 Substrate to be etched 30 Undercut

Claims (12)

【特許請求の範囲】[Claims] 【請求項1】 薄膜をドライエッチングしてパターン形
成を行う際に、パターン側面のエッチングの進行を妨げ
る保護膜をパターン側面に形成しつつ薄膜のドライエッ
チングを行うドライエッチング方法であって、 ドライエッチング装置内に前記薄膜を有する被エッチン
グ基板と共に保護膜形成用固体材料を配置し、前記薄膜
のドライエッチングを行うと共に前記保護膜形成用固体
材料とエッチングガスとの反応物をパターン側面に堆積
させて保護膜をパターン側面に形成しつつドライエッチ
ングを行うことを特徴とするドライエッチング方法。
1. A dry etching method for dry-etching a thin film while forming a protective film on the side surface of the pattern when forming a pattern by dry-etching the thin film. Placing a protective film forming solid material together with the substrate to be etched having the thin film in the apparatus, performing dry etching of the thin film and depositing a reactant of the protective film forming solid material and an etching gas on a pattern side surface. A dry etching method comprising performing dry etching while forming a protective film on a pattern side surface.
【請求項2】 前記薄膜のドライエッチングによるパタ
ーン形成を行う際に、オーバーエッチングを行うことを
特徴とする請求項1に記載のドライエッチング方法。
2. The dry etching method according to claim 1, wherein over-etching is performed when the pattern is formed by dry etching of the thin film.
【請求項3】 前記保護膜形成用固体材料とエッチング
ガスとの反応物の蒸気圧が、前記薄膜を形成する材料と
エッチングガスとの反応物の蒸気圧よりも低くなるよう
な材料を保護膜形成用固体材料として用いることを特徴
とする請求項1又は2に記載のドライエッチング方法。
3. A protective film is formed by using a material such that a vapor pressure of a reactant of the etching gas and the solid material for forming the protective film is lower than a vapor pressure of a reactant of the etching gas and the material forming the thin film. The dry etching method according to claim 1, wherein the dry etching method is used as a forming solid material.
【請求項4】 前記保護膜の堆積の制御を、導入するエ
ッチングガスの流速の制御、排気速度の制御、保護膜形
成用固体材料の露出面積の制御により行うことを特徴と
する請求項1乃至3に記載のドライエッチング方法。
4. The method according to claim 1, wherein the deposition of the protective film is controlled by controlling a flow rate of an etching gas to be introduced, controlling a pumping speed, and controlling an exposed area of the solid material for forming the protective film. 4. The dry etching method according to 3.
【請求項5】 前記薄膜が、タンタルを主成分とする材
料からなることを特徴とする請求項1乃至4に記載のド
ライエッチング方法。
5. The dry etching method according to claim 1, wherein the thin film is made of a material containing tantalum as a main component.
【請求項6】 前記エッチングガスとして塩素系ガスを
用い、前記保護膜形成用固体材料として塩素と反応する
重金属を用いて、前記保護膜を前記重金属と塩素との反
応物としたことを特徴とする請求項1乃至5に記載のド
ライエッチング方法。
6. The protective film is a reactant of the heavy metal and chlorine, using a chlorine-based gas as the etching gas, and using a heavy metal that reacts with chlorine as the solid material for forming the protective film. The dry etching method according to claim 1, wherein:
【請求項7】 前記重金属が、Cr、Mo、W、あるい
はこれらの重金属を含む化合物又はこれらの合金である
ことを特徴とする請求項6に記載のドライエッチング方
法。
7. The dry etching method according to claim 6, wherein the heavy metal is Cr, Mo, W, a compound containing these heavy metals, or an alloy thereof.
【請求項8】 前記エッチングガスとして酸素を含有す
る混合ガスを用い、前記保護膜形成用固体材料として珪
素を含む材料を用いて、前記保護膜を珪素と酸素との反
応物としたことを特徴とする請求項1乃至5に記載のド
ライエッチング方法。
8. The protective film is a reaction product of silicon and oxygen, using a mixed gas containing oxygen as the etching gas and using a material containing silicon as the solid material for forming the protective film. 6. The dry etching method according to claim 1, wherein:
【請求項9】 前記保護膜形成用固体材料が、基板載置
台の基板載置面に基板を載置したときの露出部分に少な
くとも配置されていることを特徴とする請求項1乃至8
に記載のドライエッチング方法。
9. The apparatus according to claim 1, wherein the solid material for forming a protective film is disposed at least at an exposed portion when the substrate is mounted on the substrate mounting surface of the substrate mounting table.
3. The dry etching method according to 1.
【請求項10】 請求項1〜9に記載のドライエッチン
グ方法を用いて、タンタルを主成分とするX線吸収体膜
のドライエッチングを行うことを特徴とするX線マスク
の製造方法。
10. A method of manufacturing an X-ray mask, comprising performing dry etching of an X-ray absorber film containing tantalum as a main component by using the dry etching method according to claim 1.
【請求項11】 2つの反応チャンバーを有するICP
ドライエッチング装置を使用し、エッチングマスク層の
エッチングに使用した反応チャンバーとは別の反応チャ
ンバーをX線吸収体膜のエッチングに使用することを特
徴とする請求項10に記載のX線マスクの製造方法。
11. An ICP having two reaction chambers.
The manufacturing of the X-ray mask according to claim 10, wherein a dry etching apparatus is used, and a reaction chamber different from the reaction chamber used for etching the etching mask layer is used for etching the X-ray absorber film. Method.
【請求項12】X線吸収体膜の上下に、クロム、又はク
ロムと炭素及び/又は窒素とを含む材料からなるエッチ
ングマスク層及びエッチング停止層を形成することを特
徴とする請求項10又は11に記載のX線マスクの製造
方法。
12. An etching mask layer and an etching stop layer made of chromium or a material containing chromium and carbon and / or nitrogen are formed on and under the X-ray absorber film. 3. The method for manufacturing an X-ray mask according to 1.
JP5901098A 1998-02-24 1998-02-24 Dry etching method and X-ray mask manufacturing method Expired - Fee Related JP3995784B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP5901098A JP3995784B2 (en) 1998-02-24 1998-02-24 Dry etching method and X-ray mask manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP5901098A JP3995784B2 (en) 1998-02-24 1998-02-24 Dry etching method and X-ray mask manufacturing method

Publications (2)

Publication Number Publication Date
JPH11236686A true JPH11236686A (en) 1999-08-31
JP3995784B2 JP3995784B2 (en) 2007-10-24

Family

ID=13100902

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5901098A Expired - Fee Related JP3995784B2 (en) 1998-02-24 1998-02-24 Dry etching method and X-ray mask manufacturing method

Country Status (1)

Country Link
JP (1) JP3995784B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010267836A (en) * 2009-05-15 2010-11-25 Shin-Etsu Chemical Co Ltd Etching method and method of processing photomask blank
JP2012129505A (en) * 2010-11-22 2012-07-05 Shibaura Mechatronics Corp Method of manufacturing reflective mask, and apparatus of manufacturing reflective mask
TWI594069B (en) * 2011-09-21 2017-08-01 Hoya Corp Method of manufacturing a transfer mask

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010267836A (en) * 2009-05-15 2010-11-25 Shin-Etsu Chemical Co Ltd Etching method and method of processing photomask blank
US8920666B2 (en) 2009-05-15 2014-12-30 Shin-Etsu Chemical Co., Ltd. Etching method and photomask blank processing method
JP2012129505A (en) * 2010-11-22 2012-07-05 Shibaura Mechatronics Corp Method of manufacturing reflective mask, and apparatus of manufacturing reflective mask
US9507251B2 (en) 2010-11-22 2016-11-29 Shibaura Mechatronics Corporation Method for manufacturing reflective mask and apparatus for manufacturing reflective mask
TWI594069B (en) * 2011-09-21 2017-08-01 Hoya Corp Method of manufacturing a transfer mask

Also Published As

Publication number Publication date
JP3995784B2 (en) 2007-10-24

Similar Documents

Publication Publication Date Title
JPH0245927A (en) Etching method
EP0381467A1 (en) Method of manufacturing an x-ray mask
JPS60105235A (en) Method of reactive ion etching aluminum and aluminum alloy and mixture gas therefor
KR102482649B1 (en) Method for fabricating a pellicle for EUV(extreme ultraviolet) lithography
EP0473344B1 (en) Process for etching a conductive bi-layer structure
JP3105990B2 (en) X-ray mask and method of manufacturing X-ray mask
US5685950A (en) Dry etching method
JP4027458B2 (en) X-ray mask blank, method for manufacturing the same, and method for manufacturing the X-ray mask
JP3995784B2 (en) Dry etching method and X-ray mask manufacturing method
JPH10161300A (en) X-ray mask blank, x-ray mask and pattern transfer method
JP4204805B2 (en) Electron beam mask substrate, electron beam mask blanks, and electron beam mask
AU744883B2 (en) Method of forming a silicon layer on a surface
JPH10198023A (en) X-ray exposure mask and its production
JP3631017B2 (en) X-ray mask blank and manufacturing method thereof, and X-ray mask and manufacturing method thereof
US6317480B1 (en) Method of manufacturing X-ray mask and X-ray mask blank, and X-ray mask and X-ray mask blank manufactured thereby
US6197457B1 (en) X-ray mask and method of fabricating the same
JP3186031B2 (en) Etching gas
JP3080860B2 (en) Dry etching method
JP3220246B2 (en) X-ray mask manufacturing method
JPH11219899A (en) X-ray mask blank, its manufacture, and manufacture of x-ray mask
JPH09281689A (en) Formation of mask pattern and production of x-ray mask
JPH10135130A (en) X-ray mask blank, its manufacture, and x-ray mask
JPS6237530B2 (en)
JPH05136103A (en) Etching method
JPH08264419A (en) Manufacture of x-ray mask

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050126

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20061226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070116

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070319

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070731

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070801

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100810

Year of fee payment: 3

R150 Certificate of patent (=grant) or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100810

Year of fee payment: 3

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110810

Year of fee payment: 4

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110810

Year of fee payment: 4

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120810

Year of fee payment: 5

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120810

Year of fee payment: 5

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130810

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees