JPH11163283A - Semiconductor integrated circuit device and manufacture thereof - Google Patents

Semiconductor integrated circuit device and manufacture thereof

Info

Publication number
JPH11163283A
JPH11163283A JP9327474A JP32747497A JPH11163283A JP H11163283 A JPH11163283 A JP H11163283A JP 9327474 A JP9327474 A JP 9327474A JP 32747497 A JP32747497 A JP 32747497A JP H11163283 A JPH11163283 A JP H11163283A
Authority
JP
Japan
Prior art keywords
insulating film
film
integrated circuit
circuit device
semiconductor integrated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9327474A
Other languages
Japanese (ja)
Inventor
Takahiro Kumochi
隆宏 熊内
Yoshitaka Tadaki
▲芳▼▲隆▼ 只木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP9327474A priority Critical patent/JPH11163283A/en
Publication of JPH11163283A publication Critical patent/JPH11163283A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To prevent breaking based in the manufacturing process of a lower electrode for the capacitive element for DRAM information storage. SOLUTION: In a DRAM with memory cells formed of MISFETs Qs for selecting the memory cells formed onto the main surface of a semiconductor substrate 1 and capacitive elements C for storing information being formed to the upper section of the MISFETs Qs and consisting of lower electrodes 21, capacitive insulating films 22 and plate electrodes 23, reinforcing members 24 are formed on the outer circumferential walls of the columnar sections of the lower electrodes 21. The reinforcing members 24 are constituted of a material (such as a silicon oxide film through CVD method) having an etching rate slower than that of the material (such as an SOG film) of a core member for forming erecting sections 21c of the lower electrodes 21.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体集積回路装
置およびその製造技術に関し、特に、DRAM(Dynami
c Random Access Memory)を有する半導体集積回路装置
に適用して有効な技術に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor integrated circuit device and its manufacturing technique, and more particularly to a DRAM (Dynami
(c) Random Access Memory).

【0002】[0002]

【従来の技術】DRAM(Dynamic Random Access Memo
ry)のメモリセルは、半導体基板の主面上にマトリクス
状に配置された複数のワード線と複数のビット線との交
点に配置され、1個のメモリセル選択用MISFET(M
etal Insulator SemiconductorField Effect Transisto
r) とこれに直列に接続された1個の情報蓄積用容量素
子(キャパシタ)とで構成されている。メモリセル選択
用MISFETは、周囲を素子分離領域で囲まれた活性
領域に形成され、主としてゲート酸化膜、ワード線と一
体に構成されたゲート電極およびソース、ドレインを構
成する一対の半導体領域で構成されている。ビット線
は、メモリセル選択用MISFETの上部に配置され、
その延在方向に隣接する2個のメモリセル選択用MIS
FETによって共有されるソース、ドレインの一方と電
気的に接続されている。情報蓄積用容量素子は、同じく
メモリセル選択用MISFETの上部に配置され、上記
ソース、ドレインの他方と電気的に接続されている。
2. Description of the Related Art DRAM (Dynamic Random Access Memory)
The ry) memory cells are arranged at intersections of a plurality of word lines and a plurality of bit lines arranged in a matrix on the main surface of the semiconductor substrate, and one memory cell selecting MISFET (M
etal Insulator SemiconductorField Effect Transisto
r) and one information storage capacitance element (capacitor) connected in series to this. The memory cell selection MISFET is formed in an active region surrounded by an element isolation region, and mainly includes a gate oxide film, a gate electrode integrally formed with a word line, and a pair of semiconductor regions forming a source and a drain. Have been. The bit line is arranged above the memory cell selecting MISFET,
Two memory cell selecting MISs adjacent in the extending direction
It is electrically connected to one of the source and drain shared by the FET. The information storage capacitance element is similarly disposed above the memory cell selection MISFET, and is electrically connected to the other of the source and the drain.

【0003】特開平9−55479号公報には、ビット
線の上部に情報蓄積用容量素子を配置するキャパシタ・
オーバー・ビットライン(Capacitor Over Bitline)構造
のDRAMを開示している。この公報に記載されたDR
AMは、メモリセルの微細化に伴う情報蓄積用容量素子
の蓄積電荷量(Cs)の減少を補うために、ビット線の上
部に配置した情報蓄積用容量素子の下部電極(蓄積電
極)を円筒状に加工することによってその表面積を増や
し、その上部に容量絶縁膜と上部電極(プレート電極)
とを形成している。
Japanese Patent Application Laid-Open No. 9-55479 discloses a capacitor having an information storage capacitor disposed above a bit line.
A DRAM having a Capacitor Over Bitline structure is disclosed. DR described in this publication
In order to compensate for the decrease in the amount of charge (Cs) stored in the information storage capacitor due to the miniaturization of the memory cell, AM uses a cylindrical lower electrode (storage electrode) of the information storage capacitor arranged above the bit line. The surface area is increased by processing into a shape, and a capacitor insulating film and an upper electrode (plate electrode)
And form.

【0004】また、上記下部電極は、上方に開口を有す
る円筒部分とその円筒部分を支える円柱部分とで構成さ
れ、円柱部分の一部をも露出して円筒部分の内面および
側面のみならず円筒部分の底面および円柱部分の側面に
も容量絶縁膜および上部電極を形成し、蓄積電荷量を増
加するような構造としている。
The lower electrode is composed of a cylindrical portion having an opening upward and a cylindrical portion for supporting the cylindrical portion, and a portion of the cylindrical portion is exposed to expose not only the inner surface and the side surface of the cylindrical portion but also the cylindrical portion. A capacitance insulating film and an upper electrode are also formed on the bottom surface of the portion and the side surface of the columnar portion, so as to increase the amount of accumulated charges.

【0005】[0005]

【発明が解決しようとする課題】しかし、上記のような
構造では、円筒部分を支える円柱部分は接続孔内に形成
された下部電極を構成する多結晶シリコン膜の一部で形
成されるものであり、円筒部分と比較して相当に小さな
径で形成されるため、不安定な構造となる。また、集積
度の向上にしたがって、必要な蓄積電荷量を確保する必
要から円筒部分の側壁が高くなる傾向にあり、構造の不
安定さはさらに大きくなる傾向にある。
However, in the above structure, the cylindrical portion supporting the cylindrical portion is formed by a part of the polycrystalline silicon film constituting the lower electrode formed in the connection hole. Yes, it is formed with a considerably smaller diameter than the cylindrical portion, resulting in an unstable structure. Further, as the degree of integration increases, the side wall of the cylindrical portion tends to increase due to the necessity of securing a necessary amount of accumulated charge, and the instability of the structure tends to further increase.

【0006】このため、半導体集積回路装置の製造工程
において下部電極を露出させた際に、上部に形成される
円筒部分を円柱部分で支えきれず、円柱部分が機械的に
折損され、半導体集積回路装置に不良を発生させる原因
となる。
Therefore, when the lower electrode is exposed in the process of manufacturing the semiconductor integrated circuit device, the cylindrical portion formed on the upper portion cannot be supported by the cylindrical portion, and the cylindrical portion is mechanically broken, and the semiconductor integrated circuit is broken. This may cause a failure in the device.

【0007】本発明の目的は、下部電極の製造工程にお
ける折損に起因する製品不良を低減し、製品歩留まりを
向上することにある。
An object of the present invention is to reduce product defects due to breakage in the manufacturing process of the lower electrode and improve the product yield.

【0008】本発明の前記ならびにその他の目的と新規
な特徴は、本明細書の記述および添付図面から明らかに
なるであろう。
The above and other objects and novel features of the present invention will become apparent from the description of the present specification and the accompanying drawings.

【0009】[0009]

【課題を解決するための手段】本願において開示される
発明のうち、代表的なものの概要を簡単に説明すれば、
次のとおりである。
SUMMARY OF THE INVENTION Among the inventions disclosed in the present application, the outline of a representative one will be briefly described.
It is as follows.

【0010】(1)本発明の半導体集積回路装置は、上
方に開孔部を有する筒形部分および筒形部分を支える柱
状部分からなる下部電極を備えた情報蓄積用容量素子
と、情報蓄積用容量素子が直列に接続されるメモリセル
選択用MISFETとでメモリセルを構成し、情報蓄積
用容量素子がメモリセル選択用MISFETの上部に形
成されたDRAMを含む半導体集積回路装置であって、
柱状部分の外壁に補強部材を設けたものである。
(1) A semiconductor integrated circuit device according to the present invention comprises an information storage capacitor having a cylindrical portion having an opening above and a lower electrode comprising a columnar portion supporting the cylindrical portion; A semiconductor integrated circuit device including a DRAM in which a memory element is formed by a memory cell selection MISFET and a memory cell selection MISFET in which a capacitance element is connected in series, and wherein an information storage capacitance element is formed above the memory cell selection MISFET,
The reinforcing member is provided on the outer wall of the columnar portion.

【0011】このような半導体集積回路装置によれば、
柱状部分の外壁に補強部材を設けるため、柱状部分での
折損を防止することができる。この結果、下部電極の形
成を安定に行って、不良の発生を抑制し、製品の歩留ま
りを向上することができる。
According to such a semiconductor integrated circuit device,
Since the reinforcing member is provided on the outer wall of the columnar portion, breakage at the columnar portion can be prevented. As a result, it is possible to stably form the lower electrode, suppress occurrence of defects, and improve the product yield.

【0012】なお、補強部材は、筒形部分を形成する際
にその内部に形成される第1の絶縁膜(たとえばSOG
膜)よりもエッチング速度の遅い第2の絶縁膜(たとえ
ばCVD法により形成されたシリコン酸化膜)により構
成することができる。これにより、後に説明するように
製造工程を特に増加または変更することなく、補強部材
を形成することができる。
The reinforcing member is formed by a first insulating film (for example, SOG) formed therein when forming the cylindrical portion.
The second insulating film (for example, a silicon oxide film formed by a CVD method) having a lower etching rate than that of the second insulating film. Thereby, the reinforcing member can be formed without particularly increasing or changing the manufacturing process as described later.

【0013】(2)本発明の半導体集積回路装置の製造
方法は、上方に開孔部を有する筒形部分および筒形部分
を支える柱状部分からなる下部電極を備えた情報蓄積用
容量素子と、情報蓄積用容量素子が直列に接続されるメ
モリセル選択用MISFETとでメモリセルを構成し、
情報蓄積用容量素子がメモリセル選択用MISFETの
上部に形成されたDRAMを含む半導体集積回路装置の
製造方法であって、(a)半導体基板の主面に形成した
メモリセル選択用MISFETの上部に第1絶縁膜(た
とえばシリコン窒化膜)および第1絶縁膜に対してエッ
チング選択比を有する第2絶縁膜(たとえばCVD法に
より形成されたシリコン酸化膜)を順次堆積した後、第
2絶縁膜および第1絶縁膜を開孔して接続孔を形成する
工程、(b)接続孔の内部を含む第2絶縁膜の上部に下
部電極の一部を構成する第1導電膜を堆積し、さらに第
2絶縁膜よりもエッチング速度の速い第3絶縁膜(たと
えばSOG膜)を堆積する工程、(c)第3絶縁膜およ
び第1導電膜をパターニングして筒形部分の形成の際に
芯となる芯部材および下部電極の一部を形成する工程、
(d)半導体基板の全面に第2導電膜を堆積し、第2導
電膜を異方性エッチングによりエッチングして芯部材お
よび下部電極の一部の側面に第2導電膜からなる側壁部
分を形成する工程、(e)半導体基板にウェットエッチ
ングを施し、第1絶縁膜をエッチングストッパとして第
3絶縁膜および第2絶縁膜の一部を除去し、下部電極の
筒形部分を露出するとともに、柱状部分の外壁に第2絶
縁膜からなる補強部材を形成する工程、を含むものであ
る。
(2) A method of manufacturing a semiconductor integrated circuit device according to the present invention is characterized in that an information storage capacitive element having a cylindrical portion having an opening above and a lower electrode comprising a columnar portion supporting the cylindrical portion; A memory cell is formed by a memory cell selecting MISFET in which an information storage capacitor is connected in series,
A method of manufacturing a semiconductor integrated circuit device including a DRAM in which an information storage capacitor is formed above a memory cell selecting MISFET, comprising: (a) forming a memory cell selecting MISFET formed on a main surface of a semiconductor substrate; After sequentially depositing a first insulating film (eg, a silicon nitride film) and a second insulating film (eg, a silicon oxide film formed by a CVD method) having an etching selectivity with respect to the first insulating film, the second insulating film Forming a connection hole by opening the first insulation film; (b) depositing a first conductive film constituting a part of the lower electrode on the second insulation film including the inside of the connection hole; A step of depositing a third insulating film (for example, an SOG film) having an etching rate higher than that of the second insulating film, and (c) patterning the third insulating film and the first conductive film to be a core when forming a cylindrical portion Core member Forming a part of the fine lower electrode,
(D) A second conductive film is deposited on the entire surface of the semiconductor substrate, and the second conductive film is etched by anisotropic etching to form side walls made of the second conductive film on some side surfaces of the core member and the lower electrode. (E) performing wet etching on the semiconductor substrate, removing a part of the third insulating film and the second insulating film using the first insulating film as an etching stopper, exposing a cylindrical portion of the lower electrode, and forming a columnar shape. Forming a reinforcing member made of the second insulating film on the outer wall of the portion.

【0014】このような半導体集積回路装置の製造方法
によれば、(1)に記載の半導体集積回路装置を製造す
ることができる。
According to such a method of manufacturing a semiconductor integrated circuit device, the semiconductor integrated circuit device described in (1) can be manufactured.

【0015】[0015]

【発明の実施の形態】以下、本発明の実施の形態を図面
に基づいて詳細に説明する。なお、実施の形態を説明す
るための全図において、同一の機能を有する部材には同
一の符号を付し、その繰り返しの説明は省略する。
Embodiments of the present invention will be described below in detail with reference to the drawings. In all the drawings for describing the embodiments, members having the same functions are denoted by the same reference numerals, and the repeated description thereof will be omitted.

【0016】図1は、本発明の一実施の形態であるDR
AMの一例をメモリセルアレイ領域について示した断面
図である。
FIG. 1 is a diagram showing a DR according to an embodiment of the present invention.
FIG. 3 is a cross-sectional view illustrating an example of an AM for a memory cell array region.

【0017】p形の単結晶シリコンからなる半導体基板
1の主面には、素子分離用のフィールド絶縁膜2が形成
されている。フィールド絶縁膜2はLOCOS(Local
Oxidation of Silicon)法により形成された厚いシリコ
ン酸化膜とすることができる。このフィールド絶縁膜2
で囲まれた領域として半導体基板1の主面に活性領域3
が形成される。
A field insulating film 2 for element isolation is formed on the main surface of a semiconductor substrate 1 made of p-type single crystal silicon. The field insulating film 2 is made of LOCOS (Local
Oxidation of Silicon) can be used as a thick silicon oxide film. This field insulating film 2
The active region 3 is formed on the main surface of the semiconductor substrate 1 as a region surrounded by
Is formed.

【0018】活性領域3は、活性領域3の中央部に位置
する半導体領域4、活性領域3の両端部に位置する半導
体領域5、および半導体領域4と半導体領域5に挟ま
れ、メモリセル選択用MISFETのゲート電極下に位
置する2個のチャネル領域6に区分することができる。
The active region 3 is a semiconductor region 4 located at the center of the active region 3, a semiconductor region 5 located at both ends of the active region 3, and a semiconductor region 4 between the semiconductor region 4 and the semiconductor region 5 for selecting a memory cell. It can be divided into two channel regions 6 located below the gate electrode of the MISFET.

【0019】半導体領域4に接続するように開口された
コンタクトホール7を通して、半導体領域4とビット線
BLとが接続されている。また、半導体領域5上に形成
されたコンタクトホール8を通して、半導体領域5と情
報蓄積用容量素子Cに接続されるプラグ9が接続されて
いる。
The semiconductor region 4 and the bit line BL are connected through a contact hole 7 opened to connect to the semiconductor region 4. A plug 9 connected to the semiconductor region 5 and the information storage capacitor C is connected through a contact hole 8 formed on the semiconductor region 5.

【0020】ビット線BLはワード線WLと直交するよ
うに配置される。また、ビット線BLの直線部分は、コ
ンタクトホール8に重ならないようにコンタクトホール
7の中心からずらして形成し、コンタクトホール7を完
全に囲むための突出部BLDBを有する。突出部BLD
Bおよびビット線BLの直線部分の形成は、後に説明す
るように2段階の露光により行うことができる。
The bit line BL is arranged so as to be orthogonal to the word line WL. The linear portion of the bit line BL is formed so as to be shifted from the center of the contact hole 7 so as not to overlap with the contact hole 8, and has a protruding portion BLDB for completely surrounding the contact hole 7. Projection BLD
The formation of the linear portions of B and the bit lines BL can be performed by two-stage exposure as described later.

【0021】半導体基板1の活性領域3には、p形ウェ
ル10が形成されている。また、p形ウェル10を囲む
ようにn形のディープウェル11が形成されている。な
お、p形ウェル10には、しきい値電圧調整層が形成さ
れていてもよい。
In the active region 3 of the semiconductor substrate 1, a p-type well 10 is formed. An n-type deep well 11 is formed so as to surround the p-type well 10. Note that a threshold voltage adjustment layer may be formed in the p-type well 10.

【0022】p形ウェル10の主面にはDRAMのメモ
リセル選択用MISFETQsが形成されている。
MISFETs Qs for selecting a DRAM memory cell are formed on the main surface of the p-type well 10.

【0023】メモリセル選択用MISFETQsは、p
形ウェル10の主面上にゲート絶縁膜12を介して形成
されたゲート電極13と、ゲート電極13の両側のp形
ウェル10の主面に形成され、n形の不純物たとえばリ
ンまたはヒ素がドープされた半導体領域4,5とからな
る。
The memory cell selection MISFET Qs is p
A gate electrode 13 formed on the main surface of the p-type well 10 via the gate insulating film 12 and a main surface of the p-type well 10 on both sides of the gate electrode 13 and doped with an n-type impurity such as phosphorus or arsenic Semiconductor regions 4 and 5.

【0024】ゲート絶縁膜12は、たとえば熱酸化によ
り形成されたシリコン酸化膜からなり、ゲート電極13
は、たとえば多結晶シリコン膜13aおよびシリサイド
膜13bの積層膜とすることができる。シリサイド膜1
3bとしてはタングステン、チタン等の金属シリサイド
を例示できる。
Gate insulating film 12 is made of, for example, a silicon oxide film formed by thermal oxidation.
Can be, for example, a laminated film of a polycrystalline silicon film 13a and a silicide film 13b. Silicide film 1
Examples of 3b include metal silicides such as tungsten and titanium.

【0025】メモリセル選択用MISFETQsのゲー
ト電極13の上層および側壁には各々シリコン窒化膜か
らなるキャップ絶縁膜14およびサイドウォールスペー
サ15が形成されている。なお、メモリセル選択用MI
SFETQsのゲート電極13はDRAMのワード線W
Lの一部である。
A cap insulating film 14 made of a silicon nitride film and a sidewall spacer 15 are formed on the upper layer and the side wall of the gate electrode 13 of the memory cell selecting MISFET Qs, respectively. Note that the memory cell selection MI
The gate electrode 13 of the SFET Qs is connected to the word line W of the DRAM.
Part of L.

【0026】メモリセル選択用MISFETQsは、層
間絶縁膜17で覆われている。層間絶縁膜17は、たと
えば熱CVD(Chemical Vapor Deposition )法で形成
されたシリコン酸化膜17aおよびBPSG(Boron-Ph
osporaus-Silicate Glass )膜17bの積層膜とするこ
とができ、さらに熱CVD法で形成されたシリコン酸化
膜17cを積層することができる。
The memory cell selecting MISFET Qs is covered with an interlayer insulating film 17. The interlayer insulating film 17 includes, for example, a silicon oxide film 17a formed by a thermal CVD (Chemical Vapor Deposition) method and a BPSG (Boron-Ph
(osporaus-Silicate Glass) film 17b, and a silicon oxide film 17c formed by a thermal CVD method.

【0027】層間絶縁膜17上にはビット線BLが形成
されている。ビット線BLは、たとえば多結晶シリコン
膜18aおよびシリサイド膜18bの積層膜とすること
ができる。シリサイド膜18bは、たとえばタングステ
ン、チタン等の金属シリサイド膜とすることができる。
また、ビット線BLの突出部BLDBの部分には、たと
えば熱CVD法で形成されたシリコン酸化膜18cが形
成されている。
The bit line BL is formed on the interlayer insulating film 17. Bit line BL can be, for example, a laminated film of polycrystalline silicon film 18a and silicide film 18b. The silicide film 18b can be, for example, a metal silicide film of tungsten, titanium, or the like.
Further, a silicon oxide film 18c formed by, for example, a thermal CVD method is formed at a portion of the projecting portion BLDB of the bit line BL.

【0028】ビット線BLはコンタクトホール7を介し
て一対のメモリセル選択用MISFETQsに共有され
る半導体領域4に接続される。また、一対のメモリセル
選択用MISFETQsの各々の半導体領域5上に開口
されたコンタクトホール8にはプラグ9が形成されてい
る。プラグ9はたとえばn形の不純物がドープされた多
結晶シリコンとすることができる。
The bit line BL is connected via a contact hole 7 to a semiconductor region 4 shared by a pair of memory cell selecting MISFETs Qs. A plug 9 is formed in a contact hole 8 opened on each semiconductor region 5 of the pair of memory cell selecting MISFETs Qs. Plug 9 may be, for example, polycrystalline silicon doped with an n-type impurity.

【0029】ビット線BLは層間絶縁膜19で覆われて
いる。層間絶縁膜19は、シリコン酸化膜19aおよび
シリコン窒化膜19bの積層膜とすることができる。
The bit line BL is covered with an interlayer insulating film 19. The interlayer insulating film 19 can be a laminated film of a silicon oxide film 19a and a silicon nitride film 19b.

【0030】層間絶縁膜19の上層には情報蓄積用容量
素子Cが形成されている。
An information storage capacitor C is formed on the interlayer insulating film 19.

【0031】情報蓄積用容量素子Cはコンタクトホール
20を介してプラグ9に接続される下部電極21と容量
絶縁膜22とプレート電極23とから構成される。下部
電極21は不純物がドープされた多結晶シリコン膜とす
ることができ、容量絶縁膜22はたとえばシリコン窒化
膜とシリコン酸化膜の積層膜とすることができる。ま
た、プレート電極23は不純物がドープされた多結晶シ
リコン膜とすることができる。
The information storage capacitive element C is composed of a lower electrode 21 connected to the plug 9 through a contact hole 20, a capacitive insulating film 22, and a plate electrode 23. The lower electrode 21 can be a polycrystalline silicon film doped with an impurity, and the capacitance insulating film 22 can be a stacked film of a silicon nitride film and a silicon oxide film, for example. Further, the plate electrode 23 can be a polycrystalline silicon film doped with impurities.

【0032】下部電極21には、後に説明するようにコ
ンタクトホール20を形成する際にハードマスクとして
用いるマスク部21aおよびサイドウォールスペーサ2
1bと、下部電極21の表面積を増加して蓄積容量の増
加を図るための立設部21cと、さらにプラグ9に接続
するためにコンタクトホール20内に形成されるコンタ
クト部21dが含まれる。
The lower electrode 21 has a mask portion 21a and a side wall spacer 2a used as a hard mask when forming the contact hole 20 as described later.
1b, a standing portion 21c for increasing the storage capacity by increasing the surface area of the lower electrode 21, and a contact portion 21d formed in the contact hole 20 for connection to the plug 9.

【0033】また、下部電極21は、筒形部分と柱状部
分とを有する。すなわち、筒形部分は上方に開口を有す
る筒形状の部分で、立設部21cとマスク部21aおよ
びサイドウォールスペーサ21bとコンタクト部21d
のうちマスク部21aおよびサイドウォールスペーサ2
1bに接する部分とで構成される部分である。一方、柱
状部分は、コンタクト部21dのうちマスク部21aお
よびサイドウォールスペーサ21bに接しない部分であ
り、筒形部分を支える部分である。
The lower electrode 21 has a cylindrical portion and a columnar portion. That is, the cylindrical portion is a cylindrical portion having an opening upward, and the upright portion 21c, the mask portion 21a, the side wall spacer 21b, and the contact portion 21d.
Portion 21a and sidewall spacer 2
1b. On the other hand, the columnar portion is a portion of the contact portion 21d that does not contact the mask portion 21a and the sidewall spacer 21b, and is a portion that supports the cylindrical portion.

【0034】このように、下部電極21を筒形部分と柱
状部分で構成することにより、筒形部分の内面および立
設部21cの外壁に加えて筒形部分の底面すなわちマス
ク部21aおよびサイドウォールスペーサ21bの底面
をも下部電極21の表面とすることができ、その表面積
を増加して蓄積電荷量を増加することできる。
As described above, by forming the lower electrode 21 with the cylindrical portion and the columnar portion, in addition to the inner surface of the cylindrical portion and the outer wall of the standing portion 21c, the bottom surface of the cylindrical portion, that is, the mask portion 21a and the side wall are formed. The bottom surface of the spacer 21b can also be used as the surface of the lower electrode 21, and its surface area can be increased to increase the amount of accumulated charges.

【0035】下部電極21の柱状部分の外壁には、たと
えばCVD法により形成されたシリコン酸化膜からなる
補強部材24が形成されている。このように補強部材2
4を設けることにより柱状部分すなわちコンタクト部2
1dの機械的強度を向上することができ、後に説明する
ようにその製造工程における下部電極21の柱状部分で
の折損を防止してDRAMの製造歩留まりを向上するこ
とができる。また、補強部材24をCVD法により形成
されたシリコン酸化膜とすることにより、後に説明する
ように補強部材24の製造を容易に行うことができる。
On the outer wall of the columnar portion of the lower electrode 21, a reinforcing member 24 made of, for example, a silicon oxide film formed by a CVD method is formed. Thus, the reinforcing member 2
4 to form a columnar portion, that is, a contact portion 2.
The mechanical strength of 1d can be improved, and as will be described later, breakage at the columnar portion of the lower electrode 21 in the manufacturing process can be prevented and the manufacturing yield of the DRAM can be improved. Further, by using the silicon oxide film formed by the CVD method as the reinforcing member 24, the manufacturing of the reinforcing member 24 can be easily performed as described later.

【0036】情報蓄積用容量素子Cの上層には、さらに
層間絶縁膜および配線等を形成することができるが、説
明を省略する。
An interlayer insulating film, wiring, and the like can be further formed on the upper layer of the information storage capacitive element C, but the description is omitted.

【0037】次に、前記DRAMのメモリセルの製造方
法を図2〜図15を用いて説明する。図2〜図15は本
実施の形態のDRAMの製造方法の一例をその工程順に
示した断面図である。
Next, a method of manufacturing the memory cell of the DRAM will be described with reference to FIGS. 2 to 15 are sectional views showing an example of a method of manufacturing the DRAM of the present embodiment in the order of steps.

【0038】まず、図2に示すように、p- 型シリコン
単結晶からなる半導体基板1を用意し、公知のLOCO
S法を用いてその表面に厚いフィールド絶縁膜2を形成
する。このフィールド絶縁膜2の形成により、そのフィ
ールド絶縁膜2に囲まれた領域として活性領域3が形成
される。なお、フィールド絶縁膜2の膜厚はたとえば約
400nmである。
First, as shown in FIG. 2, a semiconductor substrate 1 made of p - type silicon single crystal is prepared, and a known LOCO
A thick field insulating film 2 is formed on the surface by using the S method. By forming the field insulating film 2, an active region 3 is formed as a region surrounded by the field insulating film 2. The thickness of the field insulating film 2 is, for example, about 400 nm.

【0039】次に、図3に示すように、フォトレジスト
をマスクにして、n型不純物(例えばリン(P))および
p型不純物(例えばボロン(B))をイオン注入により半
導体基板1のメモリセルアレイの形成領域に導入し、次
いで、上記フォトレジストを除去した後に、半導体基板
1に熱拡散処理を施すことによりp形ウェル10および
ディープウェル11を形成する。次いで、チャネル領域
6での不純物濃度を最適化して、所望するメモリセル選
択用MISFETのしきい値電圧を得るために、p形ウ
ェル10の活性領域の主面にp型不純物(例えば、フッ
化ボロン(BF2)) をイオン注入する。
Next, as shown in FIG. 3, using a photoresist as a mask, an n-type impurity (for example, phosphorus (P)) and a p-type impurity (for example, boron (B)) are ion-implanted into the memory of the semiconductor substrate 1. The semiconductor substrate 1 is introduced into a cell array formation region and then the photoresist is removed, and then a p-type well 10 and a deep well 11 are formed by subjecting the semiconductor substrate 1 to a thermal diffusion process. Next, in order to optimize the impurity concentration in the channel region 6 and obtain a desired threshold voltage of the memory cell selecting MISFET, a p-type impurity (for example, fluoride) is added to the main surface of the active region of the p-type well 10. Boron (BF2)) is ion-implanted.

【0040】次に、図4に示すように、半導体基板1の
表面をフッ酸溶液でエッチングして酸化シリコン膜を除
去した後に、半導体基板1の表面にメモリセル選択用M
ISFETのゲート絶縁膜12を形成する。このゲート
絶縁膜12は熱酸化法で形成され、その膜厚はたとえば
約9nmである。その後、ゲート絶縁膜12上にワード
線WLとして一体に形成されるゲート電極13を形成す
る。
Next, as shown in FIG. 4, the surface of the semiconductor substrate 1 is etched with a hydrofluoric acid solution to remove the silicon oxide film.
The gate insulating film 12 of the ISFET is formed. This gate insulating film 12 is formed by a thermal oxidation method, and its thickness is, for example, about 9 nm. Thereafter, a gate electrode 13 integrally formed as a word line WL is formed on the gate insulating film 12.

【0041】ゲート電極13の形成は、半導体基板1の
全面にPが導入された多結晶シリコン膜、シリサイド
(たとえばWSi2)膜、シリコン酸化膜およびシリコン
窒化膜を順次堆積し、これらの積層膜を順次エッチング
して、多結晶シリコン膜13aおよびシリサイド膜13
bを形成することにより行う。シリサイド膜13b上に
は、シリコン酸化膜25aおよびキャップ絶縁膜14が
形成される。なお、多結晶シリコン膜13aおよびシリ
サイド膜13bはCVD法で形成され、これらの膜厚
は、例えばそれぞれ70nmおよび150nmである。
また、シリコン酸化膜25aおよびキャップ絶縁膜14
はCVD法で形成され、これらの膜厚は、例えばそれぞ
れ10nmおよび200nmである。
The gate electrode 13 is formed by sequentially depositing a P-doped polycrystalline silicon film, a silicide (for example, WSi 2 ) film, a silicon oxide film, and a silicon nitride film on the entire surface of the semiconductor substrate 1. Are sequentially etched to form a polysilicon film 13a and a silicide film 13a.
This is performed by forming b. A silicon oxide film 25a and a cap insulating film 14 are formed on silicide film 13b. The polycrystalline silicon film 13a and the silicide film 13b are formed by a CVD method, and their thicknesses are, for example, 70 nm and 150 nm, respectively.
Further, the silicon oxide film 25a and the cap insulating film 14
Are formed by a CVD method, and their film thicknesses are, for example, 10 nm and 200 nm, respectively.

【0042】その後、半導体基板1に熱酸化処理を施す
ことにより、ゲート電極13を構成する多結晶シリコン
膜13aおよびシリサイド膜13bの側壁に薄いシリコ
ン酸化膜25bを形成する。
Thereafter, the semiconductor substrate 1 is subjected to a thermal oxidation process to form a thin silicon oxide film 25b on the side walls of the polycrystalline silicon film 13a and the silicide film 13b forming the gate electrode 13.

【0043】さらに、上記積層膜をマスクにしてp形ウ
ェル10の主面にn型不純物(例えば、P)をイオン注
入し、このn型不純物を引き伸ばし拡散することによ
り、メモリセル選択用MISFETのソース領域および
ドレイン領域として機能する半導体領域4,5を形成す
る。
Further, an n-type impurity (for example, P) is ion-implanted into the main surface of the p-type well 10 by using the laminated film as a mask, and the n-type impurity is stretched and diffused, thereby forming the memory cell selecting MISFET. Semiconductor regions 4 and 5 functioning as a source region and a drain region are formed.

【0044】次に、図5に示すように、半導体基板1上
にCVD法により堆積された窒化シリコン膜(図示せ
ず)をRIE(Reactive Ion Etching)などの異方性エ
ッチングでエッチングして、メモリセル選択用MISF
ETのゲート電極13の側壁にサイドウォールスペーサ
15を形成する。
Next, as shown in FIG. 5, a silicon nitride film (not shown) deposited on the semiconductor substrate 1 by the CVD method is etched by anisotropic etching such as RIE (Reactive Ion Etching). MISF for memory cell selection
A side wall spacer 15 is formed on the side wall of the gate electrode 13 of ET.

【0045】次に、図6に示すように、半導体基板1上
にたとえばTEOSを原料ガスとした熱CVD法により
シリコン酸化膜17aおよびBPSG膜17bを堆積し
た後、コンタクトホール8を開口する。コンタクトホー
ル8は、公知のドライエッチング法により形成すること
ができる。また、BPSG膜17bはリフローにより平
坦化することができる。
Next, as shown in FIG. 6, a silicon oxide film 17a and a BPSG film 17b are deposited on the semiconductor substrate 1 by thermal CVD using, for example, TEOS as a source gas, and then a contact hole 8 is opened. The contact hole 8 can be formed by a known dry etching method. Further, the BPSG film 17b can be planarized by reflow.

【0046】次に、コンタクトホール8内にn形の不純
物をイオン注入した後、図7に示すように、プラグ9を
形成し、たとえばTEOSを原料ガスとした熱CVD法
によりシリコン酸化膜17cを堆積する。プラグ9は、
n形不純物が高濃度にドープされた多結晶シリコン膜を
堆積し、これをエッチバックすることにより形成するこ
とができる。
Next, after ion implantation of an n-type impurity into the contact hole 8, a plug 9 is formed as shown in FIG. 7, and a silicon oxide film 17c is formed by thermal CVD using TEOS as a source gas, for example. accumulate. Plug 9
It can be formed by depositing a polycrystalline silicon film in which an n-type impurity is doped at a high concentration, and etching back the film.

【0047】次に、図8に示すように、コンタクトホー
ル7を形成する。コンタクトホール7は公知のドライエ
ッチング法により形成できる。
Next, as shown in FIG. 8, a contact hole 7 is formed. The contact hole 7 can be formed by a known dry etching method.

【0048】次に、図9に示すように、半導体基板1上
にPが導入された多結晶シリコン膜、シリサイド膜およ
び酸化シリコン膜をCVD法で順次堆積し、第1回目の
露光により形成したフォトレジストをマスクにして、前
記酸化シリコン膜をエッチングしてシリコン酸化膜18
cを形成する。シリコン酸化膜18cは、離散パターン
として形成され、ビット線BLの突出部BLDBを形成
するためのパターンである。また、パターン間の距離は
十分離れているためシリコン酸化膜18cを形成するた
めの露光では光の干渉による解像度の低下は発生しな
い。
Next, as shown in FIG. 9, a polycrystalline silicon film into which P is introduced, a silicide film and a silicon oxide film are sequentially deposited on the semiconductor substrate 1 by the CVD method, and formed by the first exposure. The silicon oxide film is etched using the photoresist as a mask to form a silicon oxide film 18.
Form c. The silicon oxide film 18c is formed as a discrete pattern and is a pattern for forming the protruding portion BLDB of the bit line BL. In addition, since the distance between the patterns is sufficiently large, the exposure for forming the silicon oxide film 18c does not cause a reduction in resolution due to light interference.

【0049】次に、図10に示すように、第2回目の露
光により形成した直線形状のフォトレジストおよびシリ
コン酸化膜18cをマスクにして前記シリサイド膜およ
び多結晶シリコン膜をエッチングし、多結晶シリコン膜
18aおよびシリサイド膜18bからなるビット線BL
を形成する。ビット線BLは、コンタクトホール7を通
じてメモリセル選択用MISFETの一方の半導体領域
4に接続される。
Next, as shown in FIG. 10, the silicide film and the polycrystalline silicon film are etched using the linear photoresist formed by the second exposure and the silicon oxide film 18c as a mask, and Bit line BL composed of film 18a and silicide film 18b
To form The bit line BL is connected to one semiconductor region 4 of the memory cell selecting MISFET through a contact hole 7.

【0050】このビット線BLは、直線形状のフォトレ
ジストと突出部BLDBを形成するシリコン酸化膜18
cにより形成されるものである。直線形状のフォトレジ
ストは、直線パターンをフォトレジストに露光して形成
するものであるため、隣接パターン間の露光光の相互作
用が生じ難く、露光解像度の限界近傍までパターンを近
接させても、パターン解像度は低下せず、パターンに膨
らみやくびれを生じることがない。この結果、ビット線
BLの断線や短絡に起因する不良を低減し、DRAMの
歩留まりを向上することができる。また、第2回目の露
光は、第1回目の露光とは独立に行うものであるため、
突出部BLDBがあったとしても、第2回目の露光に影
響されることがない。この結果、第2回目の露光で形成
されるビット線BLの直線パターンの隣接間距離を露光
解像度の限界近傍まで近接することができ、DRAMの
集積度を向上することができる。
This bit line BL is formed of a linear photoresist and a silicon oxide film 18 forming a protrusion BLDB.
c. Since a linear photoresist is formed by exposing a photoresist to a linear pattern, the interaction of exposure light between adjacent patterns is unlikely to occur. The resolution does not decrease and the pattern does not swell or constrict. As a result, defects due to disconnection or short circuit of the bit line BL can be reduced, and the yield of the DRAM can be improved. In addition, since the second exposure is performed independently of the first exposure,
Even if there is a protrusion BLDB, it is not affected by the second exposure. As a result, the distance between the adjacent linear patterns of the bit lines BL formed in the second exposure can be made close to the vicinity of the limit of the exposure resolution, and the integration degree of the DRAM can be improved.

【0051】なお、本実施の形態では、プラグ9を形成
した後にコンタクトホール7を開口してビット線BLを
形成する例を示しているが、コンタクトホール8とコン
タクトホール7とを同時に開口し、コンタクトホール
7,8内にプラグ9を形成してもよい。この場合、ビッ
ト線BLの突出部BLDBは必要でなく、ビット線BL
はプラグに対して目外れの状態で形成され接続される。
In this embodiment, an example is shown in which the contact hole 7 is opened after the plug 9 is formed to form the bit line BL. However, the contact hole 8 and the contact hole 7 are simultaneously opened. A plug 9 may be formed in the contact holes 7 and 8. In this case, the projecting portion BLDB of the bit line BL is not necessary, and the bit line BL
Is formed and connected to the plug in an out-of-sight condition.

【0052】次に、図11に示すように、シリコン酸化
膜19aおよびシリコン窒化膜19b(第1絶縁膜)を
たとえばCVD法で堆積した後、たとえばTEOSを用
いたCVD法により形成されたシリコン酸化膜26を堆
積する。シリコン酸化膜26は、エッチバック法または
CMP(Chemical Mechanical Polishing )法により平
坦化することができる。その後、Pが導入された多結晶
シリコン膜を堆積した後、情報蓄積用容量素子Cとプラ
グ9とを接続するためのコンタクトホール20を開口す
る位置に開口を形成し、下部電極21のマスク部21a
を形成する。さらに、半導体基板1の全面にPが導入さ
れた多結晶シリコン膜を堆積しこれを異方性エッチング
によりエッチングしてサイドウォールスペーサ21bを
形成する。このようにサイドウォールスペーサ21bを
形成することにより、コンタクトホール20を露光限界
以下の寸法で開口することが可能となる。
Next, as shown in FIG. 11, after a silicon oxide film 19a and a silicon nitride film 19b (first insulating film) are deposited by, for example, a CVD method, the silicon oxide film 19a and the silicon nitride film 19b are formed by, for example, a CVD method using TEOS. A film 26 is deposited. The silicon oxide film 26 can be planarized by an etch-back method or a CMP (Chemical Mechanical Polishing) method. Thereafter, after depositing a polycrystalline silicon film into which P is introduced, an opening is formed at a position where a contact hole 20 for connecting the information storage capacitor C and the plug 9 is opened, and a mask portion of the lower electrode 21 is formed. 21a
To form Further, a polycrystalline silicon film into which P is introduced is deposited on the entire surface of the semiconductor substrate 1 and is etched by anisotropic etching to form a sidewall spacer 21b. By forming the side wall spacers 21b in this manner, it is possible to open the contact hole 20 with a size smaller than the exposure limit.

【0053】次に図12に示すように、マスク部21a
およびサイドウォールスペーサ21bをマスク(ハード
マスク)としてコンタクトホール20を開口し、半導体
基板1の全面にPが導入された多結晶シリコン膜および
SOG膜を順次堆積する。その後、フォトレジストをマ
スクにして、前記酸化シリコン膜をエッチングし、続い
て多結晶シリコン膜およびマスク部21aを順次エッチ
ングして、キャップ絶縁膜27、コンタクト部21d、
マスク部21aを形成する。キャップ絶縁膜27は、上
記の通りSOG膜からなり、下部電極21の立設部21
cを形成するための芯となる部材である。
Next, as shown in FIG. 12, the mask portion 21a
A contact hole 20 is opened using the sidewall spacer 21b as a mask (hard mask), and a polycrystalline silicon film with P introduced therein and an SOG film are sequentially deposited on the entire surface of the semiconductor substrate 1. Thereafter, using the photoresist as a mask, the silicon oxide film is etched, and then the polycrystalline silicon film and the mask portion 21a are sequentially etched to form a cap insulating film 27, a contact portion 21d,
The mask part 21a is formed. The cap insulating film 27 is made of the SOG film as described above, and
This is a core member for forming c.

【0054】次に、上記フォトレジストを除去した後、
図13に示すように、多結晶シリコン膜を半導体基板1
の全面に堆積し、続いて、この多結晶シリコン膜をRI
Eなどの異方性エッチングでエッチングして、立設部2
1cを形成し、マスク部21a、サイドウォールスペー
サ21b、立設部21c、コンタクト部21dからなる
下部電極21を完成する。
Next, after removing the photoresist,
As shown in FIG. 13, a polycrystalline silicon film is
Then, the polycrystalline silicon film is
E by anisotropic etching such as E
1c is formed, and the lower electrode 21 including the mask portion 21a, the sidewall spacer 21b, the standing portion 21c, and the contact portion 21d is completed.

【0055】次に、図14に示すように、キャップ絶縁
膜27およびシリコン酸化膜26を除去する。キャップ
絶縁膜27およびシリコン酸化膜26の除去は、たとえ
ばフッ酸溶液を用いたウエットエッチングにより行うこ
とができる。フッ酸溶液としては、HF:H2 2 :H
2 O=1:8:400の混合液を例示できる。
Next, as shown in FIG. 14, the cap insulating film 27 and the silicon oxide film 26 are removed. The cap insulating film 27 and the silicon oxide film 26 can be removed by, for example, wet etching using a hydrofluoric acid solution. As the hydrofluoric acid solution, HF: H 2 O 2 : H
A mixed solution of 2 O = 1: 8: 400 can be exemplified.

【0056】このとき、下部電極21の柱状部分の側壁
部分に位置するシリコン酸化膜26の一部は補強部材2
4として残存させる。このように補強部材24を形成す
ることにより下部電極21の折損を防止してDRAMの
製造歩留まりを向上できる。
At this time, part of the silicon oxide film 26 located on the side wall of the columnar portion of the lower electrode 21 is
4 is left. By forming the reinforcing member 24 in this manner, breakage of the lower electrode 21 can be prevented, and the production yield of the DRAM can be improved.

【0057】このようにシリコン酸化膜26の一部を補
強部材24として残存させることができるのは、キャッ
プ絶縁膜27としてシリコン酸化膜26つまりCVD法
により形成されたシリコン酸化膜よりもエッチング速度
の速いSOG膜を用いるためである。すなわち、CVD
法により形成されたシリコン酸化膜のエッチング速度が
210nm/minであるのに対し、SOG膜のエッチ
ング速度は2680nm/minと高く、約13倍のエ
ッチング速度となっている。このため、ウェットエッチ
ングによりSOG膜であるキャップ絶縁膜27とCVD
シリコン酸化膜であるシリコン酸化膜26とのエッチン
グを同時に開始した場合、まずSOG膜であるキャップ
絶縁膜27がエッチングされ、その後、シリコン酸化膜
26が徐々にエッチングされることとなる。したがっ
て、補強部材24としてシリコン酸化膜26の一部が残
存されるようにエッチング時間を調節し、補強部材24
を形成することが可能となる。このように本実施の形態
では、特別に補強部材24を形成するための工程を追加
することなく、シリコン酸化膜26を全部エッチングせ
ずにエッチングを途中で中止することにより補強部材2
4を形成することができ、従来の工程をそのまま用いる
ことができる。これにより、特別な工程を追加すること
なく簡便に補強部材24を形成して下部電極21の折損
を防止し、DRAMの製造歩留まりを向上することがで
きる。
As described above, a part of the silicon oxide film 26 can be left as the reinforcing member 24 because the cap insulating film 27 has a lower etching rate than the silicon oxide film 26, that is, the silicon oxide film formed by the CVD method. This is because a fast SOG film is used. That is, CVD
While the etching rate of the silicon oxide film formed by the method is 210 nm / min, the etching rate of the SOG film is as high as 2680 nm / min, which is about 13 times as high. Therefore, the cap insulating film 27, which is an SOG film, and the CVD
When the etching with the silicon oxide film 26 as the silicon oxide film is started at the same time, the cap insulating film 27 as the SOG film is etched first, and then the silicon oxide film 26 is gradually etched. Therefore, the etching time is adjusted so that a part of the silicon oxide film 26 remains as the reinforcing member 24, and the reinforcing member 24
Can be formed. As described above, in the present embodiment, the etching is stopped halfway without etching the entire silicon oxide film 26 without adding a special process for forming the reinforcing member 24, and the reinforcing member 2
4 can be formed, and the conventional process can be used as it is. This makes it possible to easily form the reinforcing member 24 without adding a special step, prevent the lower electrode 21 from being broken, and improve the production yield of the DRAM.

【0058】次に、図15に示すように、窒化シリコン
膜(図示せず)をCVD法で半導体基板1上に堆積し、
続いて、酸化処理を施すことにより、窒化シリコン膜の
表面に酸化シリコン膜を形成して、酸化シリコン膜およ
び窒化シリコン膜からなる容量絶縁膜22を形成する。
その後、半導体基板1上に多結晶シリコン膜(図示せ
ず)をCVD法で堆積し、この多結晶シリコン膜をフォ
トレジストをマスクにしてエッチングすることにより、
プレート電極23を形成して、図1に示すDRAMがほ
ぼ完成する。
Next, as shown in FIG. 15, a silicon nitride film (not shown) is deposited on the semiconductor substrate 1 by the CVD method.
Subsequently, by performing an oxidation process, a silicon oxide film is formed on the surface of the silicon nitride film, and a capacitance insulating film 22 including the silicon oxide film and the silicon nitride film is formed.
Thereafter, a polycrystalline silicon film (not shown) is deposited on the semiconductor substrate 1 by a CVD method, and the polycrystalline silicon film is etched by using a photoresist as a mask.
By forming the plate electrode 23, the DRAM shown in FIG. 1 is almost completed.

【0059】本実施の形態のDRAMによれば、下部電
極21の柱状部分の外周壁に補強部材24を形成するた
め、下部電極21の折損を防止することができ、DRA
Mの製造歩留まりを向上することができる。
According to the DRAM of the present embodiment, since the reinforcing member 24 is formed on the outer peripheral wall of the columnar portion of the lower electrode 21, breakage of the lower electrode 21 can be prevented, and the DRA
The production yield of M can be improved.

【0060】また、補強部材24の形成は、下部電極2
1の立設部21cを形成するためのキャップ絶縁膜(円
筒部分の芯部材)27をSOG膜とし、補強部材24と
なるシリコン酸化膜26をCVD法により形成されたシ
リコン酸化膜とすることにより、そのエッチング速度の
相違を利用して簡便に形成することができ、特別な工程
の追加を必要とせず、従来の工程をそのまま利用するこ
とが可能である。
The reinforcing member 24 is formed by the lower electrode 2
By forming the cap insulating film (core member of the cylindrical portion) 27 for forming the first standing portion 21c as the SOG film and the silicon oxide film 26 as the reinforcing member 24 as the silicon oxide film formed by the CVD method. By using the difference in the etching rate, it is possible to easily form the semiconductor device, and it is possible to use the conventional process as it is without requiring a special process.

【0061】以上、本発明者によってなされた発明を発
明の実施の形態に基づき具体的に説明したが、本発明は
前記実施の形態に限定されるものではなく、その要旨を
逸脱しない範囲で種々変更可能であることは言うまでも
ない。
Although the invention made by the inventor has been specifically described based on the embodiments of the present invention, the present invention is not limited to the above embodiments, and various modifications may be made without departing from the spirit of the invention. Needless to say, it can be changed.

【0062】たとえば、シリコン酸化膜26およびキャ
ップ絶縁膜27として各々CVD法によるシリコン酸化
膜およびSOG膜を例示したが、これらの材料に限られ
ることはない。すなわち、シリコン酸化膜26としてC
VD法によるシリコン酸化膜を用いる場合には、キャッ
プ絶縁膜27は、CVD法によるシリコン酸化膜よりも
エッチング速度の高い材料であればSOG膜に限られる
わけではなく、たとえばBPSG膜、PSG膜、BSG
膜等であってもよい。また、キャップ絶縁膜27として
SOG膜を用いる場合には、シリコン酸化膜26は、S
OG膜よりもエッチング速度の低い材料であればよく、
たとえばBPSG膜、PSG膜、BSG膜等であっても
よい。
For example, the silicon oxide film 26 and the SOG film formed by the CVD method have been exemplified as the silicon oxide film 26 and the cap insulating film 27, but the materials are not limited to these. That is, as the silicon oxide film 26, C
When a silicon oxide film formed by the VD method is used, the cap insulating film 27 is not limited to an SOG film as long as the material has a higher etching rate than a silicon oxide film formed by the CVD method. For example, a BPSG film, a PSG film, BSG
It may be a film or the like. When an SOG film is used as the cap insulating film 27, the silicon oxide film 26
Any material having an etching rate lower than that of the OG film may be used.
For example, it may be a BPSG film, a PSG film, a BSG film, or the like.

【0063】また、下部電極21の材料として不純物が
導入された多結晶シリコン膜を例示したが、チタン膜、
窒化チタン膜、タングステン膜、あるいはそれらの積層
膜等、金属膜であってもよい。また、イリジウム、ルテ
ニウム等その酸化物が導電体である金属の酸化物であっ
てもよい。
The polycrystalline silicon film doped with impurities has been exemplified as the material of the lower electrode 21.
It may be a metal film such as a titanium nitride film, a tungsten film, or a stacked film thereof. Further, an oxide of a metal such as iridium or ruthenium, which is a conductor, may be used.

【0064】[0064]

【発明の効果】本願において開示される発明のうち、代
表的なものによって得られる効果を簡単に説明すれば以
下のとおりである。
The effects obtained by typical ones of the inventions disclosed in the present application will be briefly described as follows.

【0065】すなわち、下部電極の製造工程における折
損を防止することができ、それに起因する製品不良を低
減し、製品歩留まりを向上することができる。
That is, it is possible to prevent breakage in the manufacturing process of the lower electrode, reduce product defects due to the breakage, and improve the product yield.

【0066】また、折損を防止するための補強部材の製
造を従来技術の工程をそのまま用いて簡便に行うことが
できる。
Further, the production of a reinforcing member for preventing breakage can be easily carried out using the conventional steps as they are.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一実施の形態であるDRAMの一例を
メモリセルアレイ領域について示した断面図である。
FIG. 1 is a cross-sectional view showing an example of a DRAM according to an embodiment of the present invention with respect to a memory cell array region.

【図2】は本発明の一実施の形態であるDRAMの製造
方法の一例をその工程順に示した断面図である。
FIG. 2 is a sectional view showing an example of a method of manufacturing a DRAM according to an embodiment of the present invention in the order of steps.

【図3】は本発明の一実施の形態であるDRAMの製造
方法の一例をその工程順に示した断面図である。
FIG. 3 is a sectional view showing an example of a method of manufacturing a DRAM according to an embodiment of the present invention in the order of steps.

【図4】は本発明の一実施の形態であるDRAMの製造
方法の一例をその工程順に示した断面図である。
FIG. 4 is a sectional view showing an example of a method of manufacturing a DRAM according to an embodiment of the present invention in the order of steps.

【図5】は本発明の一実施の形態であるDRAMの製造
方法の一例をその工程順に示した断面図である。
FIG. 5 is a sectional view showing an example of a method of manufacturing a DRAM according to an embodiment of the present invention in the order of steps.

【図6】は本発明の一実施の形態であるDRAMの製造
方法の一例をその工程順に示した断面図である。
FIG. 6 is a sectional view showing an example of a method of manufacturing a DRAM according to an embodiment of the present invention in the order of steps.

【図7】は本発明の一実施の形態であるDRAMの製造
方法の一例をその工程順に示した断面図である。
FIG. 7 is a sectional view showing an example of a method of manufacturing a DRAM according to an embodiment of the present invention in the order of steps.

【図8】は本発明の一実施の形態であるDRAMの製造
方法の一例をその工程順に示した断面図である。
FIG. 8 is a sectional view showing an example of a method of manufacturing a DRAM according to an embodiment of the present invention in the order of steps.

【図9】は本発明の一実施の形態であるDRAMの製造
方法の一例をその工程順に示した断面図である。
FIG. 9 is a sectional view showing an example of a method of manufacturing a DRAM according to an embodiment of the present invention in the order of steps.

【図10】は本発明の一実施の形態であるDRAMの製
造方法の一例をその工程順に示した断面図である。
FIG. 10 is a sectional view showing an example of a method of manufacturing a DRAM according to an embodiment of the present invention in the order of steps.

【図11】は本発明の一実施の形態であるDRAMの製
造方法の一例をその工程順に示した断面図である。
FIG. 11 is a sectional view showing an example of a method of manufacturing a DRAM according to an embodiment of the present invention in the order of steps.

【図12】は本発明の一実施の形態であるDRAMの製
造方法の一例をその工程順に示した断面図である。
FIG. 12 is a sectional view showing an example of a method of manufacturing a DRAM according to an embodiment of the present invention in the order of steps.

【図13】は本発明の一実施の形態であるDRAMの製
造方法の一例をその工程順に示した断面図である。
FIG. 13 is a sectional view showing an example of a method of manufacturing a DRAM according to an embodiment of the present invention in the order of steps.

【図14】は本発明の一実施の形態であるDRAMの製
造方法の一例をその工程順に示した断面図である。
FIG. 14 is a sectional view showing an example of a method of manufacturing a DRAM according to an embodiment of the present invention in the order of steps.

【図15】は本発明の一実施の形態であるDRAMの製
造方法の一例をその工程順に示した断面図である。
FIG. 15 is a sectional view showing an example of a method of manufacturing a DRAM according to an embodiment of the present invention in the order of steps.

【符号の説明】[Explanation of symbols]

1 半導体基板 2 フィールド絶縁膜 3 活性領域 4 半導体領域 5 半導体領域 6 チャネル領域 7 コンタクトホール 8 コンタクトホール 9 プラグ 10 p形ウェル 11 ディープウェル 12 ゲート絶縁膜 13 ゲート電極 13a 多結晶シリコン膜 13b シリサイド膜 14 キャップ絶縁膜 15 サイドウォールスペーサ 17 層間絶縁膜 17a シリコン酸化膜 17b BPSG膜 17c シリコン酸化膜 18a 多結晶シリコン膜 18b シリサイド膜 18c シリコン酸化膜 19 層間絶縁膜 19a シリコン酸化膜 19b シリコン窒化膜 20 コンタクトホール 21 下部電極 21a マスク部 21b サイドウォールスペーサ 21c 立設部 21d コンタクト部 22 容量絶縁膜 23 プレート電極 24 補強部材 25a シリコン酸化膜 25b シリコン酸化膜 26 シリコン酸化膜 27 キャップ絶縁膜 BL ビット線 BLDB 突出部 C 情報蓄積用容量素子 Qs メモリセル選択用MISFET WL ワード線 Reference Signs List 1 semiconductor substrate 2 field insulating film 3 active region 4 semiconductor region 5 semiconductor region 6 channel region 7 contact hole 8 contact hole 9 plug 10 p-type well 11 deep well 12 gate insulating film 13 gate electrode 13a polycrystalline silicon film 13b silicide film 14 Cap insulating film 15 Sidewall spacer 17 Interlayer insulating film 17a Silicon oxide film 17b BPSG film 17c Silicon oxide film 18a Polycrystalline silicon film 18b Silicide film 18c Silicon oxide film 19 Interlayer insulating film 19a Silicon oxide film 19b Silicon nitride film 20 Contact hole 21 Lower electrode 21a Mask part 21b Sidewall spacer 21c Erect part 21d Contact part 22 Capacitive insulating film 23 Plate electrode 24 Reinforcing member 25a Silicon oxide film 25b silicon oxide film 26 silicon oxide film 27 cap insulating film BL bit line BLDB protrusion C information storage capacitor Qs memory cell selection MISFET WL word line

Claims (5)

【特許請求の範囲】[Claims] 【請求項1】 上方に開孔部を有する筒形部分および前
記筒形部分を支える柱状部分からなる下部電極を備えた
情報蓄積用容量素子と、前記情報蓄積用容量素子が直列
に接続されるメモリセル選択用MISFETとでメモリ
セルを構成し、前記情報蓄積用容量素子が前記メモリセ
ル選択用MISFETの上部に形成されたDRAMを含
む半導体集積回路装置であって、前記柱状部分の外壁に
補強部材を設けたことを特徴とする半導体集積回路装
置。
1. An information storage capacitor comprising a cylindrical portion having an opening above and a lower electrode comprising a columnar portion supporting the cylindrical portion, and the information storage capacitor are connected in series. A semiconductor integrated circuit device including a DRAM formed above the memory cell selecting MISFET, wherein the information storage capacitive element comprises a DRAM formed above the memory cell selecting MISFET; A semiconductor integrated circuit device comprising a member.
【請求項2】 請求項1記載の半導体集積回路装置であ
って、 前記補強部材は、前記筒形部分を形成する際にその内部
に形成される第1の絶縁膜よりもエッチング速度の遅い
第2の絶縁膜からなることを特徴とする半導体集積回路
装置。
2. The semiconductor integrated circuit device according to claim 1, wherein the reinforcing member has a lower etching rate than a first insulating film formed therein when forming the cylindrical portion. 2. A semiconductor integrated circuit device comprising two insulating films.
【請求項3】 請求項2記載の半導体集積回路装置であ
って、 前記第1の絶縁膜はSOG膜であり、前記第2の絶縁膜
はCVD法により形成されたシリコン酸化膜であること
を特徴とする半導体集積回路装置。
3. The semiconductor integrated circuit device according to claim 2, wherein said first insulating film is an SOG film, and said second insulating film is a silicon oxide film formed by a CVD method. A semiconductor integrated circuit device characterized by the above-mentioned.
【請求項4】 上方に開孔部を有する筒形部分および前
記筒形部分を支える柱状部分からなる下部電極を備えた
情報蓄積用容量素子と、前記情報蓄積用容量素子が直列
に接続されるメモリセル選択用MISFETとでメモリ
セルを構成し、前記情報蓄積用容量素子が前記メモリセ
ル選択用MISFETの上部に形成されたDRAMを含
む半導体集積回路装置の製造方法であって、(a)半導
体基板の主面に形成した前記メモリセル選択用MISF
ETの上部に第1絶縁膜および前記第1絶縁膜に対して
エッチング選択比を有する第2絶縁膜を順次堆積した
後、前記第2絶縁膜および第1絶縁膜を開孔して接続孔
を形成する工程、(b)前記接続孔の内部を含む前記第
2絶縁膜の上部に前記下部電極の一部を構成する第1導
電膜を堆積し、さらに前記第2絶縁膜よりもエッチング
速度の速い第3絶縁膜を堆積する工程、(c)前記第3
絶縁膜および第1導電膜をパターニングして前記筒形部
分の形成の際に芯となる芯部材および前記下部電極の一
部を形成する工程、(d)前記半導体基板の全面に第2
導電膜を堆積し、前記第2導電膜を異方性エッチングに
よりエッチングして前記芯部材および前記下部電極の一
部の側面に前記第2導電膜からなる側壁部分を形成する
工程、(e)前記半導体基板にウェットエッチングを施
し、前記第1絶縁膜をエッチングストッパとして前記第
3絶縁膜および前記第2絶縁膜の一部を除去し、前記下
部電極の筒形部分を露出するとともに、前記柱状部分の
外壁に前記第2絶縁膜からなる補強部材を形成する工
程、 を含むことを特徴とする半導体集積回路装置の製造方
法。
4. An information storage capacitor having a cylindrical portion having an opening above and a lower electrode comprising a columnar portion supporting the cylindrical portion, and the information storage capacitor are connected in series. A method for manufacturing a semiconductor integrated circuit device including a DRAM in which a memory cell is constituted by a memory cell selection MISFET and the information storage capacitor element is formed above the memory cell selection MISFET, comprising: The memory cell selecting MISF formed on the main surface of the substrate
After sequentially depositing a first insulating film and a second insulating film having an etching selectivity with respect to the first insulating film on the ET, the second insulating film and the first insulating film are opened to form a connection hole. (B) depositing a first conductive film constituting a part of the lower electrode on the second insulating film including the inside of the connection hole, and further having an etching rate lower than that of the second insulating film; Depositing a fast third insulating film; and (c) depositing the third insulating film.
Patterning an insulating film and a first conductive film to form a core member serving as a core when forming the cylindrical portion and a part of the lower electrode, and (d) forming a second layer on the entire surface of the semiconductor substrate.
Depositing a conductive film and etching the second conductive film by anisotropic etching to form a side wall portion made of the second conductive film on a side surface of a part of the core member and the lower electrode; (e) The semiconductor substrate is subjected to wet etching, the third insulating film and a part of the second insulating film are removed using the first insulating film as an etching stopper, and a cylindrical portion of the lower electrode is exposed, and the columnar shape is formed. Forming a reinforcing member made of the second insulating film on an outer wall of the portion.
【請求項5】 請求項4記載の半導体集積回路装置の製
造方法であって、 前記第1絶縁膜はシリコン窒化膜であり、前記第2絶縁
膜はCVD法により形成されたシリコン酸化膜であり、
前記第3絶縁膜はSOG膜であることを特徴とする半導
体集積回路装置の製造方法。
5. The method of manufacturing a semiconductor integrated circuit device according to claim 4, wherein said first insulating film is a silicon nitride film, and said second insulating film is a silicon oxide film formed by a CVD method. ,
The method for manufacturing a semiconductor integrated circuit device, wherein the third insulating film is an SOG film.
JP9327474A 1997-11-28 1997-11-28 Semiconductor integrated circuit device and manufacture thereof Pending JPH11163283A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP9327474A JPH11163283A (en) 1997-11-28 1997-11-28 Semiconductor integrated circuit device and manufacture thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP9327474A JPH11163283A (en) 1997-11-28 1997-11-28 Semiconductor integrated circuit device and manufacture thereof

Publications (1)

Publication Number Publication Date
JPH11163283A true JPH11163283A (en) 1999-06-18

Family

ID=18199570

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9327474A Pending JPH11163283A (en) 1997-11-28 1997-11-28 Semiconductor integrated circuit device and manufacture thereof

Country Status (1)

Country Link
JP (1) JPH11163283A (en)

Similar Documents

Publication Publication Date Title
KR0123751B1 (en) Semiconductor device and the fabricating method thereof
US5792689A (en) Method for manufacturing double-crown capacitors self-aligned to node contacts on dynamic random access memory
US6008513A (en) Dynamic random access memory (DRAM) cells with minimum active cell areas using sidewall-space bit lines
US20090315143A1 (en) Methods of Forming Integrated Circuit Devices Including Insulating Support Layers and Related Structures
US5766994A (en) Dynamic random access memory fabrication method having stacked capacitors with increased capacitance
US20070152263A1 (en) Dynamic random access memory cell layout and fabrication method thereof
US6548349B2 (en) Method for fabricating a cylinder-type capacitor for a semiconductor device
JPH08250677A (en) Semiconductor memory device and its fabrication method
US8581315B2 (en) Semiconductor device having cylindrical lower electrode of capacitor and manufacturing method thereof
JPH11345944A (en) Dram cell capacitor and manufacture thereof
US6097055A (en) Capacitor and method for fabricating the same
US6489646B1 (en) DRAM cells with buried trench capacitors
US5536673A (en) Method for making dynamic random access memory (DRAM) cells having large capacitor electrode plates for increased capacitance
US5913129A (en) Method of fabricating a capacitor structure for a dynamic random access memory
US6548348B1 (en) Method of forming a storage node contact hole in a porous insulator layer
US5952039A (en) Method for manufacturing DRAM capacitor
US6653230B2 (en) Semiconductor device having concave electrode and convex electrode and method of manufacturing thereof
US6413816B2 (en) Method for forming memory cell of semiconductor memory device
KR100325471B1 (en) Manufacturing Method of DRAM Devices
US20020025629A1 (en) Method of fabricating a capacitor structure
JPH10242417A (en) Semiconductor device and its manufacturing method
US6060366A (en) Method for manufacturing dram capacitor incorporating liquid phase deposition
KR100242470B1 (en) Semiconductor memory device and its fabricating method
US5970359A (en) Method of forming a capacitor for DRAM
US5866454A (en) Method of fabricating a capacitor structure for a dynamic random access memory cell with cellular voids