JPH10199990A - Characteristics extraction of aimed gate output - Google Patents

Characteristics extraction of aimed gate output

Info

Publication number
JPH10199990A
JPH10199990A JP9015973A JP1597397A JPH10199990A JP H10199990 A JPH10199990 A JP H10199990A JP 9015973 A JP9015973 A JP 9015973A JP 1597397 A JP1597397 A JP 1597397A JP H10199990 A JPH10199990 A JP H10199990A
Authority
JP
Japan
Prior art keywords
gate
output
waveform
input
slew
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP9015973A
Other languages
Japanese (ja)
Inventor
Atsuo Nakamura
厚生 中村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dai Nippon Printing Co Ltd
Original Assignee
Dai Nippon Printing Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dai Nippon Printing Co Ltd filed Critical Dai Nippon Printing Co Ltd
Priority to JP9015973A priority Critical patent/JPH10199990A/en
Publication of JPH10199990A publication Critical patent/JPH10199990A/en
Withdrawn legal-status Critical Current

Links

Landscapes

  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To obtain a delay near an actual waveform by applying a delay time of the respective gates and input waveform slew to the next stage gates of the respective gates to a specific expression, so as to find a delay time of the output waveform and the waveform slew of an aimed gate. SOLUTION: In the characteristic extraction method of this amide gate output, a delay time tpd of the respective gates and the input waveform slew to the next step gates of the respective gates are applied to the following expression, so as to obtain delay near the actual waveform: tpd=to+Ksi×S +(Kso×S+Kcl+Ro)×CO, s Iew=sO+Ssix←S+(Sso←x←S+Scl+R)×C, where that R, C are wiring load resistance, wiring load capacity between the aimed gate and the first part gate, S is the input waveform slew to the aimed gate, RO, CO are the output wiring load resistance output load capacity, tO, Ks, KsO, KcI, sO, Ssi, SsO are parameters. Thereby, the delay near the actual waveform can be obtained.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は,MOS(Meta
l Oxide Semiconductor)型の半
導体集積回路設計における、複数のゲートが連結された
LSI内部での着目ゲート出力の抽出方法であって、特
に、信号の遅延、波形なまりについて、実波形との誤差
を実用レベルで少なくした出力波形の特性抽出方法に関
する。
The present invention relates to a MOS (Meta)
This is a method for extracting a target gate output inside an LSI in which a plurality of gates are connected in the design of a semiconductor integrated circuit of the type (Oxide Semiconductor). In particular, an error from a real waveform is practically used for signal delay and waveform rounding. The present invention relates to a method for extracting characteristics of an output waveform reduced in level.

【0002】[0002]

【従来の技術】近年、電子機器の高性能化と軽薄短小の
傾向から、ASICに代表される種々のLSIには、ま
すます高集積化、高機能化が求められるようになってき
た。上記ASIC等のLSIは、一般には、機能、論理
設計、回路設計、レイアウト設計等を経て、フオトマス
クパターン用のパターンを作製し、これを用いてフオト
マスクを作製した後、フオトマスクのパターンをウエハ
上に縮小投影露光等により転写して、半導体素子作製プ
ロセスを行うという長い工程を経て作製されるものであ
る。このように工程が長い為、できるだけ早い工程にお
いて、遅延時間を含めた精確な波形を求めておくことが
要求されることがある。特に、論理回路ライブラリの開
発においては、LSIの性能向上の点から遅延時間を含
めた精確な波形を求めておくことは不可欠で、一般に
は、SPICE(Simulation Progra
m with Integrated Circuit
Emphasis)ソフトを用い、入力波形としてラ
ンプ波形(線型波形)を用い、これに対応する出力波形
を求めて遅延時間等を検証している。
2. Description of the Related Art In recent years, various LSIs represented by ASICs have been required to have higher integration and higher functions due to the trend toward higher performance and lighter and smaller electronic devices. In general, an LSI such as the above-mentioned ASIC produces a pattern for a photomask pattern through a function, a logic design, a circuit design, a layout design, and the like, and produces a photomask using the pattern. The semiconductor device is manufactured through a long process of performing a semiconductor device manufacturing process by transferring the image by reduced projection exposure or the like. Since the process is long as described above, it may be required to obtain an accurate waveform including a delay time in a process as early as possible. In particular, in the development of a logic circuit library, it is essential to obtain a precise waveform including a delay time from the viewpoint of improving the performance of an LSI, and in general, a SPICE (Simulation Program) is generally required.
m with Integrated Circuit
(Emphasis) software, a ramp waveform (linear waveform) is used as an input waveform, and an output waveform corresponding to the ramp waveform is obtained to verify a delay time and the like.

【0003】ここで、簡単にSPICEのソフトを用い
た入出力波形の遅延時間等の検証について簡単に説明し
ておく。図5(a)に示す、NAND素子は、図5
(b)に示す真理値表のように機能が表現され、入力I
1、I2が変化し、出力が1から0、ないし0から1へ
と変化する場合がある。そして、例えば、入力I1、I
2がともに1で出力が0の状態から、入力I1のみが0
に変化し、出力が1に変化する際、入力I1の変化に対
して出力O1が1に変化するが、入力I1と出力O1と
には時間にズレが生じる。即ち、入力I1と出力O1と
には遅延時間が発生する。また、入出波形も矩形波とな
らず矩形波から変形した形となる。ここでは、以降、図
6(a)に示すように、波形610、620をそれぞれ
実波形の入力波形、出力波形とした場合、入力が出力値
DDの1/2になった時点から出力が出力値VDDの1/
2になるまでの時間を遅延時間tpd(timeof
propagation delay)と言い、入力、
出力について、それぞれ出力値がHigh側閾電圧に達
し、Low側閾電圧に達するまでの時間、ないしLow
側閾電圧に達し、High側閾電圧に達するまでの時間
を波形の変形具合を表す量として波形ナマリと言う。
尚、図6においては、縦軸を出力値に対する%表示とし
ており、ここでは便宜上、入力の出力値と出力の出力値
はともにVDDとしている。High側閾電圧としては出
力電圧の70〜90%、Low側閾電圧としては出力電
圧の10〜30%が好ましい。一般には、図6(b)に
示すように、論理素子における入力の変化に対応する出
力の変化は、入力としてランプ入力(線型入力)630
を用い、これに対応する出力640をSPICEのソフ
トから求めていた。しかし、出力波形のナマリ、遅延時
間等、実波形と比較した場合、実用レベルでは、誤差が
大きく問題となっていた。尚、ここで言う実波形とは、
MOS形半導体素子について、動作特性から厳密に近似
計算して求めた波形を言っている。入出力波形の検証に
おいては、実波形を用いるのは、困難で従来は、図6
(b)に示すランプ波形430を用いていた。
Here, a brief description will be given of verification of a delay time of input / output waveforms using SPICE software. The NAND element shown in FIG.
The function is expressed as in the truth table shown in FIG.
1, I2 may change and the output may change from 1 to 0, or from 0 to 1. Then, for example, the inputs I1, I
2 is 1 and output is 0, only input I1 is 0
When the output changes to 1, the output O1 changes to 1 with respect to the change of the input I1, but there is a time lag between the input I1 and the output O1. That is, a delay time occurs between the input I1 and the output O1. In addition, the incoming and outgoing waveforms are not rectangular waves but are deformed from the rectangular waves. Here, hereafter, as shown in FIG. 6 (a), when the waveforms 610 and 620 are respectively the input waveform and the output waveform of the actual waveform, the output starts from the time when the input becomes 1/2 of the output value V DD. 1/3 of output value V DD
2 until the delay time tpd (timeof
propagation delay), input,
For the output, the time required for the output value to reach the High-side threshold voltage and reach the Low-side threshold voltage, respectively, or Low
The time from when the voltage reaches the side threshold voltage to when the voltage reaches the High side threshold voltage is referred to as a waveform summary as an amount representing the degree of waveform deformation.
In FIG. 6, the vertical axis represents% relative to the output value. Here, for convenience, both the input output value and the output output value are set to V DD . The high-side threshold voltage is preferably 70 to 90% of the output voltage, and the low-side threshold voltage is preferably 10 to 30% of the output voltage. Generally, as shown in FIG. 6B, a change in an output corresponding to a change in an input in a logic element is represented by a ramp input (linear input) 630 as an input.
And an output 640 corresponding to this is obtained from SPICE software. However, when compared with the actual waveform, such as the summary of the output waveform, the delay time, etc., an error is a serious problem at a practical level. Note that the actual waveform referred to here is
It refers to a waveform obtained by strictly approximating a MOS-type semiconductor device from operating characteristics. In verification of input / output waveforms, it is difficult to use actual waveforms.
The ramp waveform 430 shown in (b) was used.

【0004】この為、従来はSPICEのソフトから求
められた出力に予め適当と判断される数値(ゲタとも言
う)を加減するという方法で対応していたが、種々の回
路に対し一様な扱いは難しく、基本的な問題解決とはは
なっておらず、その対応が求められていた。このような
状況のもと、MOS型トランジスタからなる半導体集積
回路設計における論理素子レベルでの出力波形の生成方
法および、論理素子レベルでの出力波形の特性抽出方法
において、出力波形の遅延情報、ナマリ情報等を得るた
めに、MOS(Metal Oxide Semico
nductor)トランジスタにより形成される回路に
ついて、論理素子レベルで、SPICE(Simula
tion Program with Integra
ted Circuit Emphasis)ソフトを
用いて入力波形から出力波形を得る出力波形の生成方法
において、入力電圧として、実波形入力の波形ナマリか
ら求めた指数波形を用いることも本出願人により提案さ
れている。
For this reason, conventionally, the output obtained from the software of SPICE has been dealt with by a method of adding or subtracting a numerical value (also referred to as “getter”) which is determined to be appropriate in advance. It was difficult, and it was not a basic problem solution, and a response was required. Under such circumstances, in a method of generating an output waveform at a logic element level and a method of extracting a characteristic of an output waveform at a logic element level in designing a semiconductor integrated circuit composed of MOS transistors, delay information of output waveforms, summary In order to obtain information, etc., MOS (Metal Oxide Semico)
For a circuit formed by transistors, a SPICE (Simula) is formed at a logic element level.
Tion Program with Integra
In a method of generating an output waveform from an input waveform using ted Circuit Emphasis (software) software, it has been proposed by the present applicant to use an exponential waveform obtained from a waveform summary of an actual waveform input as an input voltage.

【0005】[0005]

【発明が解決しようとする課題】しかし、実際には、L
SI内部での着目ゲートの出力(電圧波形)は、このよ
うにして求められた指数波形とは異なっているため、こ
のようにして求めた指数波形を用いた場合、負荷容量が
小さい回路(ゲート)が連続すると過小の(数%)遅延
が算出され、負荷容量が大きい回路(ゲート)が連続す
ると過大の(数%)遅延が算出されることとなり、問題
となっていた。本発明は、これに対応しようとするもの
で、複数のゲートが連結されたLSI内部での電圧波形
の抽出方法であって、実波形に近い遅延を得ることがで
きる出力の抽出方法を提供しようとするものであり、同
時に、出力波形なまりについても、実波形に近いなまり
を得ることができる出力波形の抽出方法を提供しようと
するものである。
However, in practice, L
Since the output (voltage waveform) of the target gate inside the SI is different from the exponential waveform obtained in this way, when the exponential waveform obtained in this manner is used, a circuit (gate) having a small load capacitance is used. ) Continues, an excessively small (several%) delay is calculated, and if a circuit (gate) having a large load capacity continues, an excessively large (several%) delay is calculated, which is a problem. The present invention is directed to a method of extracting a voltage waveform in an LSI in which a plurality of gates are connected, and a method of extracting an output capable of obtaining a delay close to an actual waveform. At the same time, it is an object of the present invention to provide a method of extracting an output waveform that can obtain a rounding similar to an actual waveform.

【0006】[0006]

【課題を解決するための手段】本発明の着目ゲート出力
の特性抽出方法は、複数のゲートが連結されたLSI内
部での着目ゲート出力の抽出方法であって、各ゲートの
遅延時間tpdと各ゲートの次段ゲートへの入力波形な
まりslewとを下記(1)式、(2)式に当てはめ、
回路入力から出力特性を抽出する着目ゲートに至る各ゲ
ートの遅延時間と各ゲートの次段ゲートへの入力波形な
まりとを、順次、回路入力側から求めることにより、着
目ゲートの出力波形の遅延時間Tpdと出力波形なまり
Slewを求めることを特徴とするものである。 (1) tpd=t0+Ksi×S+(Ks0×S+K
cl+RO)×CO (2)slew=s0+Ssi×S+(Ss0×S+S
cl+R)×C 但し、R、Cは着目ゲートと前段のゲート間の配線負荷
抵抗、配線負荷容量で、Sは着目ゲートへの入力波形な
まり(即ち前段のslew)、RO、COは着目ゲート
の出力配線負荷抵抗、出力負荷容量であり、t0、Ks
i、Ks0、Kcl、s0、Ssi、Ss0はパラメー
タである。
A characteristic extraction method of a gate output of interest according to the present invention is a method of extracting a gate output of interest in an LSI in which a plurality of gates are connected. The input waveform rounding slew to the next gate of the gate is applied to the following equations (1) and (2),
The delay time of the output waveform of the gate of interest is obtained by sequentially obtaining, from the circuit input side, the delay time of each gate from the circuit input to the gate of interest to extract the output characteristics and the rounding of the input waveform to the next gate of each gate. It is characterized in that Tpd and an output waveform rounding Slew are obtained. (1) tpd = t0 + Ksi × S + (Ks0 × S + K
cl + RO) × CO (2) slew = s0 + Ssi × S + (Ss0 × S + S
cl + R) × C where R and C are the wiring load resistance and wiring load capacitance between the gate of interest and the previous gate, S is the rounding of the input waveform to the gate of interest (that is, slew of the previous stage), and RO and CO are Output wiring load resistance, output load capacity, t0, Ks
i, Ks0, Kcl, s0, Ssi, and Ss0 are parameters.

【0007】[0007]

【作用】本発明の着目ゲート出力の特性抽出方法は、こ
のような構成にすることにより、複数のゲートが連結さ
れたLSI内部での着目ゲート出力の抽出方法におい
て、着目する箇所において、実波形に近い遅延を得るこ
とができる出力波形の抽出方法の提供を可能としてい
る。同時に、出力波形なまりについても、実波形に近い
なまりを得ることができる出力波形の抽出方法の提供を
可能としている。具体的には、各ゲートの遅延時間tp
dと各ゲートの次段ゲートへの入力波形なまりslew
とを下記(1)式、(2)式に当てはめ、回路入力から
出力を抽出する着目ゲートの出力電圧に至る各ゲートの
遅延時間と各ゲートの次段ゲートへの入力波形なまりと
を、順次、回路入力側から求めることにより、着目ゲー
トの出力波形の遅延時間Tpdと出力波形なまりSle
wを求めることによりこれを達成している。
According to the characteristic extracting method of the target gate output of the present invention, by adopting such a configuration, in the method of extracting the target gate output inside an LSI in which a plurality of gates are connected, an actual waveform is obtained at a target position. Thus, it is possible to provide an output waveform extraction method capable of obtaining a delay close to. At the same time, it is possible to provide an output waveform extraction method capable of obtaining an output waveform rounding that is close to an actual waveform. Specifically, the delay time tp of each gate
d and input waveform rounding slew to the next gate of each gate
Is applied to the following equations (1) and (2), and the delay time of each gate from the circuit input to the output voltage of the gate of interest to extract the output and the rounding of the input waveform to the next gate of each gate are sequentially determined. , The delay time Tpd of the output waveform of the gate of interest and the output waveform rounding Sle
This is achieved by finding w.

【0008】ここで、各ゲートの遅延時間tpdと次段
ゲートへの入力波形なまりslewとを前記(1)式、
(2)式に当てはめられることを簡単に説明しておく。
一般に負荷容量COと遅延tpdとの関係は、図3
(a)に示すように直線的に表現される。即ち、 tpd=t0+Req×C0 (3) と表現されるが、同時に、図3(b)に示すように、入
力波形なまりslewを変えると遅延tpdも変化する
ことも知られている。この傾向はSPICEシミュレー
ションとも良く合う。図3(b)ではCO=0の点にお
いても変化するとともに、傾き自体も、入力波形なまり
slewに依存して変化している。これより、入力波形
のなまりslewの項をtpdの式(3)に組み入れる
ことにより、より実波形に近い遅延を得ることができ、
前記(1)式を得ることができる。尚、式(3)自体に
ついては、図3(c)(イ)に示す回路において、負荷
容量COを変化させることにより得ることができる。
Here, the delay time tpd of each gate and the roundness slew of the input waveform to the next stage gate are expressed by the above formula (1).
The fact that the formula (2) is applied will be briefly described.
Generally, the relationship between the load capacitance CO and the delay tpd is shown in FIG.
It is represented linearly as shown in FIG. That is, tpd = t0 + Req × C0 (3). At the same time, it is known that, as shown in FIG. 3B, when the input waveform rounding slew is changed, the delay tpd also changes. This tendency fits well with the SPICE simulation. In FIG. 3B, the slope changes at the point of CO = 0, and the slope itself also changes depending on the input waveform rounding slew. Thus, by incorporating the slew term of the input waveform into the equation (3) of tpd, a delay closer to the actual waveform can be obtained,
Equation (1) can be obtained. The expression (3) itself can be obtained by changing the load capacitance CO in the circuit shown in FIGS.

【0009】また、入力波形なまりslewについて
も、図3(C)(ロ)に示す回路からも分かるように、
負荷容量Cの一次式になることが分かり、且つ、出力波
形なまりは、入力波形なまりにより変化することも同様
に得られ、これより、前記(2)式を得ることができ
る。
The input waveform rounding slew is also understood from the circuits shown in FIGS.
It can be seen that the load capacitance C becomes a linear expression, and that the output waveform rounding also changes due to the input waveform rounding, whereby the expression (2) can be obtained.

【0010】これより、前記(1)式、(2)式に従
い、順次、入力電圧から着目ゲート出力の抽出する最終
ゲートの出力電圧に至る各ゲートの遅延時間と出力波形
なまりを求めることにより、最終ゲートの出力波形の遅
延時間Tpdと出力波形なまりSlewを求めることが
できる。更に、なまりSlewが負荷容量の一次式であ
ることより、結局、最終ゲートの出力波形を、なまりS
lewから指数波形で表現できる。
According to the equations (1) and (2), the delay time of each gate and the rounding of the output waveform from the input voltage to the output voltage of the final gate from which the gate output of interest is extracted are sequentially obtained according to the equations (1) and (2). The delay time Tpd of the output waveform of the last gate and the output waveform rounding Slew can be obtained. Further, since the rounding Slew is a linear expression of the load capacitance, the output waveform of the final gate is eventually changed to the rounding S
It can be expressed by an exponential waveform from lew.

【0011】[0011]

【発明の実施の形態】本発明を図1(a)に基づいて説
明する。図1(a)は本発明の着目ゲート出力の特性抽
出方法のフロー図で、図1(b)は、複数のゲートが連
結されたLSI内部での着目するゲートを説明するため
の図である。本発明の着目ゲート出力の特性抽出方法
は、複数のゲートが連結されたLSI内部での着目ゲー
ト出力の抽出方法であって、各ゲートの遅延時間tpd
と各ゲートの次段ゲートへの入力波形なまりslewと
を下記(1)式、(2)式に当てはめ、着目するゲート
において、実波形に近い遅延を得るとするものであり、
同時に、出力波形なまりについても、実波形に近いなま
りを得ようとするものである。 (1) tpd=t0+Ksi×S+(Ks0×S+K
cl+RO)×CO (2)slew=s0+Ssi×S+(Ss0×S+S
cl+R)×C 但し、R、Cは着目ゲートと前段のゲート間の配線負荷
抵抗、配線負荷容量で、Sは着目ゲートへの入力波形な
まり(即ち前段のslew)、RO、COは着目ゲート
の出力配線負荷抵抗、出力負荷容量であり、t0、Ks
i、Ks0、Kcl、s0、Ssi、Ss0はパラメー
タである。尚、図1(b)に示すように、回路への入力
電圧は、順次、ゲートG1、ゲートG2、ゲートGnを
経て、着目するゲートGへと伝達される。先ず、回路へ
の入力電圧は第1段目ゲートG1へ伝達され、ゲートG
1から出力され、第2段目ゲートG2へ伝達されるが、
回路入力の電圧波形のslewを用い、ゲートG1の遅
延時間tpd1と次段ゲートG2への入力波形なまりs
lew1を上記(1)式、(2)式から求める。次い
で、次段ゲートG2についても、求められた入力波形な
まりslew1を用い、(1)式、(2)式から、ゲー
トG2の遅延時間tpd2と次段ゲートG3への入力波
形なまりslew2を求める。このようにして、順次ゲ
ートGnについて、求められたその入力波形なまりsl
ew(n−1)を用い、ゲートGnの遅延時間tpdn
と次段ゲートGn+1への入力波形なまりslewnを
求める。着目するゲートGに至までこれを繰り返し、求
められた着目ゲートGの入力波形なまりを用い、(1)
式、(2)式から着目ゲートGの遅延時間Tpdと出力
波形なまりSlewを求める。
DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention will be described with reference to FIG. FIG. 1A is a flowchart of a characteristic extraction method of a target gate output according to the present invention, and FIG. 1B is a diagram for explaining a target gate in an LSI in which a plurality of gates are connected. . The characteristic extraction method of the target gate output according to the present invention is a method of extracting the target gate output inside an LSI in which a plurality of gates are connected, and includes a delay time tpd of each gate.
And the input waveform rounding slew to the next gate of each gate are applied to the following equations (1) and (2) to obtain a delay close to the actual waveform at the gate of interest.
At the same time, it is intended to obtain an output waveform rounding which is close to an actual waveform. (1) tpd = t0 + Ksi × S + (Ks0 × S + K
cl + RO) × CO (2) slew = s0 + Ssi × S + (Ss0 × S + S
cl + R) × C where R and C are the wiring load resistance and wiring load capacitance between the gate of interest and the previous gate, S is the rounding of the input waveform to the gate of interest (that is, slew of the previous stage), and RO and CO are Output wiring load resistance, output load capacity, t0, Ks
i, Ks0, Kcl, s0, Ssi, and Ss0 are parameters. As shown in FIG. 1B, the input voltage to the circuit is sequentially transmitted to the gate G of interest via the gate G1, the gate G2, and the gate Gn. First, the input voltage to the circuit is transmitted to the first-stage gate G1, and the gate G
1 and transmitted to the second stage gate G2.
Using the slew of the voltage waveform of the circuit input, the delay time tpd1 of the gate G1 and the rounding s of the input waveform to the next stage gate G2 are used.
lew1 is obtained from the above equations (1) and (2). Next, the delay time tpd2 of the gate G2 and the input waveform rounding slew2 to the next-stage gate G3 are calculated from the equations (1) and (2) by using the obtained input waveform rounding slew1 for the next-stage gate G2. In this manner, for the gate Gn, the input waveform roundness sl obtained for the gate Gn is determined.
ew (n-1), the delay time tpdn of the gate Gn
, And the input waveform rounding slewn to the next stage gate Gn + 1 is obtained. This is repeated up to the target gate G, and the obtained input waveform rounding of the target gate G is used to obtain (1)
The delay time Tpd of the gate G of interest and the output waveform rounding Slew are obtained from the expressions (2).

【0012】[0012]

【実施例】更に、実施例を挙げ本発明を説明する。図4
に示す、インバータを3段連結した回路について、図2
に、(1)式に示す遅延tpdを用いた本発明の方式の
場合と、(3)式に示す入力なまりslewを考慮しな
い遅延tpdを用いた従来方式の場合について、その入
出力波形を示し、遅延を比較した。尚、同時にSPIC
Eのシミレーションによって求めた波形を実波形として
示してある。図2(a)は入力が緩やかで、出力が急峻
な場合であり、図2(b)は入力が緩やかで、出力が緩
やかな場合である。図2(a)、図2(b)のいずれ
も、本発明の方式の場合、出力50%において、SPI
CEのシミレーション結果(実波形)と良く一致してい
ることが分かり、これより、本発明の方式の方が、従来
方式の比べ、実波形によく一致していることが分かる。
尚、図では示さないが、入力が急峻で、出力が急峻な場
合で、および入力が急峻で、出力が緩やかな場合につい
ては、両者とも出力50%において、SPICEのシミ
レーション結果(実波形)と良く一致していることが分
かり、両者の差は殆ど見られなかった。
The present invention will be further described with reference to examples. FIG.
FIG. 2 shows a circuit in which three inverters are connected as shown in FIG.
The input / output waveforms of the method of the present invention using the delay tpd shown in the equation (1) and the conventional method using the delay tpd not considering the input roundness slew shown in the equation (3) are shown. , Compared the delay. At the same time, SPIC
The waveform obtained by the simulation of E is shown as an actual waveform. FIG. 2A shows a case where the input is gradual and the output is steep, and FIG. 2B shows a case where the input is gradual and the output is gradual. 2 (a) and 2 (b), in the case of the system of the present invention, the SPI
It can be seen that there is a good match with the simulation result (real waveform) of CE, and it can be seen from this that the method of the present invention matches the real waveform better than the conventional method.
Although not shown in the drawing, the simulation results of SPICE (actual waveform) at the output of 50% in the case where the input is steep and the output is steep, and in the case where the input is steep and the output is moderate, are shown. It was found that they were in good agreement with each other, and there was almost no difference between them.

【0013】[0013]

【発明の効果】本発明は、上記のように、複数のゲート
が連結されたLSIにおいて、実波形と良く一致したL
SI内部での着目ゲート出力の特性抽出が可能とてい
る。即ち、実波形に近い遅延を得ることができる出力の
抽出方法の提供を可能と、且つ実波形に近い出力波形な
まりを得ることを可能としている。
As described above, according to the present invention, in an LSI in which a plurality of gates are connected, an L which matches well with an actual waveform is used.
It is possible to extract the characteristics of the target gate output inside the SI. That is, it is possible to provide an output extraction method capable of obtaining a delay close to the actual waveform, and to obtain an output waveform rounding similar to the actual waveform.

【図面の簡単な説明】[Brief description of the drawings]

【図1】実施の形態を示したフロー図FIG. 1 is a flowchart showing an embodiment.

【図2】実施例の入出力波形を示した図FIG. 2 is a diagram showing input / output waveforms of an embodiment.

【図3】本発明の基本的な考え方を説明するための図FIG. 3 is a diagram for explaining a basic concept of the present invention.

【図4】実施例において使用した回路を説明するための
FIG. 4 is a diagram for explaining a circuit used in the embodiment.

【図5】NAND回路の図FIG. 5 is a diagram of a NAND circuit;

【図6】波形のナマリを説明するための図FIG. 6 is a diagram for explaining a summary of waveforms;

【符号の説明】[Explanation of symbols]

I1、I2 入力 O1 出力 610 入力波形 620 出力波形 630 ランプ入力(線型入力) 640 出力波形 Ts1 入力波形のナマリ Ts2 出力波形のナマリ tpd 遅延時間 I1, I2 input O1 output 610 input waveform 620 output waveform 630 ramp input (linear input) 640 output waveform Ts1 summary of input waveform Ts2 summary of output waveform tpd delay time

Claims (1)

【特許請求の範囲】[Claims] 【請求項1】 複数のゲートが連結されたLSI内部で
の着目ゲート出力の抽出方法であって、各ゲートの遅延
時間tpdと各ゲートの次段ゲートへの入力波形なまり
slewとを下記(1)式、(2)式に当てはめ、回路
入力から出力特性を抽出する着目ゲートに至る各ゲート
の遅延時間と各ゲートの次段ゲートへの入力波形なまり
とを、順次、回路入力側から求めることにより、着目ゲ
ートの出力波形の遅延時間Tpdと出力波形なまりSl
ewを求めることを特徴とする着目ゲート出力の特性抽
出方法。 (1) tpd=t0+Ksi×S+(Ks0×S+K
cl+RO)×CO (2)slew=s0+Ssi×S+(Ss0×S+S
cl+R)×C 但し、R、Cは着目ゲートと前段のゲート間の配線負荷
抵抗、配線負荷容量で、Sは着目ゲートへの入力波形な
まり、RO、COは着目ゲートの出力配線負荷抵抗、出
力負荷容量であり、t0、Ksi、Ks0、Kcl、s
0、Ssi、Ss0はパラメータである。
1. A method of extracting a target gate output inside an LSI in which a plurality of gates are connected, wherein a delay time tpd of each gate and an input waveform rounding slew to a next-stage gate of each gate are defined by the following (1). By applying the equations (2) and (2), the delay time of each gate from the circuit input to the target gate for extracting the output characteristic and the rounding of the input waveform to the next gate of each gate are sequentially obtained from the circuit input side. As a result, the delay time Tpd of the output waveform of the target gate and the output waveform roundness Sl
A characteristic extraction method of a gate output of interest, wherein ew is obtained. (1) tpd = t0 + Ksi × S + (Ks0 × S + K
cl + RO) × CO (2) slew = s0 + Ssi × S + (Ss0 × S + S
cl + R) × C where R and C are the wiring load resistance and wiring load capacitance between the target gate and the previous gate, S is the input waveform rounding to the target gate, and RO and CO are the output wiring load resistance and output of the target gate. Load capacity, t0, Ksi, Ks0, Kcl, s
0, Ssi, and Ss0 are parameters.
JP9015973A 1997-01-14 1997-01-14 Characteristics extraction of aimed gate output Withdrawn JPH10199990A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP9015973A JPH10199990A (en) 1997-01-14 1997-01-14 Characteristics extraction of aimed gate output

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP9015973A JPH10199990A (en) 1997-01-14 1997-01-14 Characteristics extraction of aimed gate output

Publications (1)

Publication Number Publication Date
JPH10199990A true JPH10199990A (en) 1998-07-31

Family

ID=11903661

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9015973A Withdrawn JPH10199990A (en) 1997-01-14 1997-01-14 Characteristics extraction of aimed gate output

Country Status (1)

Country Link
JP (1) JPH10199990A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011034480A (en) * 2009-08-05 2011-02-17 Renesas Electronics Corp Jitter calculating device, jitter calculating method and jitter calculation program
JP2011097314A (en) * 2009-10-29 2011-05-12 Nec Corp Multiphase clock generation circuit

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011034480A (en) * 2009-08-05 2011-02-17 Renesas Electronics Corp Jitter calculating device, jitter calculating method and jitter calculation program
US8428907B2 (en) 2009-08-05 2013-04-23 Renesas Electronics Corporation Jitter calculating device, jitter calculating method and jitter calculating program
JP2011097314A (en) * 2009-10-29 2011-05-12 Nec Corp Multiphase clock generation circuit

Similar Documents

Publication Publication Date Title
US6487705B1 (en) Timing optimization and timing closure for integrated circuit models
JP2005513626A (en) Clock tree synthesis for mixed region clocks
US6219630B1 (en) Apparatus and method for extracting circuit, system and method for generating information for simulation, and netlist
JPWO2001082145A1 (en) Crosstalk analysis method, method for designing or manufacturing electronic circuit device using the same, and recording medium for electronic circuit library therefor
US7562266B2 (en) Method and device for verifying timing in a semiconductor integrated circuit
US7747972B2 (en) Methods and apparatuses for timing analysis of electronic circuits
US6629299B1 (en) Delay library representation method, delay library generation method and delay calculation method using the delay library
JP2004185374A (en) Crosstalk check method
US8161445B2 (en) Logic transformation and gate placement to avoid routing congestion
JPH10199990A (en) Characteristics extraction of aimed gate output
US6871329B2 (en) Design system of integrated circuit and its design method and program
Neves et al. Circuit synthesis of clock distribution networks based on non-zero clock skew
US6928631B2 (en) Circuit design method and computer program product
JP2985833B2 (en) Clock distribution system and method
US6598213B1 (en) Static timing analysis validation tool for ASIC cores
US7913207B2 (en) Method and apparatus for verifying logic circuit
JPH0618617A (en) Method for verifying operation of clocked element of integrated circuit
JPH10105596A (en) Method for generating output waveform at logic element level and extracting feature of output waveform
US6701423B2 (en) High speed address sequencer
JP3251250B2 (en) Clock skew reduction method and computer-readable recording medium recording clock skew reduction method
JP3964483B2 (en) Integrated circuit logic simulation method
US8271255B2 (en) Method of calculating gate delay based on crosstalk effect due to capacitive coupling
JP2000357740A (en) Design method for semiconductor integrated circuit
JP2734268B2 (en) Clock wiring design equipment
JP3153201B2 (en) Simulation method and apparatus for semiconductor integrated circuit

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20040406