JPH10125790A - Interblock wiring estimating method - Google Patents

Interblock wiring estimating method

Info

Publication number
JPH10125790A
JPH10125790A JP8274423A JP27442396A JPH10125790A JP H10125790 A JPH10125790 A JP H10125790A JP 8274423 A JP8274423 A JP 8274423A JP 27442396 A JP27442396 A JP 27442396A JP H10125790 A JPH10125790 A JP H10125790A
Authority
JP
Japan
Prior art keywords
block
wiring
pin position
pin
blocks
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8274423A
Other languages
Japanese (ja)
Inventor
Keiichi Kurokawa
圭一 黒川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP8274423A priority Critical patent/JPH10125790A/en
Publication of JPH10125790A publication Critical patent/JPH10125790A/en
Pending legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To avoid a signal propagation time adjusting work in blocks after layout designing and to prevent increase in designing period by calculating two kinds of the longest and the shortest interlock wiring lengths every interblock wiring from a position of the block in the wiring of the chip, connection information, and pin position restricting conditions. SOLUTION: In step 101, floor plan information in which blocks are arranged in a chip and information of connection of the blocks are inputted. In step 102, pin position restricting conditions of each block used for an automatic layout system are inputted. In step 103, the longest and the shortest lengths of wiring between blocks are calculated by using the floor plan information, connection information of the blocks, and the pin position restricting conditions of each block. Consequently, since the logic design in the blokes and the automatic layout design in which various wiring lengths between blocks are considered can be performed, the block design satisfying the conditions of the signal propagation time amount the blocks can be performed after making the chip layout.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、ブロック毎に分散
して設計を行う大規模なLSI設計手法に関するもの
で、特にチップのフロアプラン設計におけるブロック間
配線長推定方法に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a large-scale LSI design technique for performing design in a distributed manner for each block, and more particularly to a method for estimating a wiring length between blocks in a floor plan design of a chip.

【0002】[0002]

【従来の技術】近年の微細加工技術の進歩による半導体
の集積技術は、1チップに搭載される論理素子数の増大
と、配線による信号伝播時間(配線遅延時間)の相対的
増大を引き起こしている。
2. Description of the Related Art Recent advances in microfabrication technology have led to an increase in the number of logic elements mounted on one chip and a relative increase in signal propagation time (wiring delay time) due to wiring. .

【0003】1チップ内に搭載する論理素子の増大が、
ブロック毎に設計を行う分散設計を加速させ、その結
果、すべてのブロックのレイアウト設計まで終了しない
とブロック間配線長が解らないということに加えて、ブ
ロック間配線がブロック内の配線に比べて非常に長いと
いう新たな問題を発生させている。
[0003] The increase in the number of logic elements mounted in one chip is
Accelerated distributed design, in which design is performed on a block-by-block basis. As a result, the wiring length between blocks cannot be known until the layout design of all blocks is completed. Is causing a new problem.

【0004】すなわち、分散設計では、非常に長い配線
がブロック設計時にわからないことを意味し、配線遅延
時間が支配的になっていることを考えれば非常に重大な
問題である。
[0004] That is, in the distributed design, it means that a very long wiring is not known at the time of block design, and this is a very serious problem considering that the wiring delay time is dominant.

【0005】この問題を解決するために、従来、設計の
早期にチップのフロアプランを実行することでブロック
間配線長を推定し、その配線長を考慮したブロック設計
を進めるという方法が用いられている。
In order to solve this problem, a method of estimating a wiring length between blocks by executing a floor plan of a chip at an early stage of design and proceeding with a block design in consideration of the wiring length has been conventionally used. I have.

【0006】図12は、従来のブロック間推定方法を説
明するために用いるフロアプラン結果を示した図であ
り、LSIチップ形状1201と、ブロック1202
と、BlockAのブロックピン仮配置位置1203
と、BlockCのブロックピン仮配置位置1204
と、BlockDのブロックピン仮配置位置1205
と、前記ブロックピンの仮配置位置1203〜1205
に基づいた詳細配線結果1206と、BlockAの中
心位置1207と、BlockCの中心位置1208
と、BlockDの中心位置1209と、前記ブロック
中心位置1207〜1209間距離1210とから構成
され、図12中のBlockA、BlockB、Blo
ckC、BlockD、BlockEはブロック名を示
している。
FIG. 12 is a diagram showing a floor plan result used to explain a conventional inter-block estimation method. An LSI chip shape 1201 and a block 1202 are shown.
, BlockA block pin temporary arrangement position 1203
And block C provisional arrangement position 1204 of Block C
, BlockD block pin temporary arrangement position 1205
And the provisional arrangement positions 1203 to 1205 of the block pins.
, A center position 1207 of Block A, and a center position 1208 of Block C
Block A, Block B, and Block A shown in FIG. 12 are constituted by the center position 1209 of Block D and the distance 1210 between the block center positions 1207 to 1209.
ckC, BlockD, and BlockE indicate block names.

【0007】たとえば、従来のブロック間配線方法で図
12に示したフロアプラン結果からBlockA、Bl
ockC、BlockDを結ぶブロック間配線長を推定
する場合には、各ブロックピン同士の距離が近くなる位
置、BlockAでは1203、BlockCでは12
04、BlockDでは1205をブロックピンの仮配
置位置として、ブロック間の詳細配線を行ってブロック
間配線1206を得る方法や、各ブロックの中心位置1
207〜1209から得られるブロック間相対距離12
10をブロック間配線とする方法などが取られてきた。
For example, Block A and Bl are obtained from the results of the floor plan shown in FIG.
When estimating the inter-block wiring length connecting ockC and BlockD, a position where the distance between the block pins is short, 1203 for BlockA, and 12 for BlockC
04, in Block D, a method of obtaining detailed inter-block wiring 1206 by performing detailed wiring between blocks with 1205 as a provisional arrangement position of block pins,
Relative distance between blocks 12 obtained from 207 to 1209
A method of using 10 as an inter-block wiring has been adopted.

【0008】[0008]

【発明が解決しようとする課題】しかしながら、上述し
た推定方法では、次のような問題があるのは明らかであ
る。
However, it is apparent that the above-described estimation method has the following problems.

【0009】ブロックのレイアウト設計は、自動レイア
ウトシステムを用いることが一般的になっている。現在
の自動レイアウトシステムは、ブロックのピン位置をブ
ロックレイアウト前に決定してそれをブロックレイアウ
ト時の制約とすると、良いブロックレイアウト結果が得
られない。したがって、通常、良いブロックレイアウト
結果を得るために、ブロックピン位置拘束条件を緩めて
ブロックの自動レイアウトを実行する。
In general, an automatic layout system is used for block layout design. In the current automatic layout system, if the pin position of a block is determined before the block layout and is used as a constraint in the block layout, a good block layout result cannot be obtained. Therefore, usually, in order to obtain a good block layout result, the automatic layout of the blocks is executed by relaxing the block pin position constraint condition.

【0010】ブロックピン位置拘束条件としては、例え
ば、ブロック辺上の特定した座標に必ず配置しなければ
ならないという拘束や、ブロックの右辺の任意の位置に
配置するという拘束などがある。この場合、座標を指定
した拘束に比べると辺を指定した拘束が緩い拘束条件と
なる。
The block pin position constraint conditions include, for example, a constraint that the block must be arranged at specified coordinates on the block side, and a constraint that the block pin is arranged at an arbitrary position on the right side of the block. In this case, the constraint specifying the side is a loose constraint condition compared to the constraint specifying the coordinates.

【0011】緩いブロックピン位置拘束条件を用いてブ
ロックの自動レイアウトを実行した結果、ブロックレイ
アウト後のブロックピン位置はブロックレイアウト前に
仮決定した位置とは異なり、仮決定したブロックピン位
置から推定したブロック間配線長は、ブロックレイアウ
ト後のブロック間配線長と大きく異なってしまう。これ
は、ブロックレイアウト前に仮決定したブロックピン位
置を用いてブロック間配線を推定したことが問題であ
る。
As a result of executing the automatic layout of the block using the loose block pin position constraint condition, the block pin position after the block layout is different from the position provisionally determined before the block layout, and is estimated from the provisionally determined block pin position. The inter-block wiring length is significantly different from the inter-block wiring length after the block layout. This is a problem in that the inter-block wiring is estimated using the block pin positions provisionally determined before the block layout.

【0012】一方、ブロック間の相対距離を用いたブロ
ック間配線長推定方法は、ブロックピンの位置に影響さ
れない推定方法であるが、メモリーやCPUコアなどの
すでにレイアウト設計まで終了したブロックをチップ内
に搭載して設計を進める場合などは、すでにブロックピ
ン位置が決定されている状況を推定処理に盛り込むこと
ができないために十分な推定性能を得られない。
On the other hand, the method of estimating the inter-block wiring length using the relative distance between blocks is an estimation method which is not affected by the position of the block pin. For example, when the design is carried out by mounting the block pin on a board, a situation where the block pin position has already been determined cannot be included in the estimation processing, so that sufficient estimation performance cannot be obtained.

【0013】以上のように、従来のブロック間推定方法
では、十分な推定精度を得られないために全ブロックの
レイアウト設計が終了し、ブロック間配線を行った後
に、推定値と異なるブロック間配線長が発生してしま
う。その結果、ブロックをまたがる信号伝播時間がブロ
ック設計時と異なってしまい、論理素子の変更などを行
って信号伝播時間調整作業がレイアウト設計後に必要と
なる。レイアウト設計後の設計修正は設計期間の増大を
引き起こし、設計コストに悪影響を及ぼす。
As described above, in the conventional inter-block estimation method, sufficient estimation accuracy cannot be obtained, so that the layout design of all blocks is completed, and after inter-block wiring is performed, the inter-block wiring different from the estimated value is obtained. The length will occur. As a result, the signal propagation time across the block differs from that at the time of the block design, and the work of adjusting the signal propagation time by changing the logic element or the like is required after the layout design. The design modification after the layout design causes an increase in the design period and adversely affects the design cost.

【0014】本発明はこれらの課題を解決するものであ
って、ブロック間配線長を推定する際にブロックピンを
仮決定せずに、自動レイアウトシステムに与えるピン位
置拘束条件から、ブロックピンがレイアウト後に配置さ
れる範囲を計算し、その配置範囲からレイアウト後のブ
ロック間配線長の最長と最短を推定する。その結果、ブ
ロックの論理設計時にブロック間配線長の最長と最短を
考慮することができるので、レイアウト後に信号伝播時
間の制約を必ず満たすことができ、レイアウト設計後の
ブロック間をまたがる信号伝播時間調整作業を回避し設
計期間の増大を防止することが可能になる。
The present invention solves these problems, and the block pins are not tentatively determined when estimating the inter-block wiring length, and the block pins are laid out based on the pin position constraint conditions given to the automatic layout system. A range to be arranged later is calculated, and the longest and shortest inter-block wiring lengths after layout are estimated from the arrangement range. As a result, the longest and shortest inter-block wiring lengths can be taken into account when designing a block, so that the constraints on signal propagation time after layout can be satisfied without fail, and signal propagation time adjustment across blocks after layout design. It is possible to avoid work and prevent an increase in the design period.

【0015】[0015]

【課題を解決するための手段】前述した目的を達成する
ために本発明では、ブロックのチップ内配置位置と、ブ
ロックの接続情報を入力する手段と、自動レイアウトシ
ステムで用いるブロックピン位置拘束条件を入力する手
段と、前記ブロックのチップ内配置位置と、前記ブロッ
クの接続情報と、前記ブロックピン位置拘束条件から、
ブロック間配線毎に最長、最短の2種類のブロック間配
線長を計算する手段を備えている。
In order to achieve the above-mentioned object, according to the present invention, the arrangement position of a block in a chip, a means for inputting block connection information, and a block pin position constraint condition used in an automatic layout system are defined. Means for inputting, the arrangement position of the block in the chip, the connection information of the block, and the block pin position constraint condition,
Means are provided for calculating the longest and shortest two types of inter-block wiring length for each inter-block wiring.

【0016】また、前記手段に加えて、最良、最悪の2
種類のブロックピン位置条件をブロック毎に別々に設定
する手段と、前記ブロック毎に設定されたブロックピン
位置制約条件を用いることで、ブロックピン位置の条件
別ブロック間配線長を計算する手段を備えている。
In addition to the above means, the best and worst 2
Means for separately setting the type of block pin position conditions for each block, and means for calculating the inter-block wiring length for each block pin position condition by using the block pin position constraint conditions set for each block ing.

【0017】[0017]

【発明の実施形態】次に本発明の実施の形態について図
面を参照しながら説明する。
Next, an embodiment of the present invention will be described with reference to the drawings.

【0018】(実施の形態1)図1は、本発明のブロッ
ク間配線推定方法の第1の実施形態の処理の流れを示し
た処理フロー図であり、ブロックがチップ内に配置され
たフロアプラン情報とブロック間の接続情報を入力する
ステップ101と、自動レイアウトシステムで用いる各
ブロックのピン位置拘束条件を入力するステップ102
と、前記フロアプラン情報と、前記ブロック間の接続情
報と、前記各ブロックのピン位置拘束条件とを用いて、
最長および最短のブロック間配線長を計算するステップ
103とから構成されている。
(Embodiment 1) FIG. 1 is a processing flow chart showing a processing flow of a first embodiment of an inter-block wiring estimation method according to the present invention, and shows a floor plan in which blocks are arranged in a chip. Step 101 of inputting information and connection information between blocks, and Step 102 of inputting pin position constraint conditions of each block used in the automatic layout system
And, using the floor plan information, connection information between the blocks, and a pin position constraint condition of each block,
Step 103 for calculating the longest and shortest inter-block wiring length.

【0019】図2は、本発明の説明に用いるフロアプラ
ン結果を示したものであり、LSIのチップ形状201
とブロック202とブロックピン203で構成されてい
る。図2中のBlockA〜BlockEはブロック名
を表している。
FIG. 2 shows a result of a floor plan used for explaining the present invention.
, A block 202 and a block pin 203. BlockA to BlockE in FIG. 2 represent block names.

【0020】図3はフロアプラン情報を表現する一例で
あり、フロアプラン情報301は、ブロック名302毎
にブロック左下角のチップ内座標303とブロック形状
の縦横長304がテイブル形式で関係づけられている。
FIG. 3 shows an example of expressing floor plan information. In the floor plan information 301, the coordinates 303 in the chip at the lower left corner of the block and the length and width 304 of the block shape are associated in a table format for each block name 302. I have.

【0021】図4はブロック間接続関係を表現する一例
であり、ブロックの接続情報401は、ブロック間配線
402毎にそれに繋がるブロックピン403がテイブル
形式で関係づけられている。図4中のNet1〜Net
Nはブロック間配線名を表しPin1、Pin2、Pi
n3、Pin4、Pin6は各ブロックのピン名を表し
ている。
FIG. 4 shows an example of an inter-block connection relationship. In the block connection information 401, a block pin 403 connected to each inter-block wiring 402 is associated in a table format. Net1 to Net in FIG.
N represents a wiring name between blocks, Pin1, Pin2, Pi
n3, Pin4, and Pin6 represent pin names of each block.

【0022】図5はブロックピン位置の拘束条件を表現
する一例であり、ブロックピン位置拘束条件情報501
は、ブロック毎にブロックピン502とピン位置拘束条
件503がテイブル形式で関係づけられている。
FIG. 5 shows an example of the constraint condition of the block pin position. Block pin position constraint condition information 501 is shown.
In the table, a block pin 502 and a pin position constraint 503 are associated in a table format for each block.

【0023】図5中のright、top、left、
bottomは、それぞれ、右辺、上底、左辺、下底の
範囲にピンを配置する拘束を示し、Noneはピン配置
拘束が無いことを示し、BlockCの場合のようにチ
ップ座標で表されているピンは座標位置に必ず配置する
拘束を示している。
In FIG. 5, right, top, left,
“bottom” indicates a constraint for arranging a pin in a range of a right side, an upper base, a left side, and a lower base, respectively, “None” indicates that there is no pin arrangement constraint, and a pin represented by chip coordinates as in Block C. Indicates a constraint always placed at the coordinate position.

【0024】図5中の記述は、BlockAはブロック
ピン名Pin1〜PinNaがあり、BlcokBはブ
ロックピン名Pin1〜PinNbがあり、Block
Cはブロックピン名Pin1〜PinNcがあり、Bl
ockDはブロックピン名Pin1〜PinNdがあ
り、BlockEはブロックピン名Pin1〜PinN
eがあることを示している。
In the description in FIG. 5, BlockA has block pin names Pin1 to PinNa, BlcokB has block pin names Pin1 to PinNb, and BlockA has Block pin names Pin1 to PinNb.
C has block pin names Pin1 to PinNc and Bl
ockD has block pin names Pin1 to PinNd, and BlockE has block pin names Pin1 to PinNd.
e is present.

【0025】以下に、本実施形態の処理の流れを、図2
のフロアプラン結果を用いて図1の処理フロー図に沿っ
て説明する。
The processing flow of this embodiment will be described below with reference to FIG.
1 will be described with reference to the processing flow chart of FIG.

【0026】先ず、図1に示すステップ101で、図3に
示すフロアプラン情報301と図4に示すブロック接続
情報401を入力する。
First, in step 101 shown in FIG. 1, floor plan information 301 shown in FIG. 3 and block connection information 401 shown in FIG. 4 are input.

【0027】図1に示すステップ102で図5に示すブ
ロック毎のレイアウトシステムで使用するピン位置拘束
条件情報501を入力する。
In step 102 shown in FIG. 1, pin position constraint condition information 501 used in the layout system for each block shown in FIG. 5 is input.

【0028】図7は、ステップ103を詳細に説明した
処理フロー図であり、ブロック接続関係401から1つ
のブロック間配線402に繋がっているブロック名とブ
ロックピン名を検索するステップ701と、フロアプラ
ン情報301からステップ701で検索したブロック名
の左下角座標303とブロック縦横長304を検索する
ステップ702と、ステップ701で検索したピン名の
ピン位置拘束条件503を検索するステップ703と、
ステップ702で検索した各ブロックの左下角座標30
3と各ブロック形状を表す縦横長304から、ブロック
の重心位置を計算するステップ704と、ステップ70
2で検索したブロックの左下角座標303とブロック形
状を表す縦横長304と、ステップ703で検索したピ
ン位置拘束条件から、チップ内座標位置で表現したピン
位置拘束範囲に変換するステップ705と、ステップ7
05で変換したピン位置拘束範囲内で、ステップ704
で計算した重心位置から最も遠い最悪ピン位置と、最も
近い最良ピン位置を計算するステップ706と、各ブロ
ックの最良ピン位置から最短ブロック間配線長を、各最
悪ピン位置から最長ブロック間配線長を計算するステッ
プ707と、すべてのブロック間配線を計算したかを判
定し、未計算のブロック間配線がある場合は、ステップ
701に戻るステップ708とから構成されている。
FIG. 7 is a processing flow diagram for explaining step 103 in detail. Step 701 for searching the block connection relation 401 for the names of the blocks and block pins connected to one interblock wiring 402, and the floor plan A step 702 of searching the information 301 for the lower left corner coordinate 303 and the block length 304 of the block name searched in step 701, and a step 703 of searching the pin position constraint condition 503 of the pin name searched in step 701;
Lower left corner coordinate 30 of each block searched in step 702
Step 704 of calculating the position of the center of gravity of the block from the horizontal and vertical directions 304 representing the shape of each block,
Step 705 of converting the lower left corner coordinates 303 of the block searched in step 2 and the vertical and horizontal lengths 304 representing the block shape, and the pin position constraint conditions searched in step 703 into a pin position constraint range expressed by a coordinate position in the chip; 7
Step 704 within the pin position constraint range converted in step 05
Step 706 of calculating the worst pin position farthest from the position of the center of gravity and the closest best pin position calculated in step 706; It comprises a step 707 for calculating and a step 708 for judging whether all inter-block wirings have been calculated and returning to step 701 if there is an uncalculated inter-block wiring.

【0029】以下に、図7の処理フロー図に沿ってステ
ップ103の処理内容を説明する。ステップ701で、
ステップ101で入力したブロック間配線名402のN
et1に繋がる接続ピン名403をブロック接続情報4
01から検索し、BlockAのpin1と、Bloc
kCのPin3と、BlockDのPin4を獲得す
る。
Hereinafter, the processing content of step 103 will be described with reference to the processing flow chart of FIG. In step 701,
N of the inter-block wiring name 402 input in step 101
The connection pin name 403 connected to et1 is set to the block connection information 4
01 and Block1 pin1 and Block
Acquire Pin 3 of kC and Pin 4 of BlockD.

【0030】ステップ702で、ステップ701で獲得
したブロック名BlockA、BlockC、Bloc
kDの左下角のチップ内配置座標とブロック形状を表す
縦横長をフロアプラン情報301から検索し、図8のよ
うに各ブロックの情報801を獲得する。図8中の80
2はステップ701で検索したブロック名を示し、80
3はステップ701で検索したブロック左下角のチップ
内配置座標を示し、804は同ブロックのブロック形状
を表す縦横長を示し、Xa、Ya、Ha、Waはそれぞ
れBlockAの左下角のX座標、およびY座標、ブロ
ックの縦、および横を示し、以下同様に、Xc、Yc、
Hc、WcはBlockCの各座標成分を示し、Xd、
Yd、Hd、WdはBlockDの各座標成分を示して
いる。
At step 702, the block names BlockA, BlockC, Bloc acquired at step 701
The vertical / horizontal length representing the block shape and the arrangement coordinates in the chip at the lower left corner of kD are searched from the floor plan information 301, and information 801 of each block is obtained as shown in FIG. 80 in FIG.
2 indicates the block name searched in step 701, and 80
3 indicates the arrangement coordinates in the chip at the lower left corner of the block searched in step 701, 804 indicates the vertical and horizontal lengths representing the block shape of the block, Xa, Ya, Ha, Wa indicate the X coordinate of the lower left corner of BlockA, and Y-coordinate, vertical and horizontal of the block are shown, and similarly, Xc, Yc,
Hc and Wc indicate the respective coordinate components of BlockC, and Xd,
Yd, Hd, and Wd indicate the coordinate components of BlockD.

【0031】ステップ703で、ステップ701で獲得
したブロックピン名であるBlockAのPin1、B
lockCのPin3、BlockDのPin4のピン
位置拘束条件をピン位置拘束条件情報501から検索
し、BlockAのPin1はrightで右辺上の拘
束、BlockCのPin3は(10、15)で座標指
定拘束、BlockDのPin4はNoneで拘束条件
無しを得る。
In step 703, Pin1, Pin B of Block A, which is the block pin name acquired in step 701
The pin position constraint conditions of PinC of LockC and Pin4 of BlockD are searched from the pin position constraint condition information 501, Pin1 of BlockA is right on the right side constraint, Pin3 of BlockC is (10, 15) coordinate designation constraint, and BlockD Pin3 is Pin4 is None, and there is no constraint condition.

【0032】ステップ704で、ステップ702で獲得
した各ブロックの左下角のチップ内配置座標(Xa,Y
a)(Xc,Yc)(Xd,Yd)と各ブロック形状を
表す縦横長(Ha,Wa)(Hc、Wc)(Hd,W
d)とブロック間配線につながるピン数Pnから、ブロ
ック配置の重心位置(Xg、Yg)を(数1)(数2)
に基づいて計算し、Xgが20、Ygが33.3が得ら
れる。
In step 704, the in-chip arrangement coordinates (Xa, Y) of the lower left corner of each block obtained in step 702
a) (Xc, Yc) (Xd, Yd) and vertical and horizontal lengths (Ha, Wa) (Hc, Wc) (Hd, W) representing each block shape
Based on d) and the number of pins Pn connected to the inter-block wiring, the barycentric position (Xg, Yg) of the block arrangement is given by (Equation 1) (Equation 2)
, And Xg is 20 and Yg is 33.3.

【0033】[0033]

【数1】 (Equation 1)

【0034】[0034]

【数2】 (Equation 2)

【0035】ステップ705で、ステップ703で検索
した各ブロックピンの拘束条件から、各ブロックピンの
位置拘束範囲を計算し、図9に示した各ブロックピンの
位置拘束範囲901を得る。図9中902は、ステップ
702で検索したブロックピン名を示し、903はピン
位置拘束範囲のX座標とY座標を示している。
In step 705, the position constraint range of each block pin is calculated from the constraint condition of each block pin retrieved in step 703, and the position constraint range 901 of each block pin shown in FIG. 9 is obtained. In FIG. 9, reference numeral 902 denotes a block pin name searched in step 702, and reference numeral 903 denotes an X coordinate and a Y coordinate of a pin position restriction range.

【0036】ステップ706で、ステップ704で計算
したブロック配置の重心位置(Xg、Yg)と、ステッ
プ705で計算した各ブロックのピン位置拘束範囲90
1から、各ブロックの最良ピン位置1002、最悪ピン
位置1003を計算する。
In step 706, the barycentric position (Xg, Yg) of the block arrangement calculated in step 704 and the pin position constraint range 90 of each block calculated in step 705.
From 1, the best pin position 1002 and the worst pin position 1003 of each block are calculated.

【0037】計算方法は、まず、X座標のピン位置拘束
範囲内で、Xgとの差が最大になるXwと、最小になる
Xbを求め、Xwを最悪ピン位置のX座標、Xbを最良
ピン位置のX座標とする。Y座標もX座標と同様にして
最悪ピン位置と最良ピン位置を求め、図10に示した各
ブロックの最良、最悪ピン位置1001を得る。図10
中、Xab、YabはBlockAのPin1の最良ピ
ン位置1002のX座標、Y座標を示し、Xaw、Ya
wはBlockAのPin1の最悪ピン位置1003の
X座標、Y座標を示し、以下同様に、Xcb、Ycb、
Xcw、YcwはBlockCのPin3の最良ピン位
置1002、最悪ピン位置1003を示し、Xdb、Y
db、Xdw、YdwはBlockDのPin4の最良
ピン位置1002、最悪ピン位置1003を示してい
る。
In the calculation method, first, within the pin position constraint range of the X coordinate, Xw that maximizes the difference from Xg and Xb that minimizes Xg are determined. Xw is the X coordinate of the worst pin position, and Xb is the best pin. Let it be the X coordinate of the position. The worst pin position and the best pin position are obtained for the Y coordinate in the same manner as the X coordinate, and the best and worst pin positions 1001 of each block shown in FIG. 10 are obtained. FIG.
Where Xab and Yab indicate the X and Y coordinates of the best pin position 1002 of Pin1 of BlockA, and Xaw and Ya
w indicates the X coordinate and Y coordinate of the worst pin position 1003 of Pin1 of BlockA, and similarly, Xcb, Ycb,
Xcw and Ycw indicate the best pin position 1002 and the worst pin position 1003 of Pin3 of BlockC, and Xdb and Y
db, Xdw, and Ydw indicate the best pin position 1002 and the worst pin position 1003 of Pin4 of BlockD.

【0038】ステップ707で、ステップ706で計算
した各ブロックの最良ピン位置1002と最悪ピン位置
1003を基に、最長、最短のブロック間配線長をそれ
ぞれ計算する。ブロック間配線長の計算方法には、さま
ざま方法が従来から存在するが、ここでは、説明を簡単
にするために最も単純な計算方法で説明する。
In step 707, the longest and shortest inter-block wiring lengths are calculated based on the best pin position 1002 and the worst pin position 1003 of each block calculated in step 706. Various methods have conventionally been used for calculating the inter-block wiring length. Here, for simplicity, the simplest calculation method will be described.

【0039】各ブロックのピン位置からステップ704
で計算したブロック配置の重心位置Xg(20)、Yg
(33.3)とを用いて、(数3)の計算で最短ブロッ
ク間配線長Lbを、(数4)の計算で最長ブロック間配線
長Lwを求める。その結果、最短ブロック間配線長Lb
が55.5、最長ブロック間配線長Lwが97.2を得
る。
Step 704 from the pin position of each block
Center of gravity Xg (20), Yg of the block arrangement calculated in
Using (33.3), the shortest inter-block wiring length Lb is obtained by the calculation of (Equation 3), and the longest inter-block wiring length Lw is obtained by the calculation of (Equation 4). As a result, the shortest inter-block wiring length Lb
And the longest inter-block wiring length Lw is 97.2.

【0040】[0040]

【数3】 (Equation 3)

【0041】[0041]

【数4】 (Equation 4)

【0042】ステップ708で、ブロック間配線名40
2の全ての配線長を計算したかを判定し、未計算のブロ
ック間配線がある場合はステップ701に戻り未計算の
ブロック間配線を計算し、未計算のブロック間配線がな
い場合は処理を終了する。以上の処理で、ブロックピン
位置の拘束範囲を考慮することでブロック間配線長の最
長値や最短値を求めることができ、ブロック間配線の最
長値や最短値を考慮したブロック内の論理設計や自動レ
イアウト設計を行えるので、チップレイアウト後にブロ
ック間の信号伝播時間制約を満たしたブロック設計が可
能となる。
In step 708, the inter-block wiring name 40
It is determined whether or not all the wiring lengths of No. 2 have been calculated. If there is an uncalculated inter-block wiring, the process returns to step 701 to calculate the uncalculated inter-block wiring. finish. By the above processing, the longest value and the shortest value of the inter-block wiring length can be obtained by considering the constraint range of the block pin position, and the logic design and the intra-block wiring considering the longest value and the shortest value of the inter-block wiring can be performed. Since automatic layout design can be performed, block design that satisfies the signal propagation time constraint between blocks after chip layout can be performed.

【0043】(実施の形態2)図11は、本発明の第2の
実施形態に係るブロック間配線推定方法の処理の流れを
示した処理フロー図であり、実施形態1の説明に用いた
ステップと同様のステップ101とステップ102とス
テップ701〜ステップ706とステップ708と、各
ブロックピン位置制約条件を入力するステップ1101
と、ステップ701で検索した各ブロックのピン位置制
約条件を検索するステップ1102と、ステップ705
で獲得した最良ピン位置と最悪ピン位置とステップ11
02で検索した各ブロックのピン位置制約条件から、ブ
ロック毎の条件別ブロック間配線長を計算するステップ
1103から構成されている。
(Embodiment 2) FIG. 11 is a processing flow chart showing a processing flow of an inter-block wiring estimation method according to a second embodiment of the present invention. Step 101, Step 102, Step 701 to Step 706, Step 708, and Step 1101 for inputting each block pin position constraint condition
Step 1102 of searching for a pin position constraint condition of each block searched in Step 701; Step 705
Pin position and worst pin position obtained in step 11 and step 11
Step 1103 of calculating the inter-block wiring length by condition for each block from the pin position constraint condition of each block retrieved in 02.

【0044】図6は各ブロックの制約条件を表現する一
例であり、ブロックピン制約条件情報601は、ブロッ
ク名302毎にピン位置制約602がテイブル形式で関
係づけられている。図6中のbest、worstはそ
れぞれ、最良条件、最悪条件を示している。
FIG. 6 shows an example of the constraint condition of each block. In the block pin constraint condition information 601, a pin position constraint 602 is associated with each block name 302 in a table format. Best and worst in FIG. 6 indicate the best condition and the worst condition, respectively.

【0045】以下に、本発明の他の一実施例の処理の流
れを、図2のフロアプラン結果を用いて図11の処理フ
ロー図に沿って説明する。
Hereinafter, the processing flow of another embodiment of the present invention will be described with reference to the processing flow chart of FIG. 11 using the floor plan results of FIG.

【0046】ステップ101、ステップ102、ステッ
プ701、ステップ702、ステップ703、ステップ
704、ステップ705、ステップ706は第1の実施
例で説明した処理と同様である。
Step 101, step 102, step 701, step 702, step 703, step 704, step 705, and step 706 are the same as the processing described in the first embodiment.

【0047】ステップ1101で、ブロックピン制約条
件情報601からブロック毎のピン位置制約602を検
索し、BlockAとBlockCがworstで最悪
条件、BlockDがbestで最良条件であることを
得る。
In step 1101, a pin position constraint 602 for each block is searched from the block pin constraint information 601 to obtain that BlockA and BlockC are worst in the worst condition and BlockD is the best in the best condition.

【0048】ステップ1102で、ステップ706で得
られた各ブロックの最良ピン位置、最悪ピン位置と、ス
テップ1101で検索した各ブロックのピン位置制約条
件を用いて、ブロック毎にピン位置制約条件が異なる条
件別ブロック間配線長Lmを求める。ブロック間配線長
の計算方法にはさまざまな方法が従来から存在するが、
ここでは、説明を簡単にするために最も単純な計算方法
で説明する。
In step 1102, the pin position constraint condition differs for each block using the best pin position and the worst pin position of each block obtained in step 706 and the pin position constraint condition of each block retrieved in step 1101. The inter-block wiring length Lm for each condition is obtained. There have been various methods for calculating the wiring length between blocks,
Here, for the sake of simplicity, the description will be made using the simplest calculation method.

【0049】ステップ704で計算したブロック配置の
重心位置Xg(20)、Yg(33.3)と、ステップ
1101で検索した各ブロックのピン位置制約条件に基
づて、BlockAとBlockCはステップ705で
得られた最悪ピン位置を、BlockDはステップ70
5で得られた最良ピン位置を用いて、(数5)の計算で
ブロック毎にピン位置制約が異なる条件別ブロック間配
線長Lmを求め、Lmが70であることを得る。
Based on the barycenter positions Xg (20) and Yg (33.3) of the block arrangement calculated in step 704 and the pin position constraint conditions of each block searched in step 1101, BlockA and BlockC are determined in step 705. The obtained worst pin position is indicated by Block D in step 70.
Using the best pin position obtained in step (5), a condition-specific inter-block wiring length Lm having a different pin position constraint for each block is obtained by the calculation of equation (5), and it is obtained that Lm is 70.

【0050】[0050]

【数5】 (Equation 5)

【0051】ステップ708は第1の実施例で説明した
処理と同様である。以上の処理で、ブロック毎に異なっ
たピン位置制約条件を設定することでさまざまなブロッ
クピン配置位置条件でのブロック間配線長を求めること
ができ、さまざまなブロック間配線長を考慮したブロッ
ク内の論理設計や自動レイアウト設計を行えるので、チ
ップレイアウト後にブロック間の信号伝播時間制約を満
たしたブロック設計が可能となる。
Step 708 is the same as the processing described in the first embodiment. In the above processing, by setting different pin position constraint conditions for each block, it is possible to determine the inter-block wiring length under various block pin arrangement position conditions, and to determine the intra-block wiring length in consideration of various inter-block wiring lengths. Since logic design and automatic layout design can be performed, block design that satisfies the signal propagation time constraint between blocks after chip layout can be performed.

【0052】[0052]

【発明の効果】以上説明したきたように、ブロックのピ
ン位置の拘束範囲を考慮して、ブロック間配線長を推定
することでブロック間配線の最長値、最短値、さらに、
ブロック毎の条件別配線長を知ることができるので、ブ
ロックの論理設計やレイアウト設計時に、十分にブロッ
ク間配線を考慮することができ、ブロック間を跨る信号
伝播時間制約を満たしたブロック設計が可能となり、ブ
ロックレイアウト後にブロック間の信号伝播時間に関わ
る修正が無くなり、設計期間の短縮につながる。
As described above, by estimating the inter-block wiring length in consideration of the constrained range of the pin position of the block, the longest and shortest values of the inter-block wiring, and furthermore,
Because the condition-specific wiring length for each block can be known, the wiring between blocks can be sufficiently considered when designing the logic and layout of the block, and a block design that satisfies the signal propagation time constraint across blocks can be achieved. Thus, there is no need to modify the signal propagation time between blocks after the block layout, which leads to a reduction in the design period.

【図面の簡単な説明】[Brief description of the drawings]

【図1】実施形態1の処理フロー図FIG. 1 is a processing flowchart of a first embodiment.

【図2】実施形態の説明に用いるフロアプラン図FIG. 2 is a floor plan diagram used for describing the embodiment.

【図3】フロアプラン情報図FIG. 3 Floor plan information diagram

【図4】ブロックの接続情報図FIG. 4 is a block connection information diagram.

【図5】ブロックピン位置拘束条件情報図FIG. 5 is a block pin position constraint condition information diagram.

【図6】ブロックピン位置制約情報図FIG. 6 is a block pin position constraint information diagram.

【図7】実施形態1、2におけるブロック間配線計算の
処理フロー図
FIG. 7 is a processing flowchart of an inter-block wiring calculation in the first and second embodiments.

【図8】ブロック名からチップ内配置位置とブロック形
状を獲得した状況を示した図
FIG. 8 is a diagram showing a situation in which an arrangement position in a chip and a block shape are obtained from a block name;

【図9】各ブロックピンのピン位置拘束範囲を示した図FIG. 9 is a diagram showing a pin position constraint range of each block pin.

【図10】各ブロックピンの最良ピン位置と最悪ピン位
置を示した図
FIG. 10 is a diagram showing the best pin position and the worst pin position of each block pin.

【図11】実施形態2の処理フロー図FIG. 11 is a processing flowchart of the second embodiment.

【図12】従来例の説明に用いるフロアプラン図FIG. 12 is a floor plan diagram used for explaining a conventional example.

【符号の説明】[Explanation of symbols]

101 フロアプラン情報とブロック間配線情報の入力
手段 102 各ブロックのピン位置拘束条件の入力手段 103 最長、最短ブロック間配線の計算手段 201 チップ形状 202 ブロック 203 ブロックピン 301 フロアプラン情報 401 ブロックの接続関係 501 ブロックピン拘束条件情報 601 ブロックピン制約条件情報
101 Input means of floor plan information and inter-block wiring information 102 Input means of pin position constraint condition of each block 103 Calculation means of longest and shortest inter-block wiring 201 Chip shape 202 Block 203 Block pin 301 Floor plan information 401 Connection relation of blocks 501 Block pin constraint information 601 Block pin constraint information

Claims (2)

【特許請求の範囲】[Claims] 【請求項1】 ブロック毎に設計を進めるLSIの分散
設計におけるチップフロアプランに際し、 ブロックのチップ内配置位置情報と、前記ブロックの接
続情報を入力するステップと、 前記ブロックのピン位置拘束条件を入力するステップ
と、 前記ブロックのチップ内配置位置と、前記ブロックの接
続情報と、前記ブロックのピン位置拘束条件から、ブロ
ック間配線毎に最長、最短の2種類のブロック間配線長
を計算するステップとを備えたことを特徴とするブロッ
ク間配線推定方法。
1. A chip floor plan in a distributed design of an LSI for which design is performed for each block, a step of inputting information on an arrangement position of a block in a chip and connection information of the block, and inputting a pin position constraint condition of the block. Calculating the longest and shortest two types of inter-block wiring length for each inter-block wiring from the arrangement position of the block in the chip, the connection information of the block, and the pin position constraint condition of the block. A wiring estimation method between blocks, comprising:
【請求項2】 最良、最悪の2種類のブロックピン位置
制約条件をブロック毎に別々に設定するステップと、 ブロック毎に設定された前記ブロックピン位置制約条件
を用いて、ブロックピン位置の条件別ブロック間配線長
を計算するステップとを備えたことを特徴とする請求項
1記載のブロック間配線推定方法。
2. A step of separately setting two types of best and worst block pin position constraints for each block, and using the block pin position constraints set for each block to separate block pin position conditions. Calculating an inter-block wiring length. 2. The method according to claim 1, further comprising the step of calculating an inter-block wiring length.
JP8274423A 1996-10-17 1996-10-17 Interblock wiring estimating method Pending JPH10125790A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8274423A JPH10125790A (en) 1996-10-17 1996-10-17 Interblock wiring estimating method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8274423A JPH10125790A (en) 1996-10-17 1996-10-17 Interblock wiring estimating method

Publications (1)

Publication Number Publication Date
JPH10125790A true JPH10125790A (en) 1998-05-15

Family

ID=17541472

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8274423A Pending JPH10125790A (en) 1996-10-17 1996-10-17 Interblock wiring estimating method

Country Status (1)

Country Link
JP (1) JPH10125790A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6606730B1 (en) 2000-07-19 2003-08-12 Mitsubishi Denki Kabushiki Kaisha Method for determining an optimum position of block pins, and computer product
JP2006527429A (en) * 2003-05-30 2006-11-30 シンプリシティ・インコーポレーテッド Method and apparatus for automatic circuit design
JP2008140003A (en) * 2006-11-30 2008-06-19 Brother Ind Ltd Circuit unit

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6606730B1 (en) 2000-07-19 2003-08-12 Mitsubishi Denki Kabushiki Kaisha Method for determining an optimum position of block pins, and computer product
JP2006527429A (en) * 2003-05-30 2006-11-30 シンプリシティ・インコーポレーテッド Method and apparatus for automatic circuit design
US8151228B2 (en) 2003-05-30 2012-04-03 Synopsys, Inc. Method and apparatus for automated circuit design
US8990743B2 (en) 2003-05-30 2015-03-24 Synopsys, Inc. Automated circuit design
JP2008140003A (en) * 2006-11-30 2008-06-19 Brother Ind Ltd Circuit unit
JP4582082B2 (en) * 2006-11-30 2010-11-17 ブラザー工業株式会社 Circuit unit

Similar Documents

Publication Publication Date Title
US5790841A (en) Method for placement of clock buffers in a clock distribution system
US6598206B2 (en) Method and system of modifying integrated circuit power rails
US8495547B2 (en) Providing secondary power pins in integrated circuit design
JP2006196627A (en) Semiconductor device and its design program
JP2002541591A (en) Interconnect Delay Driven Placement and Routing in Integrated Circuit Design
TWI222580B (en) System and method for H-tree signal layout
US6505333B1 (en) Automatic placement and routing of semiconductor integrated circuits
US20050050500A1 (en) The use of a layout-optimization tool to increase the yield and reliability of vlsi designs
JPH10125790A (en) Interblock wiring estimating method
US8010925B2 (en) Method and system for placement of electric circuit components in integrated circuit design
US7966597B2 (en) Method and system for routing of integrated circuit design
US8448121B2 (en) Implementing Z directional macro port assignment
JP3119631B2 (en) Semiconductor integrated circuit device and design method thereof
JP4987787B2 (en) Placement verification device
CN104050315A (en) Via insertion in integrated circuit (ic) designs
JP4068376B2 (en) Integrated circuit floor plan generation method, floor plan generation apparatus, and floor plan generation program
US20050050501A1 (en) The use of a layout-optimization tool to increase the yield and reliability of vlsi designs
WO1998055950A1 (en) Integrated circuit layout synthesis tool
JP2006294707A (en) Semiconductor integrated circuit and method of wiring the same
JP2002007497A (en) Designing supporting device of semiconductor device
JP2003242190A (en) Floor plan method for semiconductor integrated circuit
US7107556B1 (en) Method and system for implementing an analytical wirelength formulation for unavailability of routing directions
JP2002215704A (en) Method and device for determining terminal position in module
JP2003007824A (en) Automatic wiring method for lsi chip
JP2007156985A (en) Semiconductor integrated circuit design method, semiconductor integrated circuit design program and semiconductor integrated circuit